JP2015536562A - 複数のトランジスタチェーンを含むビア構成可能高性能ロジックブロック - Google Patents

複数のトランジスタチェーンを含むビア構成可能高性能ロジックブロック Download PDF

Info

Publication number
JP2015536562A
JP2015536562A JP2015536898A JP2015536898A JP2015536562A JP 2015536562 A JP2015536562 A JP 2015536562A JP 2015536898 A JP2015536898 A JP 2015536898A JP 2015536898 A JP2015536898 A JP 2015536898A JP 2015536562 A JP2015536562 A JP 2015536562A
Authority
JP
Japan
Prior art keywords
chain
island
islands
transistors
nfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015536898A
Other languages
English (en)
Inventor
アンドレーエフ、アレキサンダー
グリボック、セルゲイ
シュチェパノビッチ、ランコ、エル.
タン、フェイ−チュイン
カン、チー−ウェイ
Original Assignee
イーエイシック コーポレーション
イーエイシック コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/649,510 external-priority patent/US8957398B2/en
Application filed by イーエイシック コーポレーション, イーエイシック コーポレーション filed Critical イーエイシック コーポレーション
Publication of JP2015536562A publication Critical patent/JP2015536562A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

ストラクチャードASICのためのビア構成可能ロジックブロックアーキテクチャが複数のビアを通じてお互いに接続された複数のMOSFETトランジスタチェーンを有する。1実施形態において、3つのチェーンがあり、第1のトランジスタチェーンはnFETトランジスタチェーンであり、第2のトランジスタチェーンがpFETトランジスタチェーンであり、第3のトランジスタチェーンがnFETトランジスタチェーンである。第1、第2および第3のトランジスタチェーンが、LVT、SVT、およびHVTデバイスからなる電圧閾値グループから選択される複数のトランジスタで作成された複数のデバイスへ形成され、第1および第3のトランジスタチェーンは、お互いに異なる電圧閾値グループからの複数のデバイスへ形成される。別の実施形態において、トランジスタドライブ強度は、ロジックブロックのトランジスタチェーンにおいて変動されうる。さらに他の実施形態において、電圧閾値およびドライブ強度の両方は対称的な様式で共に変動されうる。

Description

本願発明は、概して、複数のストラクチャードASICの分野に関する。本願発明の複数の実施形態は、半導体デバイスにおいて利用されうる構成可能ロジックブロック(CLB)のアーキテクチャに関しうる。
本願発明は、概して、改善されたストラクチャードASIC(ストラクチャード特定用途向け集積回路)に関する。広義には、複数のストラクチャード特定用途向け集積回路(ASIC)は、複数の製品に渡る物理的実装の複数の部分を標準化することによって、複数のASICを製造する労力、費用及びリスクを低減するよう試みうる。大きなセットの異なる複数の設計に渡るデバイスの高価な複数のマスク層を償却することによって、売られたユニットの数に依存しない複数のワンタイムコストである、特定の顧客によりみられるカスタマイズされたASICのための非反復エンジニアリング(NRE)が、著しく低減される。より高い規則性による改善された歩留まりおよび/またはテープアウトからパッケージチップまでの低減された製造時間を含みうる、マスクセットのある部分の標準化の追加の利益がありうる。
複数のASICは、さらに、フルカスタムASIC、スタンダードセルベースASIC(スタンダードセル)、ストラクチャードASIC、及びゲートアレイASICに分類されうる。ASICの対極にあるのはフィールドプログラマブルゲートアレイ(FPGA)であり、集積回路が、ファウンドリ又はIC製造工場でよりむしろ製造した後に、複数のソフトウェアコマンドを利用するフィールドにおいて顧客又はデザイナーによって構成されるように設計される。他の複数の非ASICは、単純及び複雑な複数のPLD(プログラマブル論理デバイス)、及びオフザシェルフ小型及び中型ICコンポーネント(SSI/MSI)を含む。さらに複数の分類は、構成可能(設定変更可能な)ロジックブロック(CLB)を含む。構成可能ロジックブロック(CLB)は、フィールドプログラマブルゲートアレイ(FPGA)、複数のストラクチャード特定用途向け集積回路(ASIC)デバイス、および/または他の複数のデバイスの一要素であってよい。複数のCLBは、例えば、複数の異なる論理セル(複数のNAND、NOR、又はインバータのような組合せ、および/または複数のフリップフロップ又はラッチのようなシーケンシャル)を実装するように構成されうる。
フルカスタムASICは、10から15の層を有しうるASICデバイスの全ての層をカスタマイズし、リソグラフィープロセスにおいて10から15のマスクを必要とする。ASICのカスタマイズされた設計は、トランジスタレベルで生じ、現代の複数のASICは、数億ではない場合には数十のトランジスタを有するため、フルカスタムASICは、通常、数百万のユニットを必要とした複数の適用についてのみ経済的に適している。そのような適用の例は、携帯電話デジタルモデム又はフラットパネルテレビビデオプロセッシングデバイスである。
スタンダードセルASICにおいて、複数の回路は、複数のセルとして知られる、予め定義されたロジックコンポーネントから構成される。複数のデザイナーは、より微細なトランジスタレベルではなく、ゲートレベルで作業し、プロセスを単純化する。デバイスを製造する製造工場は、複数の論理ゲート、組合せコンポーネント(アンドオアインバータ、マルチプレクサ、1ビット全加算器)、及びDタイプラッチ及びフリップフロップのようなベーシックメモリのような、複数のセルにおいて利用されうる複数のベーシック構成ブロックのライブラリを提供する。加算器、バレルシフタ、及びランダムアクセスメモリ(RAM)のような他の複数の機能ブロックのライブラリも存在する。スタンダードセルにおける各セルのレイアウトは既定のものであるが、回路自身は、全ての層を互いに接続することにより独自に構成される必要があり、複数のセルはカスタムの方法で各層内にあり、時間と労力を要する。
ゲートアレイASICにおいて、抽象化のレベルは、スタンダードセルより高い1つのレベルであり、ゲートアレイにおける各構成ブロックが、論理ゲートに似た、ベースセルとして知られる既定義の複数のセルのアレイからのものである。セルのロケーション及びタイプが既定のものであるため、複数のゲートアレイASICは、より大量に予め製造され、後の使用のために一覧表に記入されうる。回路は、複数の金属相互接続マスクにおいて行われる、これらのセルの間の相互接続のカスタマイズによって製造される。複数のゲートレベルASICの場合のように、通常3から5の金属層が、回路を完成させるために必要な相互接続を特定すべく、カスタマイズされる必要があり、これにより製造プロセスを単純化する。
ストラクチャードASICにおいて、抽象化のレベルは、フルカスタムASICとFPGAの間のいずれかである。ストラクチャードASICにおいて、12又はそれより多い金属層があってよいが、多くのストラクチャードASICにおいては、全ての金属層がルーティングのために必要とされるわけではなく、いくつかの層がプレルーティングされてよく、複数の最上層のみがルーティングのために用いられる。ストラクチャードASICの後のアイデアは、複数の層のほとんどが予め定義され、少数の金属又はビア層のみがカスタマイズに利用できるということである。極端な場合は、本発明に対する譲受人であるeASICストラクチャードASICであり、単一のビア層のみがカスタマイズに利用でき、他の全ての層が組み込まれたものである。これにより、複数のフォトリソグラフィーマスクが全ての層に対してではなく、より少ない金属層に対してのみ必要であるので、非反復エンジニアリングコストがさらに非常に低いため、製造プロセスの複雑さを低減し、メタライゼーションが比較的迅速な処理であるので、複数の製造サイクルが非常に短くなる。複数の金属層は、「ビア」金属層と呼ばれることができ、導電性材料で充填される複数のビアと呼ばれる選んだ複数の垂直の穴においてお互いに相互接続されてよく、このためこれらはこの層において構成可能又はビア構成可能でありうる。ストラクチャードASICを含む論理構造が複数のフォトリソグラフィーマスクを必要とする従来のIC光学リソグラフィーで構成される場合、それは、マスクプログラマブルであると考えられうる。例えば本願発明についての本願譲受人、eASICコーポレーションによる、いくつかの設計において、カスタマイズ可能メタライゼーション層は、カスタマイズが実行される少数の又は単一のビア層にさらに低減されうる。例として、限定されないが、2005年10月11日にeASICコーポレーションに発行された米国特許第6,953,956号、2002年11月5日にeASICコーポレーションに発行された米国特許第6,476,493号、及び2001年12月18日にeASICコーポレーションに発行された米国特許第6,331,733号を参照し、全てが、それらの全体における参照により本明細書に組み込まれる。さらに、956特許によって教示されるように、単一ビア接続層が、マスクベース光学リソグラフィーを用いること無く、しかしマスクレス電子ビーム処理を用いてカスタマイズされうる。
コンプレックスフィールドプログラマブルデバイスは、時に複数のASICセルより精巧でありうる、複数の一般的論理セルのような最も可変性の非ASICであり、相互接続構造は、例えば複数のフォトリソグラフィーマスクを用いる製造工場におけるよりむしろソフトウェアを用いるフィールドにおいてプログラマブルでありうる。コンプレックスフィールドプログラマブルデバイスは、ASICと同様に、製造工場において一度のみプログラマブルであるよりむしろ、数時間の間に異なる回路へ再プログラムされうる。コンプレックスフィールドプログラマブルデバイスは、大まかに、2つのカテゴリー、コンプレックスプログラマブルロジックデバイス(CPLD)及びフィールドプログラマブルゲートアレイ(FPGA)に分類されうる。CPLDの論理セルは、FPGAより複雑であり、複数の構成可能製品の用語で、Dタイプフリップフロップ、及びPAL(商標)タイププログラマブル論理デバイス半導体のようなプログラマブル論理デバイス半導体を有する。CPLDの相互接続は、少数の集中化されたルーティングラインを用いて、より集中化される。FPGA論理セルはより小さく、Dタイプフリップフロップ及び小さいルックアップテーブル(LUT)、ロジックマッピングのために広く利用される複数入力及び単一出力ブロック、又は相互接続及び複数の論理セルを通じて複数の信号をルーティングするための複数のマルチプレクサを有する。回路を規定するFPGA設計は、RAMに格納され、そのためFPGAへの電源供給がオフにされる場合には、回路の設計が消滅する。FPGAがバックアップで電源供給される場合、不揮発性メモリから回路設計をリロードする必要がある。
歴史的にプログラマブル論理デバイスと呼ばれる単純なPLDは、一般の相互接続構造を有さないために適用がさらに非常に限定される。今日、これらのデバイスは単独であるのは比較的まれであり、今ではASIC又はCPLDの内部コンポーネントとして利用される。同様に、複数のオフザシェルフ小型及び中型ICコンポーネント(SSI/MSI)は、1960年代及び70年代に複数のコンピュータを構築するために利用され、様々な会社によって製造された7400シリーズトランジスタ−トランジスタロジック(TTL)のような第1世代のデバイスであるため、もはやめったに利用されない。これらのコンポーネントは、モデムEDA(電子設計オートメーション)ソフトウェアによってもはやサポートされず、非常に限定された機能性を有する。
コンプレックスフィールドプログラマブルデバイスは、プログラマブルロジック構造の形態であると考えられうる。そのようなプログラマブルロジック構造の一つとして、フィールドプログラマブルゲートアレイ及びコンプレックスプログラマブルロジックデバイスの基礎を形成するSRAMプログラマブルルックアップテーブル(LUT)技術がある。プログラマブル構造技術により、要求された論理機能を実行するために論理構造上へ合成される、ハードウェア記述言語(HDL)において記述されたロジック設計の合成が可能となる。論理構造は、複数のメモリブロック、組み込み乗算器、レジスタ及びルックアップテーブルロジックブロックを含む。複数のロジック要素間の相互接続はまた、SRAMプログラマブルである。SRAMの状態は電源供給がオフにされると削除されるため、SRAMを組み込むプログラマブルロジック構造の機能は変更されうる。
ASIC設計フローは全体として、論理合成、テスト容易化設計(DFT)挿入、ゲートレベルネットリストの電気的ルールチェック(ERC)、フロアプラン、ダイサイズ、I/O構造、設計パーティション、マクロ配置、電力分配構造、クロック分配構造、予備チェック、(例えば、IRドロップ電圧降下、静電気放電(ESD))、配置及びルーティング、寄生抽出及び低減(複数の寄生デバイス)、複数のEDAツールによって生成される標準遅延フォーマット(SDF)タイミングデータ、限定しないが静的タイミング分析、クロストーク分析、IRドロップ分析及びエレクトロマイグレーション分析を含む様々なチェックのような、さらに本開示で説明されるような多くのタスクを含む複雑な試みである。
ASIC設計フローの第1段階、設計入力段階では、回路は、複数の機能目標、パワー及び速度のような複数の性能制約、複数の物理的寸法のような技術制約、及び所定のICファウンドリに特有の製作技術及び複数の設計技術を含む、回路が達成すべき設計仕様において記述される。さらに設計入力段階において、動作記述で、ハードウェアへの参照無しに、回路の意図した機能挙動(例えば、2つの数字を加算器へ加える)をハイレベルに記述する。次は、たとえ複数のレジスタを用いるハイレベルの抽象化においても、ハードウェアを参照するRTL(レジスタ転送言語)構造的記述である。RTLは、すべてのレジスタが所定のクロックサイクルで同時に同期回路において更新される、複数のレジスタ間での複数の信号のフローに焦点をあて、これは、複数のクロックが同期され、複数の回路がタイミング制約及びタイミング収束を達成することを、設計フローにおいてさらに必要とする。RTL記述は、各クロックサイクルで、設計の変更を捕獲する。すべてのレジスタは、同期回路に対するクロックサイクルで同時に更新される。同期回路は、2種類の要素、すなわち複数のレジスタ及び組み合わせのロジックからなる。複数のレジスタは、クロック、入力データ、出力データ、およびイネーブル信号ポートを有する。クロックサイクルごとに、入力データが内部で格納され、出力データが更新されて、内部データと一致する。しばしば複数のフリップフロップとして実装される、複数のレジスタは、回路のオペレーションを回路クロック信号の複数のエッジと同期させ、かつメモリを有する。組み合わせのロジックは、回路におけるすべての論理機能を実行し、通常は、複数の論理ゲートからなる。RTLは、通常、工業規格言語記述である、ヴェリログまたはVHDLハードウェア記述言語(HDL)において表される。ハードウェア記述言語(HDL)は、デジタルシステム、例えばネットワークスイッチ、メモリ、またはフリップフロップを記述するために用いられる言語である。HDLを用いることによって、任意のデジタルハードウェアを記述できる。
設計フローは、論理設計段階からより物理的設計段階まで進行する。複数の回路間でタイミングがフローの複数の異なる段階で変更されうるため、このフローを通じて、タイミングは、非常に重要であり、タイミング収束が回路を通じて実現されるように常に再評価されるべきである。さらに、回路は、複数のフォールトのテストをされるように設計されるべきである。テスト回路素子の挿入は、論理合成段階で実行されることができ、このさい、レジスタ転送レベル(RTL)は、NANDゲートのような複数の論理ゲートに関して設計実装に変わる。このため、論理合成は、特定のデバイス技術(たとえば複数の32nm特徴)に拘束されず、コンポーネントの伝播遅延またはサイズのいかなる情報も処置しない、複数のプリミティブゲートレベルコンポーネント(NOT,NAND、およびNOR等)の最適数を用いて、RTL設計出力から構造的ビューを生成するプロセスである。論理合成において、回路は、ブーリアン代数学を用いて処理されることができる。論理合成は、2レベル合成及び多層合成に分類されうる。複数のゲートに対する多くのファンイン(ゲートへの入力の数)によって、2レベル合成は、プログラマブルロジックアレイ(PLA)及び複数のモディファイドプログラマブルアレイロジック(PAL)ベースCPLDデバイスとして知られる複数の特別ASIC構造を使う。多層合成は、設計におけるゲートの数とファンインとに対する複数の厳密な要件を除去するため、より効率的で柔軟であり、好ましい。多層合成の実装は、回路におけるエリア及び遅延を最適化することによって実現される。しかし、多層合成のロジックを最適化することは、2レベル合成のロジックを最適化することより困難であり、しばしば複数のヒューリスティック技術を用いる。
機能合成は、設計が特定のアーキテクチャを実装するかをチェックすべく、設計入力段階で実行される。機能検証が完了すると、RTLは、論理合成又はRTL合成と呼ばれる段階において、複数のより小さな構成ブロックを用いて、最適化されたゲートレベルネットリストへ変換される。EDAにおいて、このタスクは、複数のサードパーティツールによって実行される。合成ツールは、入力として、特定の製造業者についてのRTLハードウェア記述とスタンダードセルライブラリとを受け入れ、出力として、ゲートレベルネットリストを生成する。スタンダードセルライブラリは、今日のIC設計についての基本構成ブロックレポジトリである。タイミング、エリア、速度、テスト容易性、及び電力に対する制約が考慮される。複数の合成ツールは、様々な実装のエンジニアリングコストを計算することによって、複数の制約を満たすことを試みる。ツールはその後、検討中の特定の製造プロセスを対象とする、所定のセットの制約ために、最良のゲートレベル実装を生成することを試みる。結果として生じるゲートレベルネットリストは、設計の「複数の葉(leaves)」における複数のスタンダードセルのみを有する完全な構造記述である。論理/RTL合成において、また、ゲートレベル変換が、シミュレーションを実行することによって正確に実行されたかを検証される。ネットリストは、通常、ネットリストにおけるいずれかの大きなネットが、ゲートがいくつのデバイスを駆動できるかを示す、適切なドライブ強度(ファンアウト)の複数のセルを有するかを保証すべく変更される。駆動ゲートは、スタンダードセルライブラリにおける任意のセルでありうる。ネットリストのコンパイル中に、EDAツールは、多くが、過剰に大きなドライブ強度を有することによってエリア及びパワーが回路内で浪費されないように、ネットリストの各ネットを駆動するゲートのサイズを調節する。複数のバッファセルは、大きなネットがEDAツールによって複数のより小さなセクションに分解される場合に挿入される。
論理設計状態を通じて、EDAツールは、実際の物理的設計の前に、レイアウトのコンピュータシミュレーションを実行する。
ASICフローにおける次の段階は、ゲートレベルネットリストの物理的実装、又はシステムパーティショニング、フロアプランニング、配置、及びルーティングのような物理的設計である。ゲートレベルネットリストは、設計のレイアウトの幾何学的表現へ変換される。レイアウトは、デジタルデバイスを構築するための製造工場についてライブラリにおいて特定された複数の設計ルールに従って、設計される。複数の設計ルールは、製作プロセスの複数の制限に基づく複数のガイドラインである。
物理的実装段階は、複数のサブ段階、すなわち、システムパーティショニング、フロアプランニング、配置、及びルーティングからなる。これらの段階は、1つ又は複数のASICの場合、複数の機能ブロックによってデジタルデバイスがどのように表されるか(システムパーティショニング)、複数の機能ブロックが1つのASIC上にどのようにレイアウトされるか(フロアプランニング)、及び複数の論理セルが複数の機能ブロック内にどのように配置可能か(配置)、及びこれらの論理セルが配線でどのように相互接続されるか(ルーティング)に関する。この物理的実装の出力において生成されたファイルは、ASICを製造すべくファウンドリによって利用されるファイルである、いわゆるGDSIIファイルである。
特定の速度で動作するための任意の設計に対して、タイミング分析は、ASIC設計フローを通じて実行されるべきである。EDAにおける静的タイミングツールを用いて、設計が仕様書の複数の速度要件を満たしているかをチェックすべきである。複数の工業規格静的タイミングツールは、物理的設計プロセスによって生じる全ての可能なタイミング違反について設計をチェックすることによって、設計のタイミング性能を検証する、プライムタイム(シノプシス)を含む。
配置によって生じる相互接続の長さが相互接続のキャパシタンスを変更し、結果として相互接続における遅延を変更するため、配置の間で、例えばタイミングが達成される。EDA配置ツールの目標は、チップ上の複数の柔軟なブロック内に全ての論理セルを配置し、例えば、ルータがルーティング段階を完了させることができることを保証し、全ての重大なネット遅延を最小限にし、チップを可能な限り密度を高くし、電力の浪費を最小限にし、及び複数の信号間でのクロストークを最小限にするような、複数の目的を達成する。現代のEDA配置ツールは、上記よりも、さらに固有の達成可能な基準を用いる。最も一般に用いられる複数の配置目的は、合計推定相互接続長さを最小限にすること、複数のクリティカルネットについてのタイミング要件を満たすこと、及び相互接続輻輳を最小限にすることのうちの1または複数である。
配置のための複数のアルゴリズムが存在し、例えば、最小直線シュタイナー木(the minimum rectilinear Steiner tree:MRST)が、長方形格子を用いる最も短い相互接続である。MRSTの決定は、概して、合理的な時間で解決することが困難なNP完全問題である。少数の端末に対して複数のヒューリスティックアルゴリズムが存在するが、それらは、計算するエンジニアリングコストが、高価である。MRSTへの複数の近似が存在し、複数のEDAツールによって用いられる。
ルーティング段階において、複数の要素間での配線が計画される。ストラクチャードASICの断面は、複数の金属層を有し、スタンダードセルASICでは、9つの金属層があってよいが、多くのストラクチャードASICでは、金属層の全てがルーティングのために必要というわけでは無く、いくつかの層が、プレルーティングされてよく、複数の最上層のみがルーティングのために用いられる。複数の金属層は、導電性材料で充填されたおよび/または伝導するようにされた複数のビアと呼ばれる複数の選択した垂直穴でお互いに相互接続され、「ビア」接続層又はビア金属層と呼ばれ、このためこの層で構成可能でありうる又は「ビア構成可能」でありうる。ストラクチャードASICを含む論理構造が、複数のフォトリソグラフィーマスクを必要とする従来のIC光学リソグラフィーで構成される場合、それは、「マスクプログラマブル」と考えられうる。本願発明についての本譲受人eASICコーポレーションによるようないくつかの設計では、複数のカスタマイズ可能メタライゼーション層は、カスタマイズが実行される単一のビア接続層に低減されてよく、例によってかつ限定しないで、2005年10月11日にeASICコーポレーションに発行された米国特許6953956号にみられ、その全体における参照により本明細書に組み込まれる。
回路抽出及びポストレイアウトシミュレーションの間、バックアノテートネットリストは、物理的設計が設計固有の速度、及びパワー等の複数の目標を実現したかをみるために、タイミング情報とともに用いられる。そうでない場合、全体のASIC設計フロープロセスが繰り返される。複数の現代のEDAツールにおいて、複数の物理的設計段階における、設計で用いられる複数のライブラリセルのシミュレーションライブラリから算出された複数の遅延は、SDF(シノプシス遅延フォーマット)ファイルと呼ばれる特別ファイルに配置される。各セルは、ネットリストにおいてどこで見つけ出されたか、その隣接する複数のセルが何であるか、セルへの負荷、及びファンイン等に基づいて、それ自身の遅延を有しうる。セルにおける各内部パスは、タイミングアークとして知られる、信号についての異なる進行時間を有しうる。最大可能クロックレートは、クリティカルパスと呼ばれる、回路内の最も遅いロジックパスによって決定される。
遅延の問題を妥協することは、同期ASICにおいて、クロックスキューを回避する必要があるということであり、ASICの複数の異なる部分は、それらを制御する複数の異なるクロック領域を有してよく、複数の配線ネットは、クロックツリーの形態で分岐するクロックネットを形成するクロック信号をもたらす。大きなクロックツリーの駆動を助けるべく、しばしば複数のバッファセルのような追加の回路素子を必要とする、このツリーを形成することは、クロックツリー合成と呼ばれる。ASICが同期回路であるため、クロックツリー内の全てのクロックは、同期しているべきであり、チップタイミング制御が、通常、複数の位相同期ループ(Phase−Locked Loop:PLL)および/または複数の遅延同期ループ(Delay−Locked LoopDLL)を用いることにより実現される。クロック信号が複数の異なるコンポーネントに複数の異なる時に到達する場合、クロックスキューがある。クロックスキューは、配線相互接続長さ、複数の温度変化、及びクロックを用いる複数のデバイスの複数のクロック入力の入力キャパシタンスの複数の違いのような、多くの異なるものによって生じうる。さらに、タイミングは、レジスタセットアップを満たし、複数の時間要件を保持すべきである。データ伝播遅延及びクロックスキューの両方は、これらの計算において重要な部分を果たす。クロックスキューの複数の問題は、複数の短いデータパスを減らし、データパスに遅延を追加し、およびクロックリバースすること等により解決されうる。このため、複数の物理的合成段階の間、クロック合成は重要な段階であり、ASICを通じてクロックネットワークを供給し、クロックスキュー及び遅延を最小限にする。
最後に、半導体プロセッサのようなプロプラエタリサードパーティ機能性の形態のIPが、複数のサードパーティから買うことができる複数のソフトマクロ、ファームマクロ及びハードマクロを用いるASICに組み込まれうる。ソフトマクロは、IPをRTLコードとして記述し、設計仕様を与えられたタイミング収束も、検討中のプロセスに対するレイアウト最適化も有さない。しかし、RTLコードのように、ソフトマクロは、デザイナーによって複数のEDAツールで変更され、デザイナーのライブラリへ合成されることができる。対照的に、ハードマクロは、特定の設計仕様及びプロセス技術に対して、タイミング保証及びレイアウト最適化されるが、検討中の特定の設計及びプロセスの外側に移植できず、RTLコードにおいて表されず、むしろハードマクロは、特定のファウンドリのために合わせられ、GDSIIレイアウトにより近い。ファームマクロは、ハードマクロとソフトマクロの間にはいる。複数のファームマクロは、ネットリストフォーマットであり、特定の作製技術を用いて性能/エリア/パワーについて最適化され、複数のハードマクロより柔軟で移植可能であり、複数のソフトマクロより、用いられる性能及びエリアが予測的である。複数のマクロは、デザイナーがスクラッチからの全てのコンポーネントを設計することを不要にし、非常に時間を節約するものである。複数のサードパーティデザイナーがファーム及びハードマクロを奨励し、これは、そのようなマクロに存在する知的財産(IP)を隠すことがソフトマクロにおけるそのようなIPを隠すより容易であるためである。
上記の場合、FPGAのようなコンプレックスフィールドプログラマブルデバイスに対する複数のスタンダードセルASICの得失は以下である。複数のFPGAの複数の利点は、それらが、設計容易であり得ること、短い開発時間を有すること、及びこのため市場に出るまでの時間がより速く、より低い複数のNREコストを有することである。これらはまた、複数のスタンダードセルASICの複数の欠点であり、それらが、設計困難であり得ること、長い開発時間及びより高いNREコストを有することである。複数のFPGAの複数の欠点は、設計サイズが複数の比較的小さな製造設計に限定されること、設計複雑性が限定されること、性能が限定されること、電力消費が高いこと、及びユニット毎に高コストであることである。これらのFPGAの複数の欠点は、複数のスタンダードセルが、複数の大きく複雑な設計をサポートし、高ボリュームで、高性能、低電力消費、かつユニット毎のコストが低いため、スタンダードセルの複数の利点である。
ストラクチャードASICは、分類及び性能では、FPGAとスタンダードセルベースASICとの間に入る。複数のストラクチャードASICのものは、複数の中間ボリュームレベル設計について用いられる。ストラクチャードASICにおいて、デザイナーに対するタスクは、回路を、既知の複数のセルの固定の配置にマッピングする。
複数のストラクチャードASICは、複数のFPGAに対してそれらの複数の利点において複数のスタンダードセルにより近い。複数のFPGAと比較した複数のストラクチャードASICの複数の欠点は、複数のFPGAが製造中に任意のユーザ設計情報を必要としないということである。このため、FPGAの複数の部分は、複数のより大きなボリュームで製造されることができ、より大きな在庫に存在することができる。これにより、適切なボリュームで複数のパーツを顧客へ届ける待機時間を低減させることができる。複数のFPGAは、また、それらの最初の構成の後に変更されることができ、これは、複数の設計バグが製作サイクルを必要とせずに除かれることができることを意味する。設計の複数の改善は、当該分野においてなされることができ、離れてなされることもでき、これは、システムと物理的に相互に接する技術者の要件を除く。
これらの得失を前提として、複数のストラクチャードASICは、複数のFPGA及び複数のスタンダードセルASICの複数の最良の特徴を組み合わせる。複数のスタンダードセルASIC及びFPGAに対するストラクチャードASICの複数の利点は、それらが主として、複数のコンポーネントが、様々な予め定義された構成においてほとんど接続され、これらの構成のいずれか一つにカスタマイズされる用意ができている、組み立て式であるということを含む。ストラクチャードASICの製作のためにより少ない金属層が必要とされ、これが、ターンアラウンド時間を劇的に低減する。複数のストラクチャードASICは、複数のスタンダードセルASICより、設計がより容易でより速い。複数のグローバル及びローカルクロックは、ストラクチャードASICにおいて作成済みである。結果的に、ASICデザイナーによって対処される必要があるスキュー問題はない。このため、信号のインテグリティ及びタイミング問題は、本質的に対処され、回路の設計をより単純により速くする。ストラクチャードASICにおける容量、性能、及び電力消費は、スタンダードセルASICのものにより近い。さらに、複数のストラクチャードASICは、複数のスタンダードセルASICよりも、より速い設計時間、低減されたNREコスト、及びより迅速なターンアラウンドを有する。このため、複数のストラクチャードASICの場合、ユニット毎のコストは、数百から100kのユニット実走行に対して合理的である。
フィールドプログラマブルゲートアレイ(FPGA)と比較して、ストラクチャードASICソリューションのユニット価格は、構成ストレージ及び実装に必要とされるストレージ及びロジックの除去によって、桁違いに低減されうる。ストラクチャードASICのユニットコストは、所定のI/O、メモリ及びロジック容量を有する、主に複数の設計要件と規格化ベース層との間の不完全な適合により、フルカスタムASICよりいくらか高くなりうる。理想的なASICデバイスは、複数のFPGAのフィールドプログラム可能性を、複数のASIC又はストラクチャードASICの電力及びサイズ効率性と組み合わせうる。
相補型金属酸化物半導体(CMOS)は、複数の集積回路を作成するための技術である。CMOSは、ときに、相補対称型金属酸化物半導体(又はCOS−MOS)と呼ばれる。「相補対称」という言葉は、CMOSを用いる典型的なデジタル設計スタイルが、複数の論理機能についてのp型及びn型金属酸化物半導体の電界効果トランジスタ(複数のMOSFET)の相補的で対称的な複数の対(複数のP型MOSFET及びN型MOSFET)を用いるという事実を参照する。複数の相補型金属酸化物シリコン回路は、同一基板上のnMOS及びpMOSトランジスタ技術を必要とする。n型ウェルがp型基板に設けられる。もしくは、低ドープ基板におけるpウェル又はn型とp型ウェルの両方を用いることができる。ゲート酸化物、ポリシリコンゲート及びソース−ドレインコンタクト金属は、通常、pMOS及びnMOS技術の間で共有され、一方、ソース−ドレインインプラントは別々に行われる。複数のCMOS回路は、より低い正孔移動度の影響を受ける複数のpMOSデバイスを含むため、複数のCMOS回路は、それらの全てのnMOSの一方より速くない。複数のpMOSデバイスのサイズをそれらが同一の電流を提供するようにスケーリングするときでさえ、より大きなpMOSデバイスは、より高い容量を有する。
CMOSの利点は、CMOSインバータの出力が、電源電圧と同じ高さであり、グラウンドと同じ低さでありうることである。この大きな電圧スイング及び複数のロジックレベルの間の急な遷移は、大きなオペレーションマージンをもたらし、このため高い回路歩留まりももたらす。加えて、いずれの論理ステートにもパワー浪費はない。代わりに、パワー浪費は、複数の論理ステートの間で遷移が行われたときのみ生じる。 複数のCMOS回路は、このため、複数のnMOS回路より速くないが、超/極超大規模集積回路(VLSI/ULSI)により適している。
システムインパッケージ(SiP)は、複数のベアダイおよび/または複数のチップスケールパッケージ(CSP)デバイスであり、各々が、それらを共に接続するために用いられるSiP共通基板上に搭載された、それら自身の機能を実装する(例えばアナログ、デジタル及び高周波(RF)ダイ)。基板及びその複数のコンポーネントは、その後、従来の2次元(2D)チップである、IC(集積回路)又はSiPと呼ばれる単一パッケージに配置される(又は組み込まれる)。2.5D IC/SiPは、従来の2D IC/SiPとは異なるものであり、2.5D ICの1つのタイプにおいて、シリコンインターポーザが、SiP共通基板と複数のダイの間に配置され、このシリコンインターポーザが、シリコンインターポーザの上面と下面の複数のメタライゼーション層を接続する複数のシリコン貫通電極(through−silicon via)を有する。複数のベアダイは、直径で約10μm以下(〜10μm)である複数のマイクロバンプを用いるシリコンインターポーザに取り付けられることができ、同様に、シリコンインターポーザが、直径で100μm以下(〜100μm)でありうる複数の標準のフリップチップバンプを用いるSiP基板に取り付けられる。さらに、3D IC/SiP構成により、複数のデザイナーが、複数のダイがお互いの上に垂直にスタック可能とすることによって、より高いレベルの統合を達成できる。複数のワイヤボンディングは、一番上のダイを、基礎をなすSiP基板と接続し、一番上のダイが、基礎をなすダイによって覆われたSiP基板と通信することを可能にする。
複数のASIC CMOS集積回路ライブラリは、複数の閾値電圧を有する複数のトランジスタを提供し、複数の回路が、より大きなICリーク電流を有する、複数の低電圧閾値(LVT)トランジスタを含む複数の回路より小さなパワーを消費する複数のスタンダード電圧閾値(SVT)トランジスタを含む。しかし、複数のLVTデバイスは、LVTトランジスタがより速く起動するため、より高い回路性能を提供する。第3のカテゴリーの閾値電圧デバイスは、複数の最も遅いトランジスタであるが最も少量の電力を消費する複数のハイVt(HVT)トランジスタである。
FET(電界効果トランジスタ)は、電界を用いて、半導体において電荷キャリアチャネルの導電率を制御するトランジスタである。一般的なタイプのFETは、金属酸化物半導体FET(MOSFET)である。MOSFETは、酸化物絶縁ゲート電極に電圧をかけることにより、ソース及びドレインと呼ばれる2つのコンタクト間で導電チャネルを誘導することによって動作する。2つのタイプのMOSFETが、チャネルを流れる複数のキャリアのタイプに依存して、nMOSFET(一般にnMOS又はNFETとして知られる)及びpMOSFET(一般にpMOS又はPFETとして知られる)と呼ばれる。nMOSトランジスタは、n型ソース及びドレインと、p型基板とからなる。nMOSにおける3つのモードのオペレーションが、カットオフ、トライオード及びサチュレーションと呼ばれる。nMOSロジックは、設計及び製造が容易であるが、直流(DC)電流が、出力が低いときに論理ゲートを流れるため、複数のnMOS論理ゲートからなる複数のデバイスは、回路のアイドリング時に静的電力を浪費する。逆に、pMOSトランジスタは、p型ソース及びドレインとn型基板とからなり、pMOS技術は、低コストで、ノイズ耐性が良い。nMOSにおいて、複数のキャリアは複数の電子であり、一方pMOSにおいて、複数のキャリアは複数のホールであり、複数の電子は複数のホールより速く移動するため、NFETに等しい全てのものは、PFETの2倍の速さである。高電圧がゲートに適用されるとき、ゲートソース電圧がある閾値を超え(VGS>VTH)、nMOSが伝導し、一方pMOSはしない。逆に、低電圧がゲートに適用されるとき、nMOSが伝導しないでpMOSは伝導する。複数のPFETが通常は複数の閉じたスイッチであり、複数のNFETは通常は複数の開いたスイッチである。複数のロジックブロックを形成するとき、複数のPFETはしばしば、複数のNFETよりもシリコンエリアを占有する。複数のpMOSデバイスは、複数のnMOSデバイスよりもノイズの影響を受ける。さらに、nMOSが同一形状及び動作コンディションにおいてpMOSによって提供されるインピーダンスの半分を提供できるため、複数のnMOS ICは、同一の機能性を有するpMOS ICより小さい。
CMOSトランジスタにおいて、トランジスタのドライブ強度は、トランジスタが駆動でき、より速くスイッチできる電流に関する。ドライブ強度は、トランジスタのいわゆるアスペクト比及びW/Lに関し、W/Lを増加させることで、ドライブ強度を、例えば1x−2x、3x等からより高い値へ増加させ、1.5xのような非整数倍も可能である。セルがより高いドライブ強度によって、より多くの電力とより大きなエリアを消費するトレードオフで、ドライブ強度が高くなるほど、トランジスタはより迅速にスイッチでき、又はトランジスタによって構成されたセルがより速くなる。
従って、本願発明の態様は、ビア構成可能ロジックブロック(VCLB)アーキテクチャを含みうる、NFET/nMOS及びPFET/pMOSトランジスタを用いるCMOSプロセスを用いて製造された、ストラクチャードASICの実装を提供する。VCLB構成および/またはストラクチャードASICの複数の要素間の構成は、いわゆる「複数の構成可能ビア」の複数の特性−複数のVCLB内部ノード間又はストラクチャードASICの複数の要素間の複数の接続を変更することによって、実行されうる。プログラマブルビアは、イネーブル又はディセーブルのいずれかでありうる、2つの可能な状態のうちの1つであってよい。プログラマブルビアがイネーブルである場合、信号を伝達できる(例えば、ビアが存在し、低抵抗を有する)。ビアがディセーブルである場合、実質的に信号を伝達できない(例えば、ビアが非常に高い抵抗を有し又は物理的に存在しない)。複数のVCLBは、複数のストラクチャードASICデバイスにおいて用いられうる。
本願発明の他の態様は、シリアル/パラレルトランジスタ構造を用いうるストラクチャードASICのタイプを提供する。2又は3以上のシリアルに接続されたトランジスタを検討してよく、この場合、第1のトランジスタのドレインは、第2のトランジスタのソースに接続されてよく、第2のトランジスタのドレインは、第3のトランジスタのソースに接続されてよい、等である。第1のトランジスタのドレインと最後のトランジスタのソースは、つり下げられたまま(例えば非接続)にしてよい。相互接続された複数のトランジスタのこのグループを「トランジスタチェーン」と呼びうる。
本願発明の他の態様は、28nm又はそれより小さいCMOSプロセスリソグラフィーノード上で製造された、本開示のVCLBのタイプを提供する。
本願発明のさらなる態様は、最大デザイナー適用性のため、LVT、SVT、及びHVT電圧閾値トランジスタを含む、一連のP型及びN型FETトランジスタチェーンをパラレルに用いるVCLBのタイプを提供する。
本願発明の他の態様は、電力を節約する又は性能を最大化する複数のNFET/nMOS及びPFET/pMOSトランジスタチェーンにおける複数のLVT、SVT、又はHVTタイプトランジスタを提供する。
本願発明のさらに他の態様は、本開示の半導体デバイスのための単一のビア構成可能層を提供する。
本願発明の実施形態の他の態様は、パラレルに動作する複数のトランジスタチェーンのドライブ強度を、電力消費及び占有エリアのトレードオフで、性能が増加されうるように変更する。
本願発明のさらに他の態様は、単一のアーキテクチャにおいて、複数の電圧閾値トランジスタのタイプとドライブ強度との両方を変更する。
全ての上記利点の要旨は、本開示の発明から開示され本質的な他の多数の利点と同様に、複数の従来技術に対する改善を生成する。
本願発明の上記及び多くの他の特徴及び付随の利点は、添付の図面と合わせて考慮され、以下の詳細な説明の考慮から明らかになるであろう。
発明の好ましい複数の実施形態の詳細な説明は、複数の添付の図面を参照して行われる。本開示は、発明を実施する現在知られた最良のモードの詳細な説明である。この説明は、限定する意味にとられるべきではないが、単なる発明の概略の原理を示す目的で行われる。この詳細な説明のセクションタイトル及び全体の構成は、利便のみのためであり、本願発明を限定する意図ではない。
複数の構成可能ビアのアレイを有するストラクチャードASICのためのpMOS−pMOS−nMOS VCLBを示す発明の1実施形態の概略図である。
nMOS及びpMOSトランジスタを用いるスタティックCMOSインバータ論理ゲートの概略図である。
図1の実施形態を用いて、図2のインバータが、低電力で遅い速度のインバータへどのように構成されうるかを示す概略図である。
図3のpMOS/PFETの概略的拡大図である。
図3のnMOS/NFETの概略的拡大図である。
図1の実施形態を用いて、図2のインバータがハイパワーなハイスピードインバータへどのように構成されうるかを示す概略図である。
インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートの従来技術の概略図である。 インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートの従来技術の概略図である。 インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートの従来技術の概略図である。
インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートを構築するための、発明の別の実施形態においてドライブ強度を用いる概略図である。 インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートを構築するための、発明の別の実施形態においてドライブ強度を用いる概略図である。 インバータ、2入力NANDゲート、及び2入力NORゲートを含む単純な論理ゲートを構築するための、発明の別の実施形態においてドライブ強度を用いる概略図である。
単一の設計アーキテクチャへ、電圧閾値とドライブ強度コンセプトを組合わせる発明のさらに他の実施形態の概略図である。
当業者が、本願発明の教示を用いて、図に示された複数の実施形態を本開示の発明の意図から逸脱することなく変更しうることは理解されるべきである。複数の図において、複数の異なる図において同様の数字がつけられた複数の参照番号を有する複数の要素は、前に規定した同一の複数の要素の存在を示す。
本願発明の方法及び装置は、EDAツールにおける発明の表示のようなソフトウェアにおいて説明され、又は実際の物理的具体化のようなハードウェアにおいて実現されうる。
発明の実施形態により、例えば図1に示されるように、発明の1実施形態の概略図は、複数の構成可能ビアのアレイを有する、半導体デバイス又はストラクチャードASICのための、pMOS−pMOS−nMOS構成VCLB又は要素10を示す。3つのトランジスタチェーン15、17および19は、並んで形成される。複数のトランジスタは好ましくは複数のFETトランジスタであり、複数のN型トランジスタを形成する複数のnMOSFETトランジスタはチェーン15を形成し、また、点線で「LVT」とマーク付けされ、複数のP型トランジスタを形成する複数のpMOSFETトランジスタはチェーン17を形成し、また点線で「LVT」とマーク付けされ、及び、複数のN型トランジスタを形成する複数のnMOSFETトランジスタはチェーン19を形成し、また、点線で「SVT」とマーク付けされる。本開示でさらに説明されるように、「LVT」及び「SVT」の名称は、複数の低電圧閾値(LVT)トランジスタ及びスタンダード電圧閾値(SVT)トランジスタへそれぞれ形成されるこれらのトランジスタチェーンを参照する。
Pチェーン及びNチェーンは、同一又は異なる数のトランジスタを有しうる。Pチェーンは通常、複数のPチェーンを有し、Nチェーンは通常、ストラクチャードASICにおいて回路又はセルを形成する複数のNチェーンを有する。このため、多様なPチェーンおよび/またはNチェーンがあってよく、同一数のPチェーン及びNチェーンである必要は無い。2又は3以上のシリアルに接続されたトランジスタを考慮してよく、この際、第1のトランジスタのドレインは、第2のトランジスタのソースに接続されてよく、第2のトランジスタのドレインは、第3のトランジスタのソースに接続されてよい、等である。第1のトランジスタのドレインと、最後のトランジスタのソースは、つり下げられた(hanging)まま(すなわち非接続)であってよい。相互接続された複数のトランジスタのこのグループをトランジスタチェーンと呼びうる。または、チェーンは、ソース及びドレインの間のPチェーン又はNチェーンにおいていくつかのポイントで断続的であってよく、このため、一般性の損失無しに、ドレイン及び複数のソースは、例えば3つのトランジスタチェーン又はグループ15、17および19のギャップ18において接続されず、まだトランジスタのこれらのグループを(チェーンに中断がある場合でさえも)「チェーン」と呼ぶ。対応する構造は、示されるように、切れ目18にあるような複数のグループの複数の切れ目がある又は無い場合に、実質的にシリアルに接続される方式で、複数のグループにおいてpFET又はnFETトランジスタが交互にあるように配置された複数のP型又はN型半導体であり、単数で、チェーン、チェーングループ、又はチェーンアイランドと呼ばれうる構造を形成する。
さらに、3つのトランジスタチェーンが本願発明の好ましい実施形態に示されるが、一般性の損失無しに、同一の機能性を達成する場合は、概して、複数のチェーンが、同一のシリコンエリア上に並んでお互いから離れていてよい。これと整合して、第4のチェーンアイランドグループ20が、図1のアウトラインブロック形態において示されるように、チップ上に配置されうる。複数のチェーンの複数のトランジスタの全てのソース/ドレイン/ゲートは概して、構造21として示される、複数のビア構成可能ルーティング構造に接続されてよく、当該構造は、複数の垂直ライン23及び水平ライン25の交差部における複数の円として示された、複数のビアによって接続された複数の垂直ライン23及び水平ライン25のような、ルーティングする複数の信号ラインの交差部を含む。用語の容易のために、複数のトランジスタ及びトランジスタの複数のグループを互いに接続するこの構造は、複数のビア構成可能相互接続と呼ばれてよく、これらのビアの所定数が充填され、複数の所定のトランジスタを互いに接続して、構造を組み入れるASICを、ASICデザイナーによって要求される設計機能性に依存して、プログラム、構成、及びカスタマイズする。同等に、規則的に間隔をもたせた複数のビアを用いて又は用いないで、いくつかの所定のルートを有するように、信号が伝達する複数のパスウェイを接続する複数のビアと同一機能を実行する代替の構造が、この用語によってみてとれる。構造/複数のビア構成可能相互接続はまた、複数の入力ライン27及び出力ライン29のような複数の外部ポート、および/または複数のパワーライン(Vdd)および/またはグラウンドライン(Vss)に接続されうる。図1において、複数のビア構成可能ルーティング構造21は、例えば、様々なトランジスタ、Vddパワーライン、Vssグラウンドライン、入力又は出力端末27および29、又はストラクチャードASICについての要素10の他のライン及びトレースへ接続する、潜在的な複数の接続ポイントに位置する複数の構成可能ビア(ライン23および25の交差部における複数の円として示される)を含みうる。
少なくとも1つの入力34と少なくとも1つの出力35を有し、適切なパワーVdd及びグラウンドVssを有する、マルチプレクサ回路33のような適切な任意の制御回路素子は、構造における任意の複数の論理ゲートを駆動すべく、VCLB/ストラクチャードASIC10に位置しうる。
構造において使用可能なビアが無い場合、複数のチェーンにおける複数のトランジスタと、様々な外部ポートおよび/またはVddおよび/または複数のグラウンドラインとの間の直接の接続はない。例外として、Pチェーンの複数のトランジスタのいくつかのゲート(しかし全てではない)が、Nチェーンの複数のトランジスタの複数のゲートに直接接続され(ハードウェアに組み込まれ(ハードワイヤード))うることがある。しかし、発明の複数の実施形態により、1つのトランジスタチェーン(Pチェーン又はNチェーン)の少なくとも1つのトランジスタが、他のトランジスタチェーンのトランジスタに直接接続され(ハードウェアに組み込まれ)なくてよいことが考えられる。ビア構成可能ルーティング構造には、一つのPチェーンと一つのNチェーン(又は一つより多くのPチェーンと一つより多くのNチェーン)の複数のトランジスタの間に複数のビア構成可能接続があってよく、これらの接続は、PチェーンとNチェーンにおいてパラレルに(例えば向かい合うように又は対応する複数の位置に)配置されたP型及びN型トランジスタの間に厳密にある必要が無く、むしろPチェーンのP型トランジスタはNチェーンのいずれかのN型トランジスタへのビア構成可能接続を有してよいということも考えられる。概して、複数のビア構成可能接続は、Pチェーンの全てのトランジスタとNチェーンの全てのトランジスタとの間にあってよく、又は、それらは、PチェーンとNチェーンのいずれか又は両方の複数のトランジスタのサブセットのみが、複数のビア構成可能接続を用いてお互いに接続されることができるようにしてもよい。
ルーティング構造21に複数のビアを挿入することによって、複数のトランジスタ、Vddパワー/Vssグラウンドライン、および/または複数の外部ポートの間で複数の接続を確立可能でありうる。そうする際、そのような複数の接続を用いて、複数の異なるタイプの組合せのおよび/またはシーケンシャルな複数のロジックブロック(状態情報が保存され又はラッチ又はメモリが存在する)を生成しうる。そのような複数のロジックブロックは、andインバータ、NAND、又はNORのような単一の論理機能に限定される必要は無く、しかし、単一のブロックにおいて複数の論理機能を含みうる。従って、複数の組み合わせおよび/またはシーケンシャルの論理機能も実装されてよい。
ビア構成可能接続構造は、多層回路アーキテクチャの複数の金属層Miと隣接する層Mi+1(i=整数)との間の複数の構成可能接続を形成するように実装されてよいが、一方発明はこれに限定されない。発明の様々な実施形態により、複数の構成可能ビアは、複数の接続が、Mi+1より多い1または複数の金属層を含むことができるように確立されてよく、又は、そのようなより多い金属層の1または複数に実装されてよいということが考えられる。
複数のトランジスタは、CMOS技術を用いて製造され、図1の好ましい実施形態における以下の規定により、トランジスタチェーン15、17、19の複数のグループにおいて示されるようにパラレルに配置されてよい。複数のnMOSFETトランジスタからなるトランジスタチェーン15が、複数のSVTトランジスタより多くの電力を消費するがより速い複数のLVTトランジスタに形成され、複数のnMOSFETトランジスタからなるトランジスタチェーン19が、複数のSVTトランジスタに形成され、チェーン15、19の間に挟まれ、複数のpMOSFETトランジスタからなるトランジスタチェーン17が、複数のSVT形成トランジスタより速くスイッチし、より高い回路性能を提供する複数のLVTトランジスタに形成される。
しかし、それぞれLVT−LVT−SVTトランジスタへ形成されるNFET−PFET−NFETトランジスタチェーン構成が考えられるが、本願発明の教示による、LVT−LVT−SVTデバイスにそれぞれ形成される、PFET−NFET−PFETトランジスタのような複数の他の構成、又はそれらの任意の他の組み合わせも可能である。重要なことは、第1のトランジスタチェーン、図1のトランジスタチェーン15が、第3のトランジスタチェーン、図1のトランジスタチェーン19とは異なるということである。結果、一般性の損失なしに、複数のトランジスタの第2のチェーン、図1の複数のトランジスタ17が、それぞれ、第1及び第3のチェーン、チェーン15および19ほどは関連しないため、PFET−NFET−PFETトランジスタの3つのチェーンについて、それらをLVT−SVT−SVTデバイスへ形成できる。加えて、複数のHVTトランジスタチェーンが導入されうる。例えば、ハイパワー/高リークであるが速いスイッチングの複数のトランジスタについてLVTを、及び普通のリークでより低いパワー消費の複数のトランジスタについてSVTを用いる場合、さらに、複数のSVTトランジスタより遅いスイッチングでより低いクリティカルタイミングを利用するがさらにより低いSVTパワーリークのために、複数のHVTトランジスタ(ハイVtトランジスタ)も導入しうる。 複数の置き換えが、3つのトランジスタチェーンについて、表1のテーブルに以下示されるように可能である。
例えば、以下の表1の第1行は、図1に示されるように、NFET−PFET−NFET MOSFETタイプの3つのトランジスタチェーンに対するLVT−*−SVT閾値電圧タイプの複数のトランジスタであり、このため、第1のトランジスタチェーン、NFETトランジスタチェーンが、閾値電圧タイプのLVTを有し、第2のトランジスタチェーン、PFETチェーンが、「ドントケア」基準、「*」、又は、閾値電圧トランジスタタイプがLVT、SVT又はHVTでありうる条件を有し、NFETタイプの第3のトランジスタチェーンが、SVT閾値タイプに形成される。これは、以下のように、表1の行1において、セル:NFET、PFET、NFET、LVT、*、SVT(*=ドントケア条件、すなわち第2のトランジスタチェーンがLVT、SVT、又はHVTタイプトランジスタからなりうる)の各値について示される。複数の他の置き換えは、以下示されるように、3つのトランジスタチェーンについてものであってよく、ミラーイメージが省略され、これら以下の組み合わせを含むが限定されない(すなわち、NFET、PFET、NFET、SVT、*、LVTがNFET、PFET、NFET、LVT、*、SVTのミラーイメージであり、前者が後者に対して余分であるようには示されない)。
*=ドントケア条件、例えばチェーンがLVT、SVT、又はHVTタイプトランジスタでありうる。
Figure 2015536562
表1からわかるように、これに示される複数の例は、第1のトランジスタチェーンが、LVT又はSVTデバイスからなる第1の電圧閾値グループから選択される複数のデバイスへ形成される場合、第3のトランジスタチェーンは、第1の電圧閾値グループより遅いスイッチングでより低いパワー消費の第2の電圧閾値デバイスグループから選択され、この第2のグループは、第1のトランジスタチェーン又はデバイスの電圧閾値グループとは異なるSVT又はHVTデバイスからなるということを示す。違いが表に示され、このため、第1のグループがLVTデバイス(又は複数のLVTデバイスから作成されたトランジスタチェーン)である場合、第2のグループはSVT又はHVTデバイス(複数のSVT又はHVTトランジスタから作成されたトランジスタチェーン)であり、一方、第1のグループがSVTデバイスである場合、第2のグループはHVTデバイスである。加えて、対称性が存在し、上記のように、左から右へよりむしろ右から左へいくことにおいて一般性の損失はなく、結果、単に第3のトランジスタチェーンを第1のトランジスタチェーンとスイッチするため、LVT−*−SVTは、一般性の損失なしに、SVT−*−LVTと同等であるということは理解されるべきである。このように、本願発明を用いるデザイナーは、表1に示すような「ドントケア欄」からの第2のトランジスタチェーンを、以下に教示するように、第1のチェーン又は第3のチェーンのいずれかと組み合わせ、複数の異なる性能特性を有する回路を実現する。
そのため、シリアルに接続された複数のMOSFETトランジスタの第1及び第3のチェーンは、複数のNFET(又はPFET)トランジスタであり、シリアルに接続された複数のMOSFETトランジスタの第2のチェーンは、PFET(又は、第1および第3のチェーンがPFETである場合はNFET)であり、第1のトランジスタチェーンは、LVT、SVT、及びHVTトランジスタからなる第1の電圧閾値トランジスタグループから選択される複数のデバイスへ形成され、第3のトランジスタチェーンは、LVT、SVT、及びHVTトランジスタからなる第2の電圧閾値グループから選択され、第3のトランジスタチェーンは、第1のトランジスタチェーンの電圧閾値グループとは異なる電圧閾値グループからのデバイスへ形成され、例えば、第1のトランジスタチェーンがLVTである場合、第3のトランジスタチェーンは、SVT又はHVTでありえ、一方、中間のトランジスタチェーンは、LVT、SVT又はHVTでありうる。
図1においてLVT−LVT−SVTトランジスタチェーンとして示される、LVT−*−SVTタイプトランジスタへ形成されるNFET−PFET−NFETトランジスタチェーン構成を構成することによって、デザイナーが、より多くの電力を使用する、速いストラクチャードASIC回路、又はより小さいエネルギーを消費する、低電力消費ストラクチャードASIC回路のいずれかを、ASICに対するいくらかより多くのチップエリアレイアウトを用いるコストで、同時に実現することができるように、最大のフレキシビリティを有することが可能である。例えば、図1の実施形態において、遅い回路設計又は比較的遅いクリティカルパスが所望される場合、チェーン17および19の複数のトランジスタは、接続構造21を介して接続されうる。この遅い回路は、遅いが、電力を節約し、複数のSVT形成トランジスタの複数の特性に整合しうる。速い回路設計又は速いクリティカルパスが所望される場合、チェーン15および17の複数のトランジスタが接続されうる。逆のタイプのPFETトランジスタチェーン15および19を有することによって、本願発明のVCLBアーキテクチャは、本願発明のアーキテクチャを組み込む複数のストラクチャードASICセルの標準在庫からいずれかのタイプの回路(ハイパワーで速い、又は低電力で遅い)を容易に形成することができる。
より速い/より高電力の及びより遅い/低電力の例として、本願発明のアーキテクチャによって適用可能なトレードオフが図3及び4に示され、図2のスタティックCMOSインバータ論理ゲートを実装する。単純なインバータがこの例に対して示されるが、任意のロジックが、概して、インバータ、NAND、及びラッチ等のような単純なライブラリ機能から、複雑なマクロまで実装されることができる。
図2は、それ自体がよく知られた、CMOSロジックからなるインバータ200についての概略図を示す。信号ライン202における入力信号「A」は、PFETトランジスタ208が最も上にあり、NFETトランジスタ210が底にある、CMOS構成における2つの相補的トランジスタのゲート204、206につながれる。Vddは電源ラインで、Vssはグラウンドラインであり、PFET208とNFET210のソースへ適用され、一方、PFET208とNFET210のドレインは、インバータ200の出力にいく。回路の分析は、この構成が、出力212においてZ=A'(ZはAのコンプリメント(compliment)である)であるように、入力信号のインバータとして動作しうることを示す。
以下図3に目を向けると、複数のビアが接続され又は充填されることを除いて、その他の点では図1と同一の構造を有する、図2のインバータの低電力でより遅い速度の実装を示す。図1の同一のアーキテクチャが図3に示されるが、複数の金属層間で複数の信号ラインを接続し、このため回路へ機能をプログラムすべく、複数の特定の相互接続において充填された複数のビアを有する。「A」における入力信号ラインは、入力信号Aを表し、「Z」における出力信号ラインは、出力信号Zを表す。VDD306には、複数のLVTタイプトランジスタに形成されたPFETトランジスタチェーン17において、図3Aにおいて拡大されたように、ゲート312を有するPFETトランジスタのソース310と、Vdd電源ライン306を接続するべく充填されるビア308がある。PFETトランジスタのドレイン316は、出力を出力信号ラインZと接続すべく、充填されたビア320と接続される。入力信号ラインAは、充填されたビア330においてトランジスタ312のゲートに接続される。
これは、図2のインバータ設計の半分を完了させる。他の半分については、図3のインバータが低電力インバータであることを意図されるため、複数のSVTタイプトランジスタに形成された複数のNFETトランジスタが、NFETトランジスタチェーン19において用いられる。グラウンドラインVssは、図3Bに拡大して示されるように、充填されたビア309を通じて、ドレイン346とゲート342を有するNFETトランジスタのソース340へ接続される。ドレイン346は、充填されたビア321において、出力信号ラインZへ接続されて出力へいく。NFETトランジスタチェーン19のNFETトランジスタのゲート342は、充填されたビア302を通じて、制御入力信号ラインAへつながれる。
このためわかりうるように、図3の設計は、LVTとSVTタイプトランジスタを用いる種類のインバータとなり、純粋なLVTタイプトランジスタ構成より遅いということを犠牲にして、より小さな電力を消費するという効果を有する。
しかし、図4に示すように、図1の形状及びアーキテクチャを用いることで、同一のインバータが、LVTタイプトランジスタチェーン15、17の複数のトランジスタを用いるストラクチャードASICのための同一のpMOS−pMOS−nMOS VCLB/ブロック要素上の速いスイッチングでハイパワーのインバータへ設計されることができる。
以下図4に目を向けると、複数のビアが接続され又は充填されることを除いて、その他の点では図1と同一である、図4の構造を有する、図2のインバータのハイパワーで速い速度の実装を示す。再度、特定の複数のビアが、複数の金属層間で複数の信号ラインを接続し、このため、回路へ機能をプログラムするべく、特定の相互接続において充填される。入力信号ラインAは、入力信号を提供し、出力信号ラインZは出力信号を提供する。LVTタイプトランジスタへ形成されるPFETトランジスタチェーン17において、Vdd電源ライン306を、図3AのPFETトランジスタと同様のpFETトランジスタのソース310と接続すべく充填されるビア308がVdd306にある。PFETトランジスタのドレイン316は、PFETドレインを出力信号ラインZと接続するべく、充填されたビア320と接続される。入力信号ラインA,入力は、充填されたビア330において、PFETトランジスタのゲート312に接続される。
図3のインバータがハイパワー消費であるがハイスピードインバータであるように意図されるため、回路の他の半分については、複数のLVTタイプトランジスタへ形成される複数のNFETトランジスタが、トランジスタチェーン15から用いられる。NFETのドレイン346は、充填されたビア409において出力信号ラインZへ接続される。グラウンドラインVssは、充填されたビア421を通じて、図3Bに示すように、nFETトランジスタのソース340へ接続される。NFETトランジスタのゲート342は、充填されたビア402において、入力信号ラインAへつながる。
さらに一般的に、複数の電圧閾値タイプに関して3つのトランジスタチェーンの間の関係を以下示しうる。
Figure 2015536562
前の通り、上記表2において、中間欄「*」は、「ドントケア条件」欄であるが、違いは、第1のチェーン電圧閾値タイプへの制約が、単純に、第3のトランジスタチェーンの電圧閾値タイプと等しくない(シンボル:"!=")ということであり、同様に、第3のトランジスタチェーンが第1のトランジスタチェーンと等しくない電圧閾値タイプであるということである。これは、P−N−Pと同様にN−P−Nである3つのトランジスタチェーンすべてにとって真実である。結果、3つのトランジスタチェーンアーキテクチャのこのより一般的な設計については、以下の置き換えが、とりわけ、N−P−N構成(又はP−N−P構成):HVT−*−LVT,SVT−*−HVT,LVT−*−SVTについて許容される。
好ましい実施形態を通じて、3つのチェーンアイランドが15、17、及び19で示され、概して、チェーン20のようなより多くのチェーンアイランドを有することができる。チェーン15、17および19がそれぞれnFET、pFET、及びnFETである場合、チェーン20は、製造の容易のために、pFETチェーンでありうる。概して、P及びN型トランジスタを交互にするこの関係は、CMOS製造において見られる。
最も一般的な場合では、4つのトランジスタチェーンのための複数の電圧閾値グループは、以下の制約に従って構成されてよく、それは、第1のチェーンは第3のチェーンの電圧閾値タイプとは同一では無いこと、及び第2のチェーンは第4のチェーンとは同一の電圧閾値タイプではないことである。
この関係は、以下の表3において見られる。
Figure 2015536562
表3の説明は、表2の説明と同様で有り、以下である。第1のチェーンの複数の電圧閾値グループタイプは、第3のチェーンと同一ではなく、逆のことも言える。第2のチェーンの電圧閾値タイプは、第4のチェーンの電圧閾値タイプと同一ではなく、逆のことも言える。これは、N−P−N−P及びP−N−P−Nタイプチェーンにとって真実である。結果、4つのトランジスタチェーンアーキテクチャのこの一般的な設計について、以下の置き換えが、とりわけ、N−P−N−P構成(又はP−N−P−N構成):(HVT−LVT−SVT−HVT,LVT−SVT−SVT−HVT,SVT−LVT−LVT−HVT)について許容される。
複数のMOSFETタイプが使われる限りは、複数のアイランドが、第1及び第3のチェーンアイランドが同一タイプのMOSFETトランジスタ(例えば両方、pMOS又はnMOS)であり、第2及び第4のチェーンアイランドが、同一のタイプのMOSFETトランジスタ(例えば両方、pMOS又はnMOS)であるように、複数のnFET及びpFETが交互であるという事実に従って、本願発明において構成される。第1及び第3のMOSFETタイプは、第2及び第4のMOSFETタイプとは異なる。 このように、P−N−P−N構成又はN−P−N−P構成により、すなわち、複数の隣接するトランジスタが異なるタイプであることによる、複数の隣接するMOSFETにおいて交互のタイプのMOSFETをえる。
以下本願発明の他の態様に目を向け、3つのトランジスタチェーンが、それらのドライブ強度を、より速い論理ゲートスイッチングを(より高いドライブ強度を有するトランジスタチェーンがより多くの電力を消費し、より高いドライブ強度でより大きいダイエリアをとるコストで)達成するように、どのように変動させうるかを開示する。より高いドライブ強度のコストは、より高いドライブ強度を有する、これらのトランジスタチェーン及び複数のチェーンから形成されたロジックが、より多くの電力を消費し、より大きいチップエリアをとるということである。当該技術分野においてそれ自体が知られているように、より高いドライブ強度は、複数のトランジスタのために選択された複数のW/L(トランジスタゲート長さで割ったトランジスタゲート幅)比に依存する、1xの任意の倍数、すなわち2x,3x,及び4x等であり、又は0.5x,1.0x,1.3x,及び2.5x等のような任意の有理数でありうる。実際に、ゲート長さは大きくは変動されず、可能な限り小さく維持され、一方ゲート幅Wはドライブ強度を変更すべく変動される。ドライブ強度は、A=2.5のような任意の有理数Aでありうる。
図5A、5B,及び5Cは、すべてが従来技術を形成する、インバータ(図5A)、2入力NANDゲート(図5B)、及び2入力NORゲート(図5C)を示す、複数の単純な論理ゲートの構成を示す。論理ゲートにおける遅延をモデリングし、遅延を回避する様々な従来技術が研究され実施されてきた。遅延は、複数の変数によって生成され、時に可変遅延及び固定(寄生)遅延として分類されるということが発見された。可変遅延は、さらに、ロジカルエフォート及び固定エフォートの生成物として分類される。ロジカルエフォートは、論理ゲートトポロジーの複数の特性に関連し、例えば、論理ゲートによって低減された出力電流が概してより遅いオペレーションを意味する。エレクトリカルエフォートは、複数のゲートトランジスタのサイズと同様に、論理ゲートの電気的環境に関し、時に、複数のCMOSデザイナーによって「ファンアウト」とよばれ、ただの駆動されるゲートの数ではなく、負荷容量に関する。複数の論理ゲートにおいて可変遅延を最小限にするエフォート、特にエレクトリカルエフォートでは、複数のCMOSトランジスタのゲートの複数のトランジスタのサイズを増すことで、可変遅延を減らすことを見いだした。
極小の可変遅延に対する最も単純な論理ゲートの一つは、図5Aのインバータである。このインバータ論理ゲートが出力電流によって測定される特定の性能レベルを有すると仮定して、他の複数の論理ゲートは、それらのトランジスタドライブ強度が示されるように変動する場合に同一の性能レベルを有する。これは、回路における残りの論理ゲートのいずれも障害とならないように適切であることが重要である。図5(b)のNAND及び図5(c)のNORの複数の論理ゲートの複数のドライブ強度を変動させることによって、複数のトランジスタドライブ強度が示された表示(例えば、複数のCMOSトランジスタのゲートにおいて、図5(b)では「2」、「2」及び図5(c)では「1」、「4」)によって与えられ、図5A,5B,及び5Cの3つの論理ゲート回路は、複数の遅延効果についてほぼ同等であることが、SPICE(集積回路エンファシスを有するシミュレーションプログラム、Simulation Program with Integrated Circuit Emphasis)のようなシミュレータ又は任意の他のシミュレータを用いるシミュレーションによって示されることができる。これは、複数の論理ゲートを形成する複数のトランジスタゲートの複数のドライブ強度を変動させた後に一つの効果を示す。
このため、以下図6に示すような本願発明に目を向けると、図1に類似した3つのアイランドトランジスタを示し、6つのトランジスタチェーン602、604、606、608、610、612を示す。前のように、図1−4に示される本願発明の複数の前の実施形態に関連して、複数のチェーンの複数のトランジスタの全てのソース/ドレイン/ゲートは、概して、構造21として示される、複数のビア構成可能ルーティング構造に接続されうる。構造は、垂直ライン23と水平ライン25の交差部における複数の円として示される、複数のビアによって接続された複数の垂直ライン23および水平ライン25のようなルーティングする複数の信号ラインの交差部を含む。用語の容易のために、複数のトランジスタとトランジスタの複数のグループをお互いに接続するこの構造は、複数のビア構成可能相互接続と呼ばれる。所定数のこれらのビアは、複数の特定のトランジスタをお互いに接続するべく充填され、ASICデザイナーによって要求される設計機能に依存して、構造を組み込むASICを、プログラム、構成、及びカスタマイズする。
図6A−6CのCMOS回路素子についてのPFET/pMOS及びNFET/nMOS構成は、図をランドスケープモードにおいて見たとき、二分する線614の左にある3つのアイランド602、604および606が、複数のNFET/nMOSトランジスタであり、一方、二分する線614の右にある3つのアイランド608、610および612が、複数のPFET/pMOSトランジスタであるものである。
6つトランジスタチェーンは、複数のトランジスタドライブ強度を有し、1つの好ましい実施形態では、3つのグループにおいて対にし、チェーンの以下の対:チェーン606および608、チェーン604および610、及びチェーン602および612は、同一のドライブ強度を有する。結果、デザイナーは、回路を設計すべく、様々なドライブ強度の複数のトランジスタを混ぜて対応させることができる。
結果、1つの例について、トランジスタチェーン606および608の複数のトランジスタドライブ強度は、1.0xであってよく、チェーン604および610の複数のドライブ強度は2.0x(チェーン606、608の2倍)であってよく、一方、602および612の複数のドライブ強度は4.0x(チェーン606、608のドライブ強度の4倍で、チェーン604、610のドライブ強度の2倍)であってよい。複数のトランジスタドライブ強度の複数の他の有理数も使用されうる。増加したドライブ強度は、複数のトランジスタにおいてより多くの電力を消費するが、論理ゲートスイッチングの速度を上げうる。
本開示の教示から当業者によって認識されうるように、複数のトランジスタを、複数の方法で、複数のトランジスタ駆動チェーンついて、混ぜて対応させうる。このため、複数のトランジスタが、仮想分割線614のいずれかの側から選ばれて、回路を形成し、線614は、構造が複数の特性で対称的である、構造の中心周りに、図6の6つのトランジスタ構造を二分し、結果、同一の複数のトランジスタチェーンは、仮想分割線614から複数のトランジスタチェーンがどれだけ遠いか(例えば、仮想分割線からのそれらの位置)に依存して、複数の同一の特性を共有する。
結果、デザイナーが、図6A,6B、および6Cに示す単純なゲートのような任意の論理回路を構築したいと仮定して、それらは、図5A−5Cの回路でのように、ほぼ同一の遅延を有する。これは、本願発明の図6A−6Cのアーキテクチャを用いてなされうる。このため、図6Aに目を向けると、基準遅延を有するインバータをどのように構築するかが示される。検査によって、回路シミュレーションから、P型MOSFET又はn型MOSFETトランジスタのいずれが、破線の中心の対称的な線614に最も近い複数の第1のトランジスタに存在するかに依存して、ドレイン又はソースである、ポイント603、605における複数のビアを接続(充填)すること、および、「Z」(611)で示された出力ラインへ導く、複数のビア607、609を充填することは、インバータ論理ゲートへの複数の入力「A」(613)又は「A'」(613')(2つの入力信号Aは同一信号であり、図5Aに示されたものと類似する)のいずれか1つのような入力に供給される信号の反転を与えうる。
以下、デザイナーが、図6Bに示される、NANDゲートを含む他の論理ゲート回路において図6Aのインバータとほぼ同一の遅延を実現したいと仮定する。本願発明のアーキテクチャを用いることで、これは容易に実行されうる。検査によって、回路シミュレーションから、アイランドチェーン604および608からの複数のトランジスタを用いることで、図5Bの回路と同等のNAND論理ゲート回路を提供しうることが示されうる。このため、複数の選択ポイントにおける複数のビアを接続(充填)することは、図6Aのインバータとほぼ同一の遅延を、遅延を有する等価回路に提供しうる。これは、トランジスタドライブ強度を使用することによって、インバータと同等の遅延を有する等価NAND回路を構築すべく、複数のビアの選択的な充填により実行される。充填された1つのビアは、NANDゲートの入力「A」に接続される、入力信号ライン616へそのゲートで接続されたN型MOSFETチェーンアイランドグループ604のトランジスタの、Vss(グラウンド)へ接続するトランジスタソースに対するポイント615にある。チェーンアイランドグループ604における3つのトランジスタは、前のように、お互いにシーケンシャルに接続される。ポイント621にある他の充填されたビアは、NANDゲートの入力「B」に接続する、入力信号ライン618に接続されたそのゲートを有するチェーンアイランドグループ604のトランジスタのドレインを接続する。NANDゲートの入力A,Bが、複数のNANDゲートについての周知の真理値表によって決定されるような出力Zを与えることは理解される。チェーンアイランドグループ604は、トランジスタドライブ強度2xである。
図6BのP型MOSFET側に移り、これらの同一入力A,Bは、3つのトランジスタチェーンアイランドグループ608のうちの2つのトランジスタに通じる、信号ライン620、622へ(ライン620は入力Bに、ライン622は入力Aに)接続されるように示され、3つのトランジスタはシリアルに接続され、それらは、1xトランジスタ強度である。2つのトランジスタは、チェーン608の一部であるという特質によって、お互いにシーケンシャルに接続される。図6Bに示すように、充填された2つのビア617、628があり、これらは、Vdd(パワー)に接続されるトランジスタチェーンアイランドグループ608における2つのpMOSトランジスタのソース/ドレインを接続し、ビア625を充填することによって、トランジスタチェーンアイランドグループ604の複数のビア615は充填されたビア621に接続され、AおよびBが入力である場合、同様に他の複数のラインは出力「Z」に接続されて、複数のNANDゲートのための周知の真理値表によってそれ自体が知られているように、NANDゲートに対して出力を与える。このため、図6BのNANDゲートのデザイナーは、図5Bに対する等価回路を実現し、当然に、図6Aのインバータの遅延を適合した。
同様に、デザイナーが、NORゲートを含む他の論理ゲート回路における図6Aのインバータとほぼ同一の遅延を実現したかった場合、デザイナーは、本願発明のアーキテクチャによって提供されるような、原理で図5Cと類似した図6Cに示される構成を用いうる。検査によって、回路シミュレーションから、アイランドチェーン606(ドライブ強度1xの複数のnMOSトランジスタ)とアイランドチェーン610(ドライブ強度2xの複数のpMOSトランジスタ)とからの複数のトランジスタを用いることで、図6Aのインバータとほぼ同一の遅延を有する等価回路を提供することが示されうる。このため、NOR入力A,Bは、それぞれライン634、636に接続され、3つのトランジスタチェーンアイランドグループ606の2つのトランジスタのゲートへつながる。ビア631、623は充填され、3つのトランジスタチェーンアイランド606の2つのトランジスタのソースをVss(グラウンド)に接続し、他のビア635は、2つのトランジスタ出力を出力ラインZに接続すべく充填される。CMOS回路のpMOS側では、前述のように、A,Bの同一の信号である2つの入力A,Bがあり、これらは、充填されたビア639によってVdd(パワー)ラインにそれらのソース/ドレイン出力を接続するように、2つのpFETトランジスタのゲートに導かれる、複数の信号ライン640(入力信号Bへつながる)および642(入力信号Aへつながる)へつながる。同様に、AおよびBが入力の場合、この出力信号は、ビア637を充填することによって出力ライン「Z」へつながり、複数のNORゲートのための周知の真理値表によって知られるように、NORゲートへの出力を与える。
同様に、602,612の第3のチェーンアイランドグループは、本願発明の教示を用いて、増加したドライブ強度のために当業者のデザイナーによって用いられうる。任意の数の組み合わせが、本開示の複数の教示を用いて使用されうる。
3つのトランジスタチェーンアイランドの対は図6に示されるが、3つより多い又は少ない任意数のトランジスタチェーンが使用されてよく、そのようなチェーンのより多くの対(仮想分割線614周りに対称的な)が、本願発明の教示を用いて使用されうる。さらに、28nmノードテクノロジーにおいて、トランジスタ強度比における相対的な違いが、図5の従来技術によって提案されるより大きな比よりむしろ、1.5から1の比にまでより減らすことを実際に見いだした。
このため、以下図7に示されるような本願発明に目を向けると、電圧閾値と複数のドライブ強度コンセプトを組合わせる発明のさらなる他の実施形態の概略図がある。4トランジスタアイランドの対は、前の複数の実施形態に類似し、分割されて中心線710周りに対称的な8つのトランジスタチェーンが示される。これらのトランジスタは、中心線710からのそれらの距離から示すと、702A,702B,704A,704B,706A,706B,708A,708Bであり、「A」で指定された複数のトランジスタが複数のpMOSFETトランジスタで、「B」で指定された複数のトランジスタがnMOSFETトランジスタである。前述のように、図1、3,4,6A−Cで示す本願発明の前の複数の実施形態に関して、複数のチェーンの複数のトランジスタの全てのソース/ドレイン/ゲートは、概して、構造21として示す、ビア構成可能ルーティング構造に接続されてよく、構造は、垂直および水平ラインの交差部における複数の円として示される、複数のビアによって接続された複数の垂直ラインおよび水平ラインのような複数のルーティング信号ラインの交差部を含む。複数のトランジスタとトランジスタの複数のグループをお互いに接続するこの構造は、用語の容易のために、複数のビア構成可能相互接続と呼ばれる。所定数のこれらのビアが充填され、複数の特定のトランジスタをお互いに接続し、ASICデザイナーによって要求される設計機能に依存して、構造を組み込むASICをプログラム、構成、およびカスタマイズする。ライン723、725は、ある種類のメモリ又はラッチを必要とする複数のシーケンシャル回路のような、状態情報の保存を必要とする複数の回路を形成する際に状態情報を保存するために、出力からのフィードバックを提供し、さもなければ、図7の実施形態は、図1、3,4,6A−Cに関連して前で示されたようなものであり、これはまた、状態情報を保存すべく、ここに示したようなフィードバックも組み込みうる。複数の出力730および複数の入力732は、図7のアーキテクチャにおいて提供され、複数の回路を設計する際にデザイナーに最大のフレキシビリティを与え、これで、同じ図7レイアウト上に並んで存在する低電力/低性能およびハイパワー/高性能回路を与えることができ、複数のビア734のような複数のビアが賢明な方法で近い場合、複数の入力および出力が提供されるようにお互いに干渉しない。このため、3つの論理機能(例えば、インバータ、NAND、NOR)に至る、3つの出力730が配置されてよく、概して、示されたように、より多くの行を有するように構造21を延ばすことにより、任意の数の出力および入力を有しうる。
図7の実施形態は、様々な構成にされることができ、複数の電圧閾値とトランジスタドライブ強度の両方が利用される。
1例の構成である、ハイパワーで最高性能のオプションは、より高い電力消費を犠牲にして最大の速度を強調し、トランジスタ702Aおよび702Bは、1xのトランジスタドライブ強度であってよく、一方、704A、704Bは、2xのトランジスタドライブ強度であってよく、一方702A、702B、704A、704Bが複数のLVTトランジスタに作成される。2つの異なるドライブ強度(概して、Xドライブ強度とYドライブ強度であってよく、X,Yが有理数である)の存在で、この構成を用いて複数の回路を設計する際にネットリストを最適化するときに良好なデザイナーフレキシビリティと最大性能を提供する。
他の例の低減された電力で中間性能のオプションとして、2つの外側のトランジスタチェーン706Aおよび708Aと、706Bおよび708Bは、複数のSVTトランジスタに作成されてよく、一方、トランジスタチェーン702A、702B、704A、704Bは、複数のLVTトランジスタに作成される。ドライブ強度に関して、トランジスタチェーン702Aおよび706Aのドライブ強度は、ある有理数Xと同一であり、一方、トランジスタチェーン704Aおよび708Aの両方は、有理数Yでありうる(Yは、Xより大きく、概してXとは異なる)。同様に、トランジスタチェーン702Bおよび706Bは、あるドライブ強度Xであり、トランジスタチェーン704Bおよび708Bは、あるドライブ強度Yでありうる。本例において、より速くより大きな電力消費のLVTトランジスタに対して、より遅くより小さい電力消費のSVTトランジスタを用いるかの基準は、構築される回路がネットリストの「クリティカルパス」上にあるか否かに依存する。クリティカルパスは、ボトルネックが潜在的に生じうるところであり、複数の最も速い(例えばLVT)トランジスタを必要とする。クリティカルパス外にある複数の回路は、より遅くより小さな電力を必要とする複数のSVTトランジスタを用いることができる。結果、例を用いて、インバータ、NAND、NORのようなプリミティブ論理機能は、この低減された電力で中間性能のオプション構成例において、速いLVT又はより遅いSVTトランジスタのいずれかを用いて構成されうる。複数の出力および入力730、732を用いて、低電力/低性能かつハイパワー/高性能の回路が同一の図7レイアウト上に配置されることができ、3つの出力が3つまで示される場合、そのような複数の回路は同時に配置されうる。
概して、その後、図7の実施形態について、中心線710のような仮想中心分割線周りに対称的である、シリアルに接続された複数のMOSFETトランジスタの複数のトランジスタチェーンアイランドから、複数のMOSFETトランジスタの対を選択してよく、線710の一方の側のMOSFETトランジスタチェーンの1つのグループは、nFET(例えば、トランジスタチェーン702A、704A、706A、708A)であり、MOSFETトランジスタチェーンの他のグループは、pFET(例えばトランジスタチェーン702B、704B、706B、708B)である。nFETおよびpFETトランジスタチェーンの各グループの複数の電圧閾値は、中心線710周りに対称的な様式で変更されてよく、これにより、例えば中心線710から離れているトランジスタチェーン、3つのチェーンが複数のHVTトランジスタからなってよく(例えば、それぞれnFETおよびpFETトランジスタからなる、トランジスタチェーン708A、708B)、トランジスタチェーン704A、706Aおよび704B、706Bのようなトランジスタチェーンの他のグループは、それぞれnFETおよびpFETトランジスタであり、複数のSVTタイプトランジスタからなってよく、一方、トランジスタチェーン702A、702Bのようなトランジスタチェーンの第3のグループは、複数の電圧閾値として、複数のLVTタイプトランジスタからなる。
結果、図7において、シリアルに接続された複数のnMOSFETトランジスタの第1のnFETトランジスタチェーンアイランド(例えば、チェーン702A)、シリアルに接続された複数のnMOSFETトランジスタの第2のnFETトランジスタチェーンアイランド(例えば、チェーン704A)、シリアルに接続された複数のpMOSFETトランジスタの第1のpFETトランジスタチェーンアイランド(例えば、チェーン702B)、およびシリアルに接続された複数のpMOSFETトランジスタの第2のpFETトランジスタチェーンアイランド(例えば、チェーン704B)が開示され、第1のnFETチェーンアイランド、第2のnFETチェーンアイランド、第1のpFETチェーンアイランド、および第2のpFETチェーンアイランドは、複数のチェーンアイランドを二分する仮想線710周りの対称構造を形成し、二分する仮想線710の一方の側に第1および第2のnFETトランジスタチェーンがあり、二分する仮想線の他方の側に第1および第2のpFETトランジスタチェーンがある。nFET/pFETトランジスタチェーンの数は、チェーンの任意の数まで、より多くの第1および第2のチェーンを含むように一般化されることができる。さらに、本開示で説明したように、複数のpFETチェーンアイランド(例えば702A,704A,706A,708A)の少なくとも1つと、複数のnFETチェーンアイランド(例えば702B,704B,706B,708B)の少なくとも1つとが、LVT、SVT、およびHVTデバイスからなる電圧閾値グループから選択された複数の電圧閾値を有する複数のデバイスから形成される。同様に、複数のトランジスタドライブ強度特性を述べる際、複数の等価トランジスタチェーンの複数の対(接尾辞において「A」又は「B」によって指定され、例えば702Aおよび702Bはドライブ強度についての等価トランジスタ対である)があり、これにより、等価トランジスタチェーンの第1の対は、二分する仮想線710のnFETチェーンアイランド側からのトランジスタチェーンの少なくとも1つのセット、および線710のpFETチェーンアイランド側からの他のセット(対を形成する)から選択され、等価トランジスタチェーンのこの第1の対は、実質的に同一の所定のドライブ強度Xを有し、X=有理数である。本開示のように、同一のドライブ強度Xを有する複数のトランジスタチェーンのセットは、1、2又は3以上のトランジスタチェーンでありうる。そして、等価トランジスタチェーンの第2の対は、二分する仮想線710のnFETチェーン側からのトランジスタチェーンの少なくとも1つのセット、および線710のpFETチェーンアイランド側からの他のセット(対を形成する)から選択され、等価トランジスタチェーンのこの第2の対は、実質的に同一の所定のドライブ強度Yを有し、Y=有理数であり、YはXと等しくない。また、本開示のように、同一のドライブ強度Yを有するトランジスタチェーンのセットは、1、2又は3以上のトランジスタチェーンでありうる。
nFETおよびpFETトランジスタチェーンをお互いから分割する中心線周りのこれらの関係における対称性についてのべる。これは、トランジスタチェーンの異なる電圧閾値グループからにもかかわらず、複数のトランジスタチェーンについて複数の異なる電圧ドライブ強度の可能性を提供する。結果、この仮定の組み合わせにおいて、トランジスタチェーン702Aおよび706Aの対は、トランジスタチェーン702AについてのLVT電圧閾値タイプおよびトランジスタチェーン706AについてのSVT電圧閾値タイプにかかわらず、同一のドライブ強度X(Xはある有理数)を有することができ、一方、トランジスタチェーン704Aおよび708Aの両方はトランジスタチェーン704AがSVTタイプ電圧閾値トランジスタであり、708AがHVTタイプ電圧閾値トランジスタであるのにかかわらず、同一のドライブ強度Y(有理数Y)(概して、YはXとは異なる)を有しうる。同様に、分割線710のpFET側からの複数のトランジスタチェーンは、本例のこの対称性に一致し、分割線710の反対側のそれらの相似形に、複数の等価トランジスタチェーンを形成し、トランジスタチェーン702Bは、LVTタイプ電圧閾値トランジスタを含み、トランジスタチェーン704B、706Bは、SVTタイプ電圧閾値トランジスタを含み、トランジスタチェーン708Bは、HVTタイプ電圧閾値トランジスタからなり、すべてpMOSFETタイプである。トランジスタドライブ強度は、分割線710の反対側の複数のトランジスタの対称性に一致し、複数の等価トランジスタチェーンを形成し、それらの相似形は分割線を横切ってみられる。このため、本例において、トランジスタチェーン702Bおよび706Bは、同一のドライブ強度X(Xはある有理数)を有することができ、一方、トランジスタチェーン704Bおよび708Bの両方は、同一のドライブ強度Yを有し、Yは有理数であり(概して、YはXとは異なる)、本開示の教示から認識できるように、702A,704A,706A,708A、および702B,704B,706B,708Bは、電圧閾値又はドライブ強度のいずれかの特性において、お互いに複数の対称的な相似体である。概して、ドライブ強度に関して、任意数のLVT、SVT、HVTトランジスタチェーンおよび任意の組み合わせ(概して、3つのタイプの電圧閾値について、全てのLVT、SVT、HVT、又はLVT、SVTのみ、又はLVT、HVTのみ、又はSVT、HVTのみ、又はLVT、HVT等、電圧閾値タイプトランジスタチェーンの9つのそのような組み合わせが可能である)がありうる。同様に、電圧ドライブ強度は、複数のトランジスタチェーンの任意の組み合わせ(上記したように、同一の電圧閾値グループでさえないものを含む)について変動されてよく、唯一の制約は、設計を最適に容易にするために、中心線710のような分割する対称的な線の両側に配置されたnMOSFETおよびpMOSFETトランジスタの2つのグループの間の、複数の電圧閾値と複数のトランジスタドライブ強度との両方が対称であるべきということであり、これにより、複数の等価トランジスタチェーンを形成する。結果、nFETおよびpFETトランジスタチェーンは、仮想の二分する線710周りに、特性(特性は、電圧閾値又はドライブ強度)において対称的であり、同一のトランジスタチェーンは、トランジスタチェーンが仮想分割線710からどれほど遠いか(例えば分割線からのそれらの位置)に依存して、同一の特性を共有する。結果、トランジスタチェーン702A、704A、706A、708Aおよび702B、704B、706B、708Bは、電圧閾値又はドライブ強度のいずれかの特性において、お互いに線710周りで対称的な相似形であり、例えば、チェーン702Aおよび702Bは、両方、LVT電圧閾値グループデバイスであり、チェーン702A、706Aは、本開示で説明したように、702B、706Bと同一のドライブ強度でありうる。
図7のロジックは、16個のセルの一つのような、ストラクチャードASICのセルとなってよく、それは、4つのグループにおいて、全加算器とともに、本願発明の譲受人により名付けられた「eMotif」セルを構成し、好ましい1つの構成において、図7レイアウトのうちの2つは、各々のeMotifセルにあり、全加算器に動作可能に接続される。しかし、概して、本願発明は、ストラクチャードASICのみではなく、任意の半導体デバイスで使用されうる。
本願発明の全ての実施形態の装置を作成し使用する方法は、ストラクチャードASICを設計し、本開示で教示したように、従来のASIC設計フローを用いてストラクチャードASICを形成する。しかし、ビア構成可能高性能ロジックブロックのアーキテクチャは、3つのトランジスタチェーンを含み、本開示で教示したように、トランジスタチェーンにおいて複数のNFETおよびPFETトランジスタを有し、NFETおよびPFETトランジスタチェーンは、例えば図1のグループ15、17、19または15、17、19および20、又は図6のチェーングループ602、604、606およびチェーングループ608、610、612におけるような複数のトランジスタアイランドチェーンのpFET又はnFETトランジスタの複数のグループにおいて、図に示されるように、MOSFET IC製造に最も効率的であるように、並んで交互に配置されている。
本願発明の電圧閾値の態様に関して、電圧閾値タイプは、さらに、表1,2および/または3で教示したように、ストラクチャードASICについての様々な組み合わせにおいて、複数のトランジスタのこれらのチェーンのために製造される。ストラクチャードASICは、表1,2,又は3に示すような置き換えのうちの任意の数又は全てを有することができる。複数のビア構成可能ルーティング構造はさらに、NFETおよびPFETトランジスタに接続され、適切な電力、グラウンド、および制御回路が配置され、複数のビア接続層において、リソグラフィー又はマスクレス技術のいずれかで、又は、複数のビア構成可能層でカスタマイズされうる単一のビア接続層を充填することによってカスタマイズされうるセルを形成する。ストラクチャードASICのカスタマイズ又はプログラムは、複数の非カスタマイズストラクチャードASICチップの在庫が製造され在庫に格納された後に行われる。このため、本開示の教示から当業者によって認識されうるように、請求項の発明を形成する方法は、1のファウンドリで一度に全て起こる必要は無く、設計が確定されうるストラクチャードASICチップの在庫を用いて、複数の段階にわたりより長い期間にわたって延ばされうる。このため、このように、非カスタマイズストラクチャードASICが大量の製造量で製造され、単一のビア接続層においてカスタマイズされてよく、2005年10月11日にeASICコーポレーションに発行された米国特許第6,953,956号、2002年11月5日にeASICコーポレーションに発行された米国特許第6,476,493号、2001年12月18日にeASICコーポレーションに発行された米国特許第6,331,733号によって限定されずに参照し、全てがそれらの全体における参照によって本開示に組み込まれる。NFETおよびPFETトランジスタを機械的に接続する任意の他の適切な形態が用いられうる。複数の閾値電圧タイプトランジスタは、複数のストラクチャードASICの在庫のために、表1に整合するように形成され、これらのストラクチャードASICは在庫に格納される。顧客が、これらのストラクチャードASICを用いて回路を設計したい場合、ストラクチャードASICは、単一のビア層を用いてマスクプログラムされ、設計が完了される。
本願発明のビア構成可能ストラクチャードASICは、任意のプロセスノードサイズ、好ましくは22又は20nmCMOSプロセスリソグラフィーノードでおよびこの寸法又はそれより小さい寸法のフィーチャーサイズを有するように製造されうる。本願発明は、従来の2D IC/SiPよりむしろ、2.5D IC/SiPで製造されうる。本願発明は、また、3D IC/SiP構成で製造されうる。本開示の教示から当業者に認識できるように、pFETおよびnFETトランジスタのレイアウトは、図に示されるような平面レイアウトとは異なり、図7をみて、例により、分割線710より下の複数のnMOSFETトランジスタは、平面又は平面の最も上の層又は実際のレイアウトにおいて分割線710の上に見られる複数のpMOSFETトランジスタを保持する層にあり、図7に示されるアーキテクチャから一般性の損失無しに、好ましい実施形態により、事実、nMOSFETとpMOSFETのレイアウトは同一平面上である。結果、nFETおよびpFETトランジスタチェーンを記述する場合に「隣接する」という用語を用いる際には、同一平面上にはなく、複数の異なる層上にあるが、お互いにすぐそばにあるnFETおよびpFETトランジスタチェーンを排除しない。
複数の変形、削減および/または追加は、本願発明の範囲から逸脱することなく、本開示の教示から、当業者によって適用可能である。例えば、好ましい実施形態では、3つのトランジスタチェーンを参照する言語があったが、任意数のチェーンが、発明の教示により利用されうる。このため、発明の範囲は請求項によってもっぱら限定される。
本願発明の範囲は、全てのそのような変形および/または追加にまで延び、本願発明の範囲は下記のクレームセットによってもっぱら限定されるということが意図される。

Claims (20)

  1. ASICのための半導体デバイスであって、
    シリアルに接続された複数のMOSFETトランジスタの第1のチェーンアイランドと、
    シリアルに接続された複数のMOSFETトランジスタの第2のチェーンアイランドと、
    シリアルに接続された複数のMOSFETトランジスタの第3のチェーンアイランドと、
    複数のトランジスタの3つの前記チェーンアイランド間の複数のビア構成可能相互接続とを備え、
    前記ASICは、前記複数のビア構成可能相互接続を通じて構成される半導体デバイス。
  2. シリアルに接続された複数のMOSFETトランジスタの前記第1及び第3のチェーンアイランドは、複数のNFETトランジスタであり、シリアルに接続された複数のMOSFETトランジスタの前記第2のチェーンアイランドは、複数のPFETトランジスタであり、
    前記第1のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第1の電圧閾値グループから選択される複数のデバイスに形成され、
    前記第3のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第2の電圧閾値グループから選択され、
    前記第3のチェーンアイランドは、前記第1のチェーンアイランドの前記電圧閾値グループとは異なる電圧閾値グループからのデバイスに形成される
    請求項1に記載の半導体デバイス。
  3. 前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドの中間にあり、
    前記第3のチェーンアイランドの隣の第4のチェーンアイランドは、複数のMOSFETトランジスタを有し、
    前記第1及び第3のチェーンアイランドは同一タイプのMOSFETトランジスタであり、前記第2及び第4のチェーンアイランドは同一タイプのMOSFETトランジスタであり、前記第1及び第3のチェーンアイランドのMOSFETのタイプは、前記第2及び第4のチェーンアイランドのMOSFETのタイプとは異なり、
    前記第1、第2、第3、及び第4のチェーンアイランドは、電圧閾値タイプを有し、前記第1及び第3のチェーンアイランドは、互いに異なる電圧閾値タイプを有し、前記第2及び第4のチェーンアイランドは、互いに異なる電圧閾値タイプを有し、
    前記ASICは、複数のトランジスタの4つの前記チェーンアイランド間の複数のビア構成可能相互接続を通じて構成される
    請求項1に記載の半導体デバイス。
  4. シリアルに接続された複数のMOSFETトランジスタの前記第1及び第3のチェーンアイランドは、複数のPFETトランジスタであり、シリアルに接続された複数のMOSFETトランジスタの前記第2のチェーンアイランドは、複数のNFETトランジスタであり、
    前記第1のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第1の電圧閾値グループから選択される複数のデバイスに形成され、前記第3のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第2の電圧閾値グループから選択され、前記第3のチェーンアイランドは、前記第1のチェーンアイランドの前記電圧閾値グループとは異なる電圧閾値グループからのデバイスに形成される
    請求項1に記載の半導体デバイス。
  5. 前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドの中間にあり、
    前記第1及び第3のチェーンアイランドは同一タイプのMOSFETトランジスタであり、前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドとは異なるタイプのMOSFETトランジスタであり、
    前記第1及び第3のチェーンアイランドは、電圧閾値タイプを有し、前記第1及び第3のチェーンアイランドは、互いに異なる電圧閾値タイプを有する
    請求項1に記載の半導体デバイス。
  6. 前記第3のチェーンアイランドの隣の第4のチェーンアイランドは、複数のMOSFETトランジスタを有し、
    前記第2及び第4のチェーンアイランドは同一タイプのMOSFETトランジスタであり、前記第1及び第3のチェーンアイランドのMOSFETのタイプは、前記第2及び第4のチェーンアイランドのMOSFETのタイプとは異なり、
    前記第2及び第4のチェーンアイランドは、電圧閾値タイプを有し、前記第2及び第4のチェーンアイランドは、互いに異なる電圧閾値タイプを有し、
    前記ASICは、複数のトランジスタの4つの前記チェーンアイランド間の複数のビア構成可能相互接続を通じて構成される
    請求項5に記載の半導体デバイス。
  7. パワーライン及びグラウンドラインをさらに備え、
    前記複数のビア構成可能相互接続は、複数の前記チェーンアイランドを、前記パワーライン及びグラウンドラインの少なくとも一つに接続し、
    前記第1及び第3のチェーンアイランドの中間にある前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドから実質的に等距離にあり、
    前記ASICは、インバータ、NAND、ラッチ、及びマクロからなるグループから選択される電子デバイスを形成し、
    前記半導体デバイスは、28nmCMOSプロセスリソグラフィーノードに関連する複数の加工寸法及びそれより小さい加工寸法を有する
    請求項6に記載の半導体デバイス。
  8. 前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドの中間に配置され、
    シリアルに接続された複数のMOSFETトランジスタの第5のチェーンアイランドは、シリアルに接続された複数のMOSFETトランジスタの第4のチェーンアイランドと、シリアルに接続された複数のMOSFETトランジスタの第6のチェーンアイランドとの中間に配置され、
    仮想分割線が、前記第1、第2、第3のチェーンアイランドを、前記第4、第5、及び第6のチェーンアイランドから分割し、前記第1及び第4のチェーンアイランドが、前記仮想分割線の最も近くに配置され、
    シリアルに接続された複数のMOSFETトランジスタの第7のチェーンアイランドは、前記仮想分割線から最も離れて、前記第1、第2、及び第3のチェーンアイランドとともに配置され、
    シリアルに接続された複数のMOSFETトランジスタの第8のチェーンアイランドは、前記仮想分割線から最も離れて、前記第4、第5及び第6のチェーンアイランドとともに配置され、
    前記第1、第2、第3、第4、第5、第6、第7及び第8のチェーンアイランドは、複数の前記チェーンアイランドを二分する前記仮想分割線に関して対称構造を形成し、前記第3及び第4のチェーンアイランドは、前記二分する仮想分割線に最も近く、
    前記二分する仮想分割線の両側の、前記第1、第2、第3、第4、第5、第6、第7、及び第8のチェーンアイランドのうちの少なくとも一つから選択される第1のセットの複数の等価トランジスタチェーンは、実質的に同一の所定のドライブ強度Xを有し、ここで、X=有理数であり、
    前記二分する仮想分割線の両側の、前記第1、第2、第3、第4、第5、第6、第7、及び第8のチェーンアイランドのうちの少なくとも一つから選択される第2のセットの複数の等価トランジスタチェーンは、実質的に同一の所定のドライブ強度Yを有し、ここで、Y=有理数で、YはXに等しくなく、
    前記二分する仮想分割線の両側の、前記第1、第2、第3、第4、第5、第6、第7、及び第8のチェーンアイランドのうちの少なくとも一つから選択される第3のセットの複数の等価トランジスタチェーンは、LVT、SVT、HVT電圧閾値タイプを有するグループから選択される複数の電圧閾値タイプを有する複数のトランジスタチェーンから選択される複数のデバイスに形成される
    請求項1に記載の半導体デバイス。
  9. シリアルに接続された複数のMOSFETトランジスタの前記第1及び第3のチェーンアイランドは、複数のPFETトランジスタであり、シリアルに接続された複数のMOSFETトランジスタの前記第2のチェーンアイランドは、複数のNFETトランジスタである
    請求項1に記載の半導体デバイス。
  10. シリアルに接続された複数のMOSFETトランジスタの前記第1及び第3のチェーンアイランドは、複数のPFETトランジスタであり、シリアルに接続された複数のMOSFETトランジスタの前記第2のチェーンアイランドは、複数のNFETトランジスタであり、
    前記第1のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第1の電圧閾値グループから選択される複数のデバイスに形成され、前記第3のチェーンアイランドは、LVT、SVT、及びHVTデバイスからなる第2の電圧閾値グループから選択され、
    前記第3のチェーンアイランドは、前記第1のチェーンアイランドの前記電圧閾値グループとは異なる電圧閾値グループからのデバイスに形成される
    請求項9に記載の半導体デバイス。
  11. パワーライン及びグラウンドラインをさらに備え、
    前記複数のビア構成可能相互接続は、前記パワーライン及びグラウンドラインの少なくとも一つへ複数の前記チェーンアイランドを接続し、
    前記第2のチェーンアイランドは、前記第1及び第3のチェーンアイランドの中間にあり、前記第1及び第3のチェーンアイランドから実質的に等距離にある
    請求項10に記載の半導体デバイス。
  12. 前記複数のビア構成可能相互接続は、単一のビア構成可能層上に充填された複数のビアであり、
    前記ASICは、インバータ、NAND、ラッチ、及びマクロからなるグループから選択される電子デバイスを形成する
    請求項11に記載の半導体デバイス。
  13. ストラクチャードASICのための半導体デバイスを作成する方法であって、
    設計入力、論理合成、システムパーティショニング、フロアプランニング、配置、及びルーティングの段階に従って、プログラマブルロジック構造をレイアウトする段階と、
    接続された複数のMOSFETトランジスタの第1のチェーンアイランドを集積回路へ挿入する段階と、
    接続された複数のMOSFETトランジスタの第2のチェーンアイランドを前記集積回路へ挿入する段階と、
    接続された複数のMOSFETトランジスタの第3のチェーンアイランドを前記集積回路へ挿入する段階と、
    複数のMOSFETトランジスタの3つの前記チェーンアイランドの間に複数のビア構成可能相互接続を形成する段階とを備え、
    前記ASICは、前記複数のビア構成可能相互接続の選択された複数のビアを充填することによって、製造後のカスタマイズのために構成される方法。
  14. 複数のNFETタイプトランジスタと複数のPFETタイプトランジスタとから、接続された複数のMOSFETトランジスタの前記第1、第2、及び第3のチェーンアイランドを形成する段階であって、隣接する複数の前記トランジスタが異なるタイプのものである、段階と、
    互いに異なる電圧閾値タイプを有する、電圧閾値タイプの前記第1及び第3のチェーンアイランドを形成する段階とをさらに備える
    請求項13に記載の方法。
  15. 前記第3のチェーンアイランドの隣の、複数のMOSFETトランジスタを有する第4のチェーンアイランドを形成する段階と、
    同一タイプのMOSFETタイプトランジスタの前記第2及び第4のチェーンアイランドを形成する段階であって、前記第1及び第3のチェーンアイランドのMOSFETのタイプは前記第2及び第4のチェーンアイランドのMOSFETのタイプとは異なる、段階と、
    前記第1及び第3のチェーンアイランドが互いに異なる電圧閾値タイプからなり、前記第2及び第4のチェーンアイランドが互いに異なる電圧閾値タイプからなるように、前記第1、第2、第3、及び第4のチェーンアイランドを複数の電圧閾値タイプに形成する段階とをさらに備え、
    前記ASICは、前記複数のトランジスタの4つの前記チェーンアイランドの間の複数のビア構成可能相互接続を通じて構成される
    請求項14に記載の方法。
  16. 接続された複数のMOSFETトランジスタの前記第1及び第3のチェーンアイランドを、複数のNFETタイプトランジスタと複数のPFETタイプトランジスタとからなるグループから選択される複数のトランジスタに形成する段階と、
    接続された複数のMOSFETトランジスタの前記第2のチェーンアイランドを、複数のNFETタイプトランジスタと複数のPFETタイプトランジスタとからなるグループから選択される複数のトランジスタに形成する段階と、
    パワーライン及びグラウンドラインを前記ASICに適用する段階と、
    前記第1のチェーンアイランドを、LVT、SVT、及びHVTデバイスからなる第1の電圧閾値グループから選択される複数の電圧閾値を有する複数のデバイスに形成する段階と、
    前記第3のチェーンアイランドを、LVT、SVT、及びHVTデバイスからなる第2の電圧閾値グループから選択される複数の電圧閾値を有する複数のデバイスに形成する段階であって、前記第3のチェーンアイランドは、前記第1のチェーンアイランドの前記電圧閾値グループとは異なる電圧閾値グループからのデバイスに形成される、段階と、
    前記第1及び第3のチェーンアイランドの中間で、前記第1及び第3のチェーンアイランドから実質的に等距離に、前記第2のチェーンアイランドを形成する段階とをさらに備える
    請求項14に記載の方法。
  17. 前記ASICを、前記複数のビア構成可能相互接続の選択的な前記充填を通じて電子デバイスに形成する段階であって、前記電子デバイスは、インバータ、NAND、ラッチ、及びマクロからなるグループから選択される、段階と、
    前記ASICを、28nmCMOSプロセスリソグラフィーノードに関連する加工寸法及びそれより小さい加工寸法を有するように、CMOSリソグラフィープロセスで形成する段階と、
    前記第4のチェーンアイランドを、LVT、SVT、HVTデバイスからなる第3の電圧閾値グループから選択される複数の電圧閾値を有する複数のデバイスに形成する段階とをさらに備え、
    前記第4のチェーンアイランドは、前記第3のチェーンアイランドの前記電圧閾値グループとは異なる電圧閾値グループからのデバイスに形成される
    請求項15に記載の方法。
  18. ASICのための半導体デバイスであって、
    シリアルに接続された複数のnMOSFETトランジスタの第1のnFETチェーンアイランドと、
    シリアルに接続された複数のnMOSFETトランジスタの第2のnFETチェーンアイランドと、
    シリアルに接続された複数のpMOSFETトランジスタの第1のpFETチェーンアイランドと、
    シリアルに接続された複数のpMOSFETトランジスタの第2のpFETチェーンアイランドとを備え、
    前記第1のnFETチェーンアイランド、前記第2のnFETチェーンアイランド、前記第1のpFETチェーンアイランド、及び前記第2のpFETチェーンアイランドは、複数の前記チェーンアイランドを二分する仮想線に関して対称構造を形成し、前記第1及び第2のnFETチェーンアイランドは前記二分する仮想線の一方の側にあり、前記第1及び第2のpFETチェーンアイランドは前記二分する仮想線の他方側にあり、前記第1のnFET及び前記第1のpFETチェーンアイランドは、前記二分する仮想線に最も近く、
    複数の前記pFETチェーンアイランドの少なくとも一つと複数の前記nFETチェーンアイランドの少なくとも一つとは、LVT、SVT、及びHVTデバイスからなる電圧閾値グループから選択される複数の電圧閾値を有する複数のデバイスから形成され、
    前記少なくとも一つのpFETチェーンアイランドと少なくとも一つのnFETチェーンアイランドとの全ては、前記二分する仮想線に関して同一の電圧閾値グループを共有する複数の電圧閾値を有し、
    前記二分する仮想線の両側の、前記第1のnFETチェーンアイランド、前記第2のnFETチェーンアイランド、前記第1のpFETチェーンアイランド、及び前記第2のpFETチェーンアイランドからの複数のトランジスタチェーンの少なくとも一つのセットから選択される等価トランジスタチェーンの第1の対が、実質的に同一の所定のドライブ強度Xを有し、X=有理数であり、
    前記二分する仮想線の両側の、前記第1のnFETチェーンアイランド、前記第2のnFETチェーンアイランド、前記第1のpFETチェーンアイランド、及び前記第2のpFETチェーンアイランドのうちの複数のトランジスタチェーンの少なくとも一つのセットから選択される等価トランジスタチェーンの第2の対が、実質的に同一の所定のドライブ強度Yを有し、Y=有理数で、YはXと等しくなく、
    前記ASICは、複数の前記チェーンアイランドの間の複数のビア構成可能相互接続を通じて構成され、
    前記複数のビア構成可能相互接続は、ビア構成可能層上で充填される複数のビアである
    半導体デバイス。
  19. シリアルに接続された複数のnMOSFETトランジスタの第1の複数のnFETチェーンアイランドと、
    シリアルに接続された複数のpMOSFETトランジスタの第2の複数のpFETチェーンアイランドとをさらに備え、
    前記第1の複数のnFETチェーンアイランドと第2の複数のpFETチェーンアイランドとは、複数の前記チェーンアイランドを二分する前記仮想線に関して対称構造を形成し、
    前記複数のnFETチェーンアイランドの少なくとも一つと前記複数のpFETチェーンアイランドの少なくとも一つとは、LVT、SVT、及びHVTデバイスからなる電圧閾値グループから選択される複数の電圧閾値を有する複数のデバイスから形成され、
    前記複数のnFETチェーンアイランドの前記少なくとも一つと前記複数のpFETチェーンアイランドの少なくとも一つとの全ては、前記二分する仮想線に関して同一の電圧閾値グループを共有する複数の電圧閾値を有し、
    前記複数のnFETチェーンアイランドの前記少なくとも一つと前記複数のpFETチェーンアイランドの少なくとも一つとの前記複数の電圧閾値は、前記第1及び第2のnFETチェーンアイランドの前記少なくとも一つと前記第1及び第2のpFETチェーンアイランドの少なくとも一つとの前記複数の電圧閾値とは異なり、
    前記半導体デバイスの複数のチェーンアイランドは、複数の電圧閾値を有する
    請求項18に記載の半導体デバイス。
  20. 前記第1の複数のnFETチェーンアイランド、前記第1のnFETチェーンアイランド、前記第2のnFETチェーンアイランド、前記第2の複数のpFETチェーンアイランド、前記第1のpFETチェーンアイランド、及び前記第2のpFETチェーンアイランドからの複数のトランジスタチェーンの少なくとも一つのセットから選択される等価トランジスタチェーンの前記第1の対をさらに備え、等価トランジスタチェーンの前記第1の対が、実質的に同一の所定のドライブ強度Xを有し、X=有理数であり、
    前記第1の複数のnFETチェーンアイランド、前記第1のnFETチェーンアイランド、前記第2のnFETチェーンアイランド、前記第2の複数のpFETチェーンアイランド、前記第1のpFETチェーンアイランド、及び前記第2のpFETチェーンアイランドからの複数のトランジスタチェーンの少なくとも一つのセットから選択される等価トランジスタチェーンの前記第2の対をさらに備え、等価トランジスタチェーンの前記第2の対が、実質的に同一の所定のドライブ強度Yを有し、Y=有理数でありYはXと等しくなく、
    前記半導体デバイスの複数のチェーンアイランドは、複数のトランジスタドライブ強度を有する
    請求項19に記載の半導体デバイス。
JP2015536898A 2012-10-11 2013-10-10 複数のトランジスタチェーンを含むビア構成可能高性能ロジックブロック Pending JP2015536562A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/649,510 2012-10-11
US13/649,510 US8957398B2 (en) 2010-12-22 2012-10-11 Via-configurable high-performance logic block involving transistor chains
PCT/US2013/064364 WO2014059161A1 (en) 2012-10-11 2013-10-10 Via-configurable high-performance logic block involving transistor chains

Publications (1)

Publication Number Publication Date
JP2015536562A true JP2015536562A (ja) 2015-12-21

Family

ID=50477896

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015536898A Pending JP2015536562A (ja) 2012-10-11 2013-10-10 複数のトランジスタチェーンを含むビア構成可能高性能ロジックブロック

Country Status (3)

Country Link
EP (1) EP2907161A1 (ja)
JP (1) JP2015536562A (ja)
WO (1) WO2014059161A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180088589A (ko) * 2017-01-27 2018-08-06 에이알엠 리미티드 슬립 신호 스티칭 기술
US11580288B2 (en) * 2019-04-23 2023-02-14 Samsung Electronics Co., Ltd. Integrated circuit including standard cells, method of manufacturing the integrated circuit, and computing system for performing the method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7770144B2 (en) * 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7257781B2 (en) * 2004-01-20 2007-08-14 International Business Machines Corporation Method, circuit library and computer program product for implementing enhanced performance and reduced leakage current for ASIC designs
US7340712B2 (en) * 2005-06-01 2008-03-04 International Business Machines Corporation System and method for creating a standard cell library for reduced leakage and improved performance
US7788614B1 (en) * 2007-09-04 2010-08-31 Altera Corporation Method and apparatus for performing analytic placement techniques on logic devices with restrictive areas
US8735857B2 (en) * 2010-12-22 2014-05-27 Easic Corporation Via-configurable high-performance logic block architecture

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180088589A (ko) * 2017-01-27 2018-08-06 에이알엠 리미티드 슬립 신호 스티칭 기술
KR102632111B1 (ko) * 2017-01-27 2024-02-01 에이알엠 리미티드 슬립 신호 스티칭 기술
US11580288B2 (en) * 2019-04-23 2023-02-14 Samsung Electronics Co., Ltd. Integrated circuit including standard cells, method of manufacturing the integrated circuit, and computing system for performing the method

Also Published As

Publication number Publication date
WO2014059161A1 (en) 2014-04-17
EP2907161A1 (en) 2015-08-19

Similar Documents

Publication Publication Date Title
US8629548B1 (en) Clock network fishbone architecture for a structured ASIC manufactured on a 28 NM CMOS process lithographic node
US8957398B2 (en) Via-configurable high-performance logic block involving transistor chains
US9024657B2 (en) Architectural floorplan for a structured ASIC manufactured on a 28 NM CMOS process lithographic node or smaller
US20140105246A1 (en) Temperature Controlled Structured ASIC Manufactured on a 28 NM CMOS Process Lithographic Node
US6617621B1 (en) Gate array architecture using elevated metal levels for customization
Chow et al. The design of a SRAM-based field-programmable gate array-Part II: Circuit design and layout
US6445065B1 (en) Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US8677306B1 (en) Microcontroller controlled or direct mode controlled network-fabric on a structured ASIC
Lajevardi Design of a 3-Dimension FPGA
US20140103985A1 (en) Digitally Controlled Delay Line for a Structured ASIC Having a Via Configurable Fabric for High-Speed Interface
Patel et al. An architectural exploration of via patterned gate arrays
US9032343B1 (en) Integrating multiple FPGA designs by merging configuration settings
JPH0766943B2 (ja) 集積回路及びそのレイアウト方法
Ho et al. Architecture and design flow for a highly efficient structured ASIC
US7800404B2 (en) Field programmable application specific integrated circuit with programmable logic array and method of designing and programming the programmable logic array
Lin et al. Power modeling and architecture evaluation for FPGA with novel circuits for vdd programmability
Nayak et al. A framework for adding low-overhead, fine-grained power domains to CGRAs
JP2015536562A (ja) 複数のトランジスタチェーンを含むビア構成可能高性能ロジックブロック
US20220166427A1 (en) Low power clock gating cell and an integrated circuit including the same
EP1349282A2 (en) Mask-programmable logic device with programmable gate array sites
Han et al. A radiation-hardened SOI-based FPGA
US10574214B1 (en) Circuit for and method of storing data in an integrated circuit device
Yang et al. A fast, fully verifiable, and hardware predictable ASIC design methodology
Marple An MPGA-like FPGA
Khan Towards accurate FPGA area models for FPGA architecture evaluation