JP2015195410A - Manufacturing method of semiconductor device, substrate processing apparatus, program, and record medium - Google Patents

Manufacturing method of semiconductor device, substrate processing apparatus, program, and record medium Download PDF

Info

Publication number
JP2015195410A
JP2015195410A JP2015149822A JP2015149822A JP2015195410A JP 2015195410 A JP2015195410 A JP 2015195410A JP 2015149822 A JP2015149822 A JP 2015149822A JP 2015149822 A JP2015149822 A JP 2015149822A JP 2015195410 A JP2015195410 A JP 2015195410A
Authority
JP
Japan
Prior art keywords
gas
film
wafer
substrate
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015149822A
Other languages
Japanese (ja)
Other versions
JP5957128B2 (en
Inventor
野田 孝暁
Takaaki Noda
孝暁 野田
島本 聡
Satoshi Shimamoto
聡 島本
慎吾 野原
Shingo Nohara
慎吾 野原
義朗 ▲ひろせ▼
義朗 ▲ひろせ▼
Yoshiro Hirose
前田 喜世彦
Kiyohiko Maeda
喜世彦 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2015149822A priority Critical patent/JP5957128B2/en
Publication of JP2015195410A publication Critical patent/JP2015195410A/en
Application granted granted Critical
Publication of JP5957128B2 publication Critical patent/JP5957128B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

PROBLEM TO BE SOLVED: To form a thin film having excellent ashing resistance while maintaining high etching resistance.SOLUTION: A manufacturing method of a semiconductor device comprises a process of performing the predetermined number of cycles each including: a step of supplying to a substrate, material gas which serves as a silicon source and a carbide source or material gas which serves as a silicon source but does not serve as a carbon source, and catalyst gas; a step of supplying oxide gas and catalyst gas to the substrate; and a step of supplying to the substrate, reformed gas including at least either of carbide or nitride, thereby to form, on the substrate, a thin film containing silicon, oxide and carbon, or a thin film containing silicon, oxide, carbon, and nitrogen.

Description

本発明は、半導体装置の製造方法、基板処理装置及びプログラムに関する。   The present invention relates to a semiconductor device manufacturing method, a substrate processing apparatus, and a program.

半導体装置の製造工程の一工程として、基板に対して例えばシリコンを含む原料ガスや
、酸化ガス等を供給し、基板上にシリコン酸化膜等の薄膜を形成する工程が行われること
がある。その際、例えば触媒ガスを用いることで比較的低温での成膜が可能となり、半導
体装置の受ける熱履歴等を改善することができる。
As a process of manufacturing the semiconductor device, a process of forming a thin film such as a silicon oxide film on the substrate by supplying, for example, a source gas containing silicon, an oxidizing gas, or the like to the substrate may be performed. At that time, for example, by using a catalyst gas, it is possible to form a film at a relatively low temperature, and it is possible to improve a thermal history received by the semiconductor device.

基板上に上述のような薄膜を形成する際、例えば炭素等を薄膜に添加することで、フッ
酸(HF水溶液)等によるウエットエッチングに対する耐性を向上させることができる。
When forming the thin film as described above on the substrate, for example, by adding carbon or the like to the thin film, resistance to wet etching by hydrofluoric acid (HF aqueous solution) or the like can be improved.

しかしながら、比較的低温の条件下では、膜中に充分な量の炭素が取り込まれず、高エ
ッチング耐性の薄膜が得られ難い場合がある。また、炭素が添加された薄膜はアッシング
耐性に劣ることがある。
However, under a relatively low temperature condition, a sufficient amount of carbon is not taken into the film, and it may be difficult to obtain a thin film having high etching resistance. In addition, a thin film to which carbon is added may be inferior in ashing resistance.

本発明の目的は、高エッチング耐性および高アッシング耐性を有する薄膜を形成するこ
とにある。
An object of the present invention is to form a thin film having high etching resistance and high ashing resistance.

本発明の一態様によれば、
基板に対してシリコン源および炭素源となる原料ガスまたはシリコン源となるが炭素源
とはならない原料ガスと、触媒ガスとを供給する工程と、
前記基板に対して酸化ガスと触媒ガスとを供給する工程と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する
工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する工程を有
する半導体装置の製造方法が提供される。
According to one aspect of the invention,
Supplying a source gas that is a silicon source and a carbon source to the substrate or a source gas that is a silicon source but not a carbon source, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A semiconductor device manufacturing method including a step of forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including Is done.

本発明の他の態様によれば、
基板を収容する処理室と、
前記処理室内へシリコン源および炭素源となる原料ガスまたはシリコン源となるが炭素
源とはならない原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内へ炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する改
質ガス供給系と、
前記処理室内の基板に対して前記原料ガスと触媒ガスとを供給する処理と、前記処理室
内の前記基板に対して前記酸化ガスと触媒ガスとを供給する処理と、前記処理室内の前記
基板に対して前記改質ガスを供給する処理と、を含むサイクルを所定回数行うことにより
、前記基板上に、シリコン、酸素、および炭素を含む薄膜、またはシリコン、酸素、炭素
、および窒素を含む薄膜を形成する処理を行うように前記原料ガス供給系、前記酸化ガス
供給系、前記触媒ガス供給系、および前記改質ガス供給系を制御する制御部と、
を有する基板処理装置が提供される。
According to another aspect of the invention,
A processing chamber for accommodating the substrate;
A raw material gas supply system for supplying a raw material gas that becomes a silicon source and a carbon source or a silicon source but does not become a carbon source into the processing chamber;
An oxidizing gas supply system for supplying an oxidizing gas into the processing chamber;
A catalyst gas supply system for supplying a catalyst gas into the processing chamber;
A reformed gas supply system for supplying a reformed gas containing at least one of carbon and nitrogen into the processing chamber;
A process for supplying the source gas and the catalyst gas to the substrate in the process chamber; a process for supplying the oxidizing gas and the catalyst gas to the substrate in the process chamber; and the substrate in the process chamber. A thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate A control unit for controlling the raw material gas supply system, the oxidizing gas supply system, the catalyst gas supply system, and the reformed gas supply system so as to perform the forming process;
A substrate processing apparatus is provided.

本発明のさらに他の態様によれば、
処理室内の基板に対してシリコン源および炭素源となる原料ガスまたはシリコン源とな
るが炭素源とはならない原料ガスと、触媒ガスとを供給する手順と、
前記処理室内の前記基板に対して酸化ガスと触媒ガスとを供給する手順と、
前記処理室内の前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質
ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する手順をコ
ンピュータに実行させるプログラムが提供される。
According to yet another aspect of the invention,
A procedure for supplying a source gas that is a silicon source and a carbon source or a silicon source but not a carbon source to the substrate in the processing chamber, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate in the processing chamber;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate in the processing chamber;
A program for causing a computer to execute a procedure for forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a predetermined number of cycles including The

本発明によれば、高エッチング耐性および高アッシング耐性を有する薄膜を形成するこ
とができる。
According to the present invention, a thin film having high etching resistance and high ashing resistance can be formed.

本発明の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。It is a schematic block diagram of the vertical processing furnace of the substrate processing apparatus used suitably by 1st Embodiment of this invention, and is a figure which shows a processing furnace part with a longitudinal cross-sectional view. 本発明の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA−A線断面図で示す図である。It is a schematic block diagram of the vertical processing furnace of the substrate processing apparatus used suitably by 1st Embodiment of this invention, and is a figure which shows a processing furnace part with the sectional view on the AA line of FIG. 本発明の第1実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。It is a schematic block diagram of the controller of the substrate processing apparatus used suitably by 1st Embodiment of this invention, and is a figure which shows the control system of a controller with a block diagram. 本発明の第1実施形態の成膜シーケンスにおける成膜フローを示す図である。It is a figure which shows the film-forming flow in the film-forming sequence of 1st Embodiment of this invention. (a)は本発明の第1実施形態の成膜シーケンスにおけるガス供給のタイミングを示す図であり、(b)はその変形例を示す図である。(A) is a figure which shows the timing of the gas supply in the film-forming sequence of 1st Embodiment of this invention, (b) is a figure which shows the modification. 本発明の第1実施形態の他の変形例の成膜シーケンスにおける成膜フローを示す図であって、(a)はSiOC膜形成工程を示す図であり、(b)はSiOC膜改質工程を示す図である。It is a figure which shows the film-forming flow in the film-forming sequence of the other modification of 1st Embodiment of this invention, Comprising: (a) is a figure which shows a SiOC film formation process, (b) is a SiOC film modification process FIG. 本発明の第2実施形態の成膜シーケンスにおける成膜フローを示す図である。It is a figure which shows the film-forming flow in the film-forming sequence of 2nd Embodiment of this invention. (a)は本発明の第2実施形態の成膜シーケンスにおけるガス供給およびRF電力供給のタイミングを示す図であり、(b)はその変形例を示す図である。(A) is a figure which shows the timing of gas supply and RF electric power supply in the film-forming sequence of 2nd Embodiment of this invention, (b) is a figure which shows the modification. 本発明の第3実施形態の成膜シーケンスにおける成膜フローを示す図である。It is a figure which shows the film-forming flow in the film-forming sequence of 3rd Embodiment of this invention. (a)は本発明の第3実施形態の成膜シーケンスにおけるガス供給のタイミングを示す図であり、(b)はその変形例を示す図である。(A) is a figure which shows the timing of the gas supply in the film-forming sequence of 3rd Embodiment of this invention, (b) is a figure which shows the modification. 本発明の第3実施形態の他の変形例の成膜シーケンスにおける成膜フローを示す図である。It is a figure which shows the film-forming flow in the film-forming sequence of the other modification of 3rd Embodiment of this invention. (a)は本発明の第3実施形態の他の変形例の成膜シーケンスにおけるガス供給およびRF電力供給のタイミングを示す図であり、(b)は更に他の変形例を示す図である。(A) is a figure which shows the timing of the gas supply and RF electric power supply in the film-forming sequence of the other modification of 3rd Embodiment of this invention, (b) is a figure which shows another modification. 本発明の第1実施形態の薄膜形成工程の触媒反応の説明図であって、(a)はステップ1aにおける説明図であり、(b)はステップ2aにおける説明図である。It is explanatory drawing of the catalytic reaction of the thin film formation process of 1st Embodiment of this invention, Comprising: (a) is explanatory drawing in step 1a, (b) is explanatory drawing in step 2a. 触媒ガスとして用いられる各種アミンの名称、化学組成式、化学構造式、および酸解離定数を示す図である。It is a figure which shows the name of various amines used as catalyst gas, a chemical composition formula, a chemical structural formula, and an acid dissociation constant. (a)〜(e)は、原料ガスとして用いられる各種シランの化学構造式を示す図であって、それぞれ、BTCSM,BTCSE,TCDMDS,DCTMDS,MCPMDSの化学構造式を示す図である。(A)-(e) is a figure which shows the chemical structural formula of various silane used as source gas, Comprising: It is a figure which shows the chemical structural formula of BTCSM, BTCSE, TCMDDS, DCTMDS, and MCPMDS, respectively. 本発明の実施例および比較例の各種条件下で成膜された薄膜のウエットエッチングレートを示すグラフである。It is a graph which shows the wet etching rate of the thin film formed into a film under the various conditions of the Example and comparative example of this invention.

<第1実施形態>
以下に、本発明の第1実施形態について図面を参照しながら説明する。
<First Embodiment>
Hereinafter, a first embodiment of the present invention will be described with reference to the drawings.

(1)基板処理装置の全体構成
図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有す
る。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持さ
れることにより垂直に据え付けられている。ヒータ207は、ガスを熱で活性化(励起)
させる活性化機構(励起部)としても機能する。
(1) Overall Configuration of Substrate Processing Apparatus As shown in FIG. 1, the processing furnace 202 has a heater 207 as heating means (heating mechanism). The heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate. The heater 207 activates (excites) the gas with heat.
It also functions as an activation mechanism (excitation unit).

ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。
反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料
からなり、上端が閉塞し下端が開口した円筒形状に形成されている。反応管203の下方
には、反応管203と同心円状に、マニホールド(インレットフランジ)209が配設さ
れている。マニホールド209は、例えばステンレス等の金属で構成され、上端及び下端
が開口した円筒形状に形成されている。マニホールド209の上端部は、反応管203の
下端部に係合しており、反応管203を支持するように構成されている。マニホールド2
09と反応管203との間には、シール部材としてのOリング220aが設けられている
。マニホールド209がヒータベースに支持されることにより、反応管203は垂直に据
え付けられた状態となる。主に、反応管203とマニホールド209とにより処理容器(
反応容器)が構成される。処理容器の筒中空部には処理室201が形成されており、基板
としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段に整列
した状態で収容可能に構成されている。
A reaction tube 203 is disposed inside the heater 207 concentrically with the heater 207.
The reaction tube 203 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and is formed in a cylindrical shape with the upper end closed and the lower end opened. A manifold (inlet flange) 209 is disposed below the reaction tube 203 concentrically with the reaction tube 203. The manifold 209 is made of, for example, a metal such as stainless steel, and is formed in a cylindrical shape with an upper end and a lower end opened. The upper end portion of the manifold 209 is engaged with the lower end portion of the reaction tube 203 and is configured to support the reaction tube 203. Manifold 2
An O-ring 220a as a seal member is provided between 09 and the reaction tube 203. As the manifold 209 is supported by the heater base, the reaction tube 203 is installed vertically. Mainly, a processing vessel (by a reaction tube 203 and a manifold 209)
Reaction vessel). A processing chamber 201 is formed in the cylindrical hollow portion of the processing container, and is configured so that wafers 200 as substrates can be accommodated in a state of being aligned in multiple stages in a horizontal posture and in a vertical direction by a boat 217 described later.

処理室201内には、ノズル249a〜249dが、マニホールド209の側壁を貫通
するように設けられている。ノズル249a〜249dには、ガス供給管232a〜23
2dが、それぞれ接続されている。ガス供給管232aには、ガス供給管232eが接続
されている。ガス供給管232cには、ガス供給管232fが接続されている。このよう
に、反応管203には、4本のノズル249a〜249dと、複数本のガス供給管232
a〜232fとが設けられており、処理室201内へ複数種類のガスを供給することがで
きるように構成されている。
In the processing chamber 201, nozzles 249a to 249d are provided so as to penetrate the side wall of the manifold 209. The nozzles 249a to 249d have gas supply pipes 232a to 232a.
2d are connected to each other. A gas supply pipe 232e is connected to the gas supply pipe 232a. A gas supply pipe 232f is connected to the gas supply pipe 232c. Thus, the reaction tube 203 includes four nozzles 249a to 249d and a plurality of gas supply tubes 232.
a to 232f, and a plurality of types of gases can be supplied into the processing chamber 201.

ガス供給管232aの上流端には、例えば原料ガス供給源としての(SiCl
(BTCSM)ガス供給源242aが接続されている。ガス供給管232eの上流端
には、例えば原料ガス供給源としてのSiCl(HCDS)ガス供給源242eが接
続されている。ガス供給管232bの上流端には、例えば酸化ガス供給源としてのH
ガス供給源242bが接続されている。ガス供給管232cの上流端には、例えば触媒ガ
ス供給源としてのCN(ピリジン)ガス供給源242cが接続されている。ガス供
給管232fの上流端には、例えば炭素(C)を含む改質ガス供給源としてのC
ス供給源242fが接続されている。ガス供給管232dの上流端には、例えば窒素(N
)を含む改質ガス供給源としてのNHガス供給源242dが接続されている。ガス供給
管232a〜232dにそれぞれ接続されるガス供給管232g〜232jの上流端には
、例えば不活性ガス供給源としてのNガス供給源242g〜242jが接続されている
。ガス供給管232a〜232jには、各ガス供給源242a〜242jが接続された上
流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)2
41a〜241j、及び開閉弁であるバルブ243a〜243jがそれぞれ設けられてい
る。ガス供給管232a〜232dのバルブ243a〜243dよりも下流側に、ガス供
給管232g〜232jの下流端がそれぞれ接続されている。ガス供給管232a,23
2cのバルブ243a,243cよりも下流側には、ガス供給管232e,232fの下
流端もそれぞれ接続されている。
The upstream end of the gas supply pipe 232a has, for example, (SiCl 3 ) 2 C as a source gas supply source.
An H 2 (BTCSM) gas supply source 242a is connected. For example, a Si 2 Cl 6 (HCDS) gas supply source 242e as a source gas supply source is connected to the upstream end of the gas supply pipe 232e. At the upstream end of the gas supply pipe 232b, for example, H 2 O as an oxidizing gas supply source is provided.
A gas supply source 242b is connected. For example, a C 5 H 5 N (pyridine) gas supply source 242c as a catalyst gas supply source is connected to the upstream end of the gas supply pipe 232c. A C 3 H 6 gas supply source 242f as a reformed gas supply source containing, for example, carbon (C) is connected to the upstream end of the gas supply pipe 232f. At the upstream end of the gas supply pipe 232d, for example, nitrogen (N
) Including an NH 3 gas supply source 242d as a reformed gas supply source. For example, N 2 gas supply sources 242g to 242j as inert gas supply sources are connected to upstream ends of the gas supply tubes 232g to 232j connected to the gas supply tubes 232a to 232d, respectively. A mass flow controller (MFC) 2 that is a flow rate controller (flow rate control unit) is sequentially connected to the gas supply pipes 232a to 232j in the upstream direction to which the gas supply sources 242a to 242j are connected.
41a to 241j and valves 243a to 243j which are on-off valves are provided, respectively. The downstream ends of the gas supply pipes 232g to 232j are connected to the downstream sides of the valves 243a to 243d of the gas supply pipes 232a to 232d, respectively. Gas supply pipes 232a, 23
The downstream ends of the gas supply pipes 232e and 232f are also connected to the downstream side of the 2c valves 243a and 243c, respectively.

ガス供給管232a〜232cの先端部には、上述のノズル249a〜249cがそれ
ぞれ接続されている。ノズル249a〜249cは、図2に示すように、反応管203の
内壁とウエハ200との間における円環状の空間に、反応管203の内壁の下部より上部
に沿って、ウエハ200の積載方向上方に向かって立ち上がるようにそれぞれ設けられて
いる。すなわち、ノズル249a〜249cは、ウエハ200が配列されるウエハ配列領
域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うようにそれ
ぞれ設けられている。ノズル249a〜249cはL字型のロングノズルとしてそれぞれ
構成されており、それらの各水平部はマニホールド209の側壁を貫通するように設けら
れており、それらの各垂直部は少なくともウエハ配列領域の一端側から他端側に向かって
立ち上がるように設けられている。ノズル249a〜249cの側面にはガスを供給する
ガス供給孔250a〜250cがそれぞれ設けられている。図2に示すように、ガス供給
孔250a〜250cは反応管203の中心を向くように開口しており、ウエハ200に
向けてガスを供給することが可能となっている。これらのガス供給孔250a〜250c
は、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を
有し、更に同じ開口ピッチで設けられている。
The nozzles 249a to 249c described above are connected to the distal ends of the gas supply pipes 232a to 232c, respectively. As shown in FIG. 2, the nozzles 249 a to 249 c are arranged in an annular space between the inner wall of the reaction tube 203 and the wafer 200, along the upper portion from the lower portion of the inner wall of the reaction tube 203. It is provided to stand up towards each. That is, the nozzles 249a to 249c are respectively provided along the wafer arrangement area in the area horizontally surrounding the wafer arrangement area on the side of the wafer arrangement area where the wafers 200 are arranged. The nozzles 249a to 249c are each configured as an L-shaped long nozzle, and each horizontal portion thereof is provided so as to penetrate the side wall of the manifold 209, and each vertical portion thereof is at least one end of the wafer arrangement region. It is provided so as to rise from the side toward the other end side. Gas supply holes 250a to 250c for supplying gas are provided on the side surfaces of the nozzles 249a to 249c, respectively. As shown in FIG. 2, the gas supply holes 250 a to 250 c are opened so as to face the center of the reaction tube 203, and gas can be supplied toward the wafer 200. These gas supply holes 250a-250c
Are provided from the lower part to the upper part of the reaction tube 203, each having the same opening area, and further provided at the same opening pitch.

ガス供給管232dの先端部には、上述のノズル249dが接続されている。ノズル2
49dは、ガス分散空間であるバッファ室237内に設けられている。バッファ室237
は、図2に示すように、反応管203の内壁とウエハ200との間における円環状の空間
に、また、反応管203内壁の下部より上部にわたる部分に、ウエハ200の積載方向に
沿って設けられている。すなわち、バッファ室237は、ウエハ配列領域の側方の、ウエ
ハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。バッ
ファ室237のウエハ200と隣接する壁の端部には、ガスを供給するガス供給孔250
eが設けられている。ガス供給孔250eは反応管203の中心を向くように開口してお
り、ウエハ200に向けてガスを供給することが可能となっている。このガス供給孔25
0eは、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面
積を有し、更に同じ開口ピッチで設けられている。
The nozzle 249d is connected to the tip of the gas supply pipe 232d. Nozzle 2
49d is provided in the buffer chamber 237 which is a gas dispersion space. Buffer room 237
2 is provided in the annular space between the inner wall of the reaction tube 203 and the wafer 200 as shown in FIG. It has been. That is, the buffer chamber 237 is provided on the side of the wafer arrangement area, in a region that horizontally surrounds the wafer arrangement area, along the wafer arrangement area. A gas supply hole 250 for supplying a gas is provided at the end of the buffer chamber 237 adjacent to the wafer 200.
e is provided. The gas supply hole 250 e is opened so as to face the center of the reaction tube 203, and gas can be supplied toward the wafer 200. This gas supply hole 25
A plurality of 0e are provided from the lower part to the upper part of the reaction tube 203, each having the same opening area, and further provided at the same opening pitch.

ノズル249dは、図2に示すように、バッファ室237のガス供給孔250eが設け
られた端部と反対側の端部に、反応管203の内壁の下部より上部に沿って、ウエハ20
0の積載方向上方に向かって立ち上がるように設けられている。すなわち、ノズル249
dは、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り
囲む領域に、ウエハ配列領域に沿うように設けられている。ノズル249dは、L字型の
ロングノズルとして構成されており、その水平部はマニホールド209の側壁を貫通する
ように設けられており、その垂直部は少なくともウエハ配列領域の一端側から他端側に向
かって立ち上がるように設けられている。ノズル249dの側面にはガスを供給するガス
供給孔250dが設けられている。図2に示すように、ガス供給孔250dはバッファ室
237の中心を向くように開口している。このガス供給孔250dは、バッファ室237
のガス供給孔250eと同様に、反応管203の下部から上部にわたって複数設けられて
いる。この複数のガス供給孔250dのそれぞれの開口面積は、バッファ室237内と処
理室201内の差圧が小さい場合には、上流側(下部)から下流側(上部)まで、それぞ
れ同一の開口面積で同一の開口ピッチとするとよいが、差圧が大きい場合には、上流側か
ら下流側に向かってそれぞれ開口面積を大きくするか、開口ピッチを小さくするとよい。
As shown in FIG. 2, the nozzle 249 d is provided at the end of the buffer chamber 237 opposite to the end where the gas supply hole 250 e is provided, along the upper portion from the lower portion of the inner wall of the reaction tube 203.
It is provided so as to rise upward in the stacking direction of 0. That is, the nozzle 249
d is provided along the wafer arrangement region in a region horizontally surrounding the wafer arrangement region on the side of the wafer arrangement region where the wafers 200 are arranged. The nozzle 249d is configured as an L-shaped long nozzle, and its horizontal portion is provided so as to penetrate the side wall of the manifold 209, and its vertical portion is at least from one end side to the other end side of the wafer arrangement region. It is provided to stand up. A gas supply hole 250d for supplying a gas is provided on a side surface of the nozzle 249d. As shown in FIG. 2, the gas supply hole 250 d is opened to face the center of the buffer chamber 237. The gas supply hole 250d is provided in the buffer chamber 237.
Similarly to the gas supply hole 250e, a plurality of reaction tubes 203 are provided from the bottom to the top. Each of the gas supply holes 250d has the same opening area from the upstream side (lower part) to the downstream side (upper part) when the differential pressure between the buffer chamber 237 and the processing chamber 201 is small. However, when the differential pressure is large, the opening area may be increased from the upstream side to the downstream side, or the opening pitch may be decreased.

本実施形態においては、ガス供給孔250dのそれぞれの開口面積や開口ピッチを、上
流側から下流側にかけて上述のように調節することで、まず、ガス供給孔250dのそれ
ぞれから、流速の差はあるものの、流量がほぼ同量であるガスを噴出させる。そしてこの
ガス供給孔250dのそれぞれから噴出するガスを、一旦、バッファ室237内に導入し
、バッファ室237内においてガスの流速差の均一化を行うこととしている。すなわち、
ガス供給孔250dのそれぞれよりバッファ室237内に噴出したガスはバッファ室23
7内で各ガスの粒子速度が緩和された後、ガス供給孔250eより処理室201内に噴出
する。これにより、ガス供給孔250dのそれぞれよりバッファ室237内に噴出したガ
スは、ガス供給孔250eのそれぞれより処理室201内に噴出する際には、均一な流量
と流速とを有するガスとなる。
In the present embodiment, by adjusting the opening area and opening pitch of each gas supply hole 250d from the upstream side to the downstream side as described above, first, there is a difference in flow velocity from each of the gas supply holes 250d. However, the gas with the same flow rate is ejected. The gas ejected from each of the gas supply holes 250d is once introduced into the buffer chamber 237, and the difference in gas flow velocity is made uniform in the buffer chamber 237. That is,
The gas ejected into the buffer chamber 237 from each of the gas supply holes 250d is transferred to the buffer chamber 23.
7, after the particle velocity of each gas is relaxed, the gas is ejected into the processing chamber 201 from the gas supply hole 250e. Thus, the gas ejected into the buffer chamber 237 from each of the gas supply holes 250d becomes a gas having a uniform flow rate and flow velocity when ejected into the processing chamber 201 from each of the gas supply holes 250e.

このように、本実施形態におけるロングノズルを用いたガス供給の方法では、反応管2
03の内壁と、積載された複数枚のウエハ200の端部とで定義される円環状の縦長に伸
びた空間内、つまり、円筒状の空間内に配置したノズル249a〜249dおよびバッフ
ァ室237を経由してガスを搬送し、ノズル249a〜249dおよびバッファ室237
にそれぞれ開口されたガス供給孔250a〜250eからウエハ200の近傍で初めて反
応管203内にガスを噴出させており、反応管203内におけるガスの主たる流れをウエ
ハ200の表面と平行な方向、すなわち、水平方向としている。このような構成とするこ
とで、各ウエハ200に均一にガスを供給でき、各ウエハ200の表面上に形成される膜
の膜厚の均一性を向上させる効果がある。ウエハ200の表面上を流れたガス、すなわち
、反応後の残ガスは、排気口、すなわち、後述する排気管231の方向に向かって流れる
が、この残ガスの流れの方向は、排気口の位置によって適宜特定され、垂直方向に限った
ものではない。
Thus, in the gas supply method using the long nozzle in the present embodiment, the reaction tube 2
The nozzles 249a to 249d and the buffer chamber 237 are arranged in an annular vertically long space defined by the inner wall of 03 and the ends of a plurality of stacked wafers 200, that is, in a cylindrical space. Gas is transferred via the nozzles 249a to 249d and the buffer chamber 237.
The gas is first ejected into the reaction tube 203 from the gas supply holes 250a to 250e respectively opened in the vicinity of the wafer 200, and the main flow of the gas in the reaction tube 203 is in a direction parallel to the surface of the wafer 200, that is, The horizontal direction. With such a configuration, it is possible to supply gas uniformly to each wafer 200, and there is an effect of improving the uniformity of the film thickness formed on the surface of each wafer 200. The gas flowing on the surface of the wafer 200, that is, the residual gas after the reaction flows toward the exhaust port, that is, the direction of the exhaust pipe 231 described later. The direction of the flow of the residual gas depends on the position of the exhaust port. And is not limited to the vertical direction.

ガス供給管232aからは、シリコン(Si)、炭素(C)およびハロゲン元素(フッ
素(F)、塩素(Cl)、臭素(Br)等)を含みSi−C結合を有する原料ガスとして
、例えば、Si、アルキレン基としてのメチレン基、およびハロゲン基としてのクロロ基
を含む原料ガスであるメチレン基を含むクロロシラン系原料ガスが、MFC241a、バ
ルブ243a、ノズル249aを介して処理室201内に供給される。メチレン基を含む
クロロシラン系原料ガスとは、メチレン基およびクロロ基を含むシラン系原料ガスのこと
であり、少なくともSiと、Cを含んだメチレン基と、ハロゲン元素としてのClとを含
む原料ガスのことである。ガス供給管232aから供給されるメチレン基を含むクロロシ
ラン系原料ガスとしては、例えば、メチレンビス(トリクロロシラン)ガス、すなわち、
ビス(トリクロロシリル)メタン((SiClCH、略称:BTCSM)ガスを
用いることができる。
From the gas supply pipe 232a, as a source gas containing Si (C) and carbon (C) and a halogen element (fluorine (F), chlorine (Cl), bromine (Br), etc.) and having a Si-C bond, for example, A chlorosilane-based source gas containing a methylene group, which is a source gas containing Si, a methylene group as an alkylene group, and a chloro group as a halogen group, is supplied into the processing chamber 201 through the MFC 241a, the valve 243a, and the nozzle 249a. . The chlorosilane-based source gas containing a methylene group is a silane-based source gas containing a methylene group and a chloro group, and is a source gas containing at least Si, a methylene group containing C, and Cl as a halogen element. That is. As the chlorosilane-based source gas containing a methylene group supplied from the gas supply pipe 232a, for example, methylene bis (trichlorosilane) gas, that is,
Bis (trichlorosilyl) methane ((SiCl 3 ) 2 CH 2 , abbreviation: BTCSM) gas can be used.

図15(a)に示すように、BTCSMは、その化学構造式中(1分子中)にアルキレ
ン基としてのメチレン基を含む。BTCSMに含まれるメチレン基は2つの結合手がそれ
ぞれSiと結合し、Si−C−Si結合をなしている。原料ガスが有するSi−C結合は
、例えばBTCSMに含まれるSi−C−Si結合の一部であり、BTCSMに含まれる
メチレン基は、係るSi−C結合を構成するCを含む。
As shown in FIG. 15A, BTCSM includes a methylene group as an alkylene group in its chemical structural formula (in one molecule). In the methylene group contained in BTCSM, two bonds are respectively bonded to Si to form a Si—C—Si bond. The Si—C bond included in the source gas is, for example, a part of the Si—C—Si bond included in BTCSM, and the methylene group included in BTCSM includes C constituting the Si—C bond.

また、Si,Cおよびハロゲン元素を含みSi−C結合を有する原料ガスには、例えば
、Si、アルキレン基としてのエチレン基、およびハロゲン基としてのクロロ基を含む原
料ガスであるエチレン基を含むクロロシラン系原料ガスが含まれる。エチレン基を含むク
ロロシラン系原料ガスとしては、例えば、エチレンビス(トリクロロシラン)ガス、すな
わち、1,2−ビス(トリクロロシリル)エタン((SiCl、略称:B
TCSE)ガス等を用いることができる。
The source gas containing Si, C and a halogen element and having a Si—C bond includes, for example, Si, ethylene group as an alkylene group, and chlorosilane containing an ethylene group which is a source gas containing a chloro group as a halogen group. System material gas is included. As the chlorosilane-based source gas containing an ethylene group, for example, ethylene bis (trichlorosilane) gas, that is, 1,2-bis (trichlorosilyl) ethane ((SiCl 3 ) 2 C 2 H 4 , abbreviation: B
TCSE) gas or the like can be used.

図15(b)に示すように、BTCSEは、その化学構造式中(1分子中)にアルキレ
ン基としてのエチレン基を含む。BTCSEに含まれるエチレン基は2つの結合手がそれ
ぞれSiと結合し、Si−C−C−Si結合をなしている。原料ガスが有するSi−C結
合は、例えばBTCSEに含まれるSi−C−C−Si結合の一部であり、BTCSEに
含まれるエチレン基は、係るSi−C結合を構成するCを含む。
As shown in FIG. 15B, BTCSE includes an ethylene group as an alkylene group in its chemical structural formula (in one molecule). In the ethylene group contained in BTCSE, two bonds are bonded to Si, respectively, to form a Si—C—C—Si bond. The Si—C bond included in the source gas is, for example, a part of the Si—C—C—Si bond included in BTCSE, and the ethylene group included in BTCSE contains C constituting the Si—C bond.

なお、アルキレン基とは、一般式C2n+2で表される鎖状飽和炭化水素(アルカ
ン)から水素(H)原子を2つ取り除いた官能基であり、一般式C2nで表される原
子の集合体である。アルキレン基には、上記に挙げたメチレン基やエチレン基のほか、プ
ロピレン基やブチレン基などが含まれる。このように、Si,Cおよびハロゲン元素を含
みSi−C結合を有する原料ガスには、Si、アルキレン基およびハロゲン元素を含むア
ルキレンハロシラン系原料ガスが含まれる。アルキレンハロシラン系原料ガスは、アルキ
レン基を含むハロシラン系原料ガスであり、ハロシラン系原料ガスにおけるSiの結合手
に多くのハロゲン元素が結合した状態を維持したまま、例えばSi−Si結合間にアルキ
レン基が導入された構造を持つガスともいえる。BTCSMガスおよびBTCSEガス等
は、アルキレンハロシラン系原料ガスに含まれる。
The alkylene group is a functional group obtained by removing two hydrogen (H) atoms from a chain saturated hydrocarbon (alkane) represented by the general formula C n H 2n + 2 , and represented by the general formula C n H 2n. Is an assembly of atoms. The alkylene group includes a propylene group and a butylene group in addition to the methylene group and ethylene group mentioned above. Thus, the source gas containing Si, C and a halogen element and having a Si—C bond includes an alkylenehalosilane-based source gas containing Si, an alkylene group and a halogen element. The alkylene halosilane-based source gas is a halosilane-based source gas containing an alkylene group, and, for example, an alkylene between Si-Si bonds is maintained while maintaining a state in which many halogen elements are bonded to Si bonds in the halosilane-based source gas. It can be said that the gas has a structure in which a group is introduced. BTCSM gas, BTCSE gas, and the like are included in the alkylenehalosilane-based source gas.

また、Si,Cおよびハロゲン元素を含みSi−C結合を有する原料ガスには、例えば
、Si、アルキル基としてのメチル基、およびハロゲン基としてのクロロ基を含む原料ガ
スであるメチル基を含むクロロシラン系原料ガスが含まれる。メチル基を含むクロロシラ
ン系原料ガスとは、メチル基およびクロロ基を含むシラン系原料ガスのことであり、少な
くともSiと、Cを含んだメチル基と、ハロゲン元素としてのClとを含む原料ガスのこ
とである。メチル基を含むクロロシラン系原料ガスとしては、例えば、1,1,2,2−
テトラクロロ−1,2−ジメチルジシラン((CHSiCl、略称:TCDM
DS)ガス、1,2−ジクロロ−1,1,2,2−テトラメチルジシラン((CH
SiCl、略称:DCTMDS)ガス、及び1−モノクロロ−1,1,2,2,2−
ペンタメチルジシラン((CHSiCl、略称:MCPMDS)ガス等を用いる
ことができる。
The source gas containing Si, C and a halogen element and having a Si—C bond includes, for example, Si, a methyl group as an alkyl group, and a chlorosilane containing a methyl group that is a source gas containing a chloro group as a halogen group. System material gas is included. The chlorosilane-based source gas containing a methyl group is a silane-based source gas containing a methyl group and a chloro group, and is a source gas containing at least Si, a methyl group containing C, and Cl as a halogen element. That is. Examples of the chlorosilane-based source gas containing a methyl group include 1,1,2,2-
Tetrachloro-1,2-dimethyldisilane ((CH 3 ) 2 Si 2 Cl 4 , abbreviation: TCDM
DS) gas, 1,2-dichloro-1,1,2,2-tetramethyldisilane ((CH 3 ) 4
Si 2 Cl 2 (abbreviation: DCTMDS) gas, and 1-monochloro-1,1,2,2,2-
Pentamethyldisilane ((CH 3 ) 5 Si 2 Cl, abbreviation: MCPMDS) gas or the like can be used.

図15(c)に示すように、TCDMDSは、その化学構造式中(1分子中)にアルキ
ル基としてのメチル基を2つ含む。TCDMDSに含まれる2つのメチル基は各結合手が
それぞれSiと結合し、Si−C結合をなしている。原料ガスが有するSi−C結合は、
例えばTCDMDSに含まれるSi−C結合であり、TCDMDSに含まれる2つのメチ
ル基は、係るSi−C結合を構成するCをそれぞれ含む。
As shown in FIG. 15 (c), TCDMDS contains two methyl groups as alkyl groups in its chemical structural formula (in one molecule). In the two methyl groups contained in TCDMDS, each bond is bonded to Si to form a Si—C bond. The Si—C bond of the source gas is
For example, it is a Si—C bond included in TCMDDS, and two methyl groups included in TCMDDS each include C constituting such a Si—C bond.

図15(d)に示すように、DCTMDSは、その化学構造式中(1分子中)にアルキ
ル基としてのメチル基を4つ含む。DCTMDSに含まれる4つのメチル基は各結合手が
それぞれSiと結合し、Si−C結合をなしている。原料ガスが有するSi−C結合は、
例えばDCTMDSに含まれるSi−C結合であり、DCTMDSに含まれる4つのメチ
ル基は、係るSi−C結合を構成するCをそれぞれ含む。
As shown in FIG. 15D, DCTMDS includes four methyl groups as alkyl groups in its chemical structural formula (in one molecule). Each of the four methyl groups contained in DCTMDS is bonded to Si to form a Si—C bond. The Si—C bond of the source gas is
For example, it is a Si—C bond included in DCTMDS, and four methyl groups included in DCTMDS each include C constituting such a Si—C bond.

図15(e)に示すように、MCPMDSは、その化学構造式中(1分子中)にアルキ
ル基としてのメチル基を5つ含む。MCPMDSに含まれる5つのメチル基は各結合手が
それぞれSiと結合し、Si−C結合をなしている。原料ガスが有するSi−C結合は、
例えばMCPMDSに含まれるSi−C結合の一部であり、MCPMDSに含まれる5つ
のメチル基は、原料ガスが有するSi−C結合を構成するCをそれぞれ含む。上述のBT
CSMガス、BTCSEガス、TCDMDSガス、DCTMDSガス等の原料ガスとは異
なり、MCPMDSガスは、Siを囲むメチル基とクロロ基との配置がMCPMDS分子
中(化学構造式中)で非対象となったアシメトリ(asymmetry)の構造を有する
。このように、本実施形態では、図15(a)〜(d)のような化学構造式がシンメトリ
(symmetry)である原料ガスだけでなく、化学構造式がアシメトリである原料ガ
スを用いることもできる。
As shown in FIG. 15 (e), MCPMDS contains five methyl groups as alkyl groups in its chemical structural formula (in one molecule). Each of the five methyl groups contained in MCPMDS is bonded to Si to form a Si—C bond. The Si—C bond of the source gas is
For example, it is a part of the Si—C bond contained in MCPMDS, and the five methyl groups contained in MCPMDS each contain C constituting the Si—C bond that the source gas has. BT mentioned above
Unlike source gases such as CSM gas, BTCSE gas, TCMDDS gas, DCTMDS gas, etc., MCPMDS gas is untargeted due to the arrangement of methyl and chloro groups surrounding Si in the MCPMDS molecule (in the chemical structure) It has an asymmetry structure. As described above, in this embodiment, not only the source gas whose chemical structural formula is as shown in FIGS. 15A to 15D but also the source gas whose chemical structural formula is asymmetry may be used. it can.

なお、アルキル基とは、一般式C2n+2で表される鎖状飽和炭化水素(アルカン
)からH原子を1つ取り除いた官能基であり、一般式C2n+1で表される原子の集
合体である。アルキル基には、上記に挙げたメチル基のほか、エチル基、プロピル基、ブ
チル基などが含まれる。このように、Si,Cおよびハロゲン元素を含みSi−C結合を
有する原料ガスには、Si、アルキル基およびハロゲン元素を含むアルキルハロシラン系
原料ガスが含まれる。アルキルハロシラン系原料ガスは、アルキル基を含むハロシラン系
原料ガスであり、ハロシラン系原料ガスの一部のハロゲン基がアルキル基に置き換わった
構造を持つガスともいえる。TCDMDSガス、DCTMDSガスおよびMCPMDSガ
ス等は、アルキルハロシラン系原料ガスに含まれる。
The alkyl group is a functional group obtained by removing one H atom from a chain saturated hydrocarbon (alkane) represented by the general formula C n H 2n + 2 , and includes an atom represented by the general formula C n H 2n + 1. It is an aggregate. The alkyl group includes an ethyl group, a propyl group, a butyl group and the like in addition to the methyl group listed above. As described above, the source gas containing Si, C and a halogen element and having a Si—C bond includes an alkylhalosilane-based source gas containing Si, an alkyl group, and a halogen element. The alkylhalosilane-based source gas is a halosilane-based source gas containing an alkyl group, and can be said to be a gas having a structure in which some halogen groups of the halosilane-based source gas are replaced with alkyl groups. TCMDDS gas, DCTMDS gas, MCPMDS gas, and the like are included in the alkylhalosilane-based source gas.

BTCSMガス、BTCSEガス、TCDMDSガス、DCTMDSガス、MCPMD
Sガスは、1分子中にC,ハロゲン元素(Cl)および少なくとも2つのSiを含みSi
−C結合を有する原料ガスということができる。これらを、シリコン(Si)源および炭
素(C)源となる原料ガスということもできる。このタイプの原料ガスを用いることで、
後述するように、形成する薄膜中にCを高濃度に取り込むことが可能となる。一方で、後
述するように、ガス分子中にCを含まないクロロシラン系原料ガスであるHCDSガスや
、ガス分子中にCを含むがSi−C結合を有さないアミノシラン系原料ガスであるBTB
ASガス等は、Si源となるがC源とはならない原料ガスである。このタイプの原料ガス
を用いても、後述するように、形成する薄膜中にCが取り込まれることはほとんどない。
BTCSM gas, BTCSE gas, TCDMDS gas, DCTMDS gas, MCPMD
S gas contains C, halogen element (Cl) and at least two Si atoms in one molecule.
It can be said that the source gas has a -C bond. These can also be referred to as source gases to be a silicon (Si) source and a carbon (C) source. By using this type of source gas,
As will be described later, C can be taken into the thin film to be formed at a high concentration. On the other hand, as will be described later, HCDS gas, which is a chlorosilane-based source gas that does not contain C in gas molecules, or BTB, which is an aminosilane-based source gas that contains C in gas molecules but has no Si—C bond.
AS gas or the like is a raw material gas that becomes a Si source but does not become a C source. Even when this type of source gas is used, C is hardly taken into the thin film to be formed, as will be described later.

ガス供給管232eからは、シリコン(Si)およびハロゲン元素を含む原料ガス、す
なわち、ガス分子中にCを含まないハロシラン系原料ガスとして、例えば、Siおよびハ
ロゲン基としてのクロロ基を含み、ガス分子中にCを含まないクロロシラン系原料ガスが
、MFC241e、バルブ243e、ガス供給管232a、ノズル249aを介して処理
室201内に供給される。上記のように、ガス分子中にCを含まないクロロシラン系原料
ガスは、Si源となるがC源とはならない原料ガスである。ガス供給管232eから供給
される、ガス分子中にCを含まないクロロシラン系原料ガスとしては、例えば、ヘキサク
ロロジシラン(SiCl、略称:HCDS)ガスを用いることができる。
From the gas supply pipe 232e, a source gas containing silicon (Si) and a halogen element, that is, a halosilane-based source gas not containing C in gas molecules, for example, containing chloro groups as Si and halogen groups, and gas molecules A chlorosilane-based source gas not containing C is supplied into the processing chamber 201 via the MFC 241e, the valve 243e, the gas supply pipe 232a, and the nozzle 249a. As described above, the chlorosilane-based source gas that does not contain C in the gas molecules is a source gas that becomes a Si source but does not become a C source. For example, hexachlorodisilane (Si 2 Cl 6 , abbreviation: HCDS) gas can be used as the chlorosilane-based source gas that does not contain C in the gas molecules and is supplied from the gas supply pipe 232e.

ここで、原料ガスとは、気体状態の原料、例えば、常温常圧下で液体状態である原料を
気化することで得られるガスや、常温常圧下で気体状態である原料等のことである。本明
細書において「原料」という言葉を用いた場合は、「液体状態である液体原料」を意味す
る場合、「気体状態である原料ガス」を意味する場合、または、その両方を意味する場合
がある。従って、本明細書において「ハロシラン系原料(クロロシラン系原料)」という
言葉を用いた場合は、「液体状態であるハロシラン系原料(クロロシラン系原料)」を意
味する場合、「気体状態であるハロシラン系原料ガス(クロロシラン系原料ガス)」を意
味する場合、または、その両方を意味する場合がある。BTCSM、BTCSE、TCD
MDS、DCTMDS、MCPMDS、HCDSのように常温常圧下で液体状態である液
体原料を用いる場合は、液体原料を気化器やバブラ等の気化システムにより気化して、原
料ガス(BTCSMガス、BTCSEガス、TCDMDSガス、DCTMDSガス、MC
PMDSガス、HCDSガス)として供給することとなる。
Here, the raw material gas is a raw material in a gaseous state, for example, a gas obtained by vaporizing a raw material that is in a liquid state under normal temperature and normal pressure, or a raw material that is in a gaseous state under normal temperature and normal pressure. In the present specification, when the term “raw material” is used, it means “a liquid raw material in a liquid state”, “a raw material gas in a gaseous state”, or both. is there. Therefore, when the term “halosilane-based material (chlorosilane-based material)” is used in the present specification, the term “halosilane-based material in a liquid state (chlorosilane-based material)” means “halosilane-based material in a gas state”. It may mean "source gas (chlorosilane-based source gas)" or both. BTCSM, BTCSE, TCD
When using a liquid raw material that is in a liquid state at normal temperature and pressure, such as MDS, DCTMDS, MCPMDS, and HCDS, the liquid raw material is vaporized by a vaporization system such as a vaporizer or a bubbler, and the raw material gas (BTCSM gas, BTCSE gas, TCMDDS gas, DCTMDS gas, MC
(PMDS gas, HCDS gas).

ガス供給管232bからは、酸化ガスとして、例えば、酸素(O)を含むガス(酸素含
有ガス)が、MFC241b、バルブ243b、ノズル249bを介して処理室201内
に供給される。ガス供給管232bから供給される酸化ガスとしては、例えば、水蒸気(
Oガス)を用いることができる。HOガスの供給に際しては、図示しない外部燃焼
装置に、酸素(O)ガスと水素(H)ガスとを供給してHOガスを生成し、供給す
る構成としてもよい。
From the gas supply pipe 232b, as an oxidizing gas, for example, a gas containing oxygen (O) (oxygen-containing gas) is supplied into the processing chamber 201 through the MFC 241b, the valve 243b, and the nozzle 249b. Examples of the oxidizing gas supplied from the gas supply pipe 232b include water vapor (
H 2 O gas) can be used. When supplying the H 2 O gas, an oxygen (O 2 ) gas and a hydrogen (H 2 ) gas may be supplied to an external combustion apparatus (not shown) to generate and supply the H 2 O gas.

ガス供給管232cからは、酸解離定数(以下、pKaともいう)が1〜11程度、好
ましくは5〜11程度、より好ましくは5〜7である触媒ガスとして、例えば、孤立電子
対を有する窒素(N)を含むガス(窒素系ガス)が、MFC241c、バルブ243c、
ノズル249cを介して処理室201内に供給される。ここで、酸解離定数(pKa)と
は、酸の強さを定量的に表す指標の1つであり、酸から水素イオンが放出される解離反応
における平衡定数Kaを負の常用対数で表したものである。触媒ガスは、孤立電子対を有
するNを含むことで、その触媒作用によりウエハ200の表面、あるいは、HOガス等
の酸化ガスが有するO−H結合の結合力を弱め、原料ガス等の分解を促進し、また、H
Oガス等による酸化反応を促進する。孤立電子対を有するNを含む窒素系ガスとしては、
例えばアンモニア(NH)が有する水素原子のうち少なくとも1つをアルキル基等の炭
化水素基で置換したアミンを含むアミン系ガスが挙げられる。ガス供給管232cから供
給される触媒ガスとしては、例えば、アミン系ガスであるピリジン(CN)ガスを
用いることができる。
From the gas supply pipe 232c, as a catalyst gas having an acid dissociation constant (hereinafter also referred to as pKa) of about 1 to 11, preferably about 5 to 11, more preferably 5 to 7, for example, nitrogen having a lone pair of electrons. Gas containing (N) (nitrogen-based gas) is MFC 241c, valve 243c,
It is supplied into the processing chamber 201 through the nozzle 249c. Here, the acid dissociation constant (pKa) is one of the indices quantitatively representing the strength of the acid, and the equilibrium constant Ka in the dissociation reaction in which hydrogen ions are released from the acid is represented by a negative common logarithm. Is. The catalyst gas contains N having a lone pair of electrons, so that its catalytic action weakens the bonding force of the O—H bond of the surface of the wafer 200 or an oxidizing gas such as H 2 O gas, and the like, Promotes decomposition and also H 2
Promotes oxidation reaction with O gas. As a nitrogen-based gas containing N having a lone electron pair,
For example, an amine-based gas containing an amine in which at least one hydrogen atom of ammonia (NH 3 ) is substituted with a hydrocarbon group such as an alkyl group can be given. As the catalyst gas supplied from the gas supply pipe 232c, for example, pyridine (C 5 H 5 N) gas which is an amine-based gas can be used.

図14に示すように、触媒ガスとして用いられる各種アミンは、例えばピリジン(C
N、pKa=5.67)の他、アミノピリジン(C、pKa=6.89)
、ピコリン(CN、pKa=6.07)、ルチジン(CN、pKa=6.9
6)、ピリミジン(C、pKa=1.30)、キノリン(CN、pKa
=4.97)、ピペラジン(C10、pKa=9.80)、およびピペリジン(
11N、pKa=11.12)等を含む。図14に示す各種アミンは、炭化水素基
が環状となった環状アミンでもある。これらの環状アミンは、CとNとの複数種類の元素
からその環状構造が構成される複素環化合物、すなわち、窒素含有複素環化合物であると
もいえる。これらの触媒ガスとしてのアミン系ガスは、アミン系触媒ガスともいえる。
As shown in FIG. 14, various amines used as the catalyst gas include, for example, pyridine (C 5
Aminopyridine (C 5 H 6 N 2 , pKa = 6.89) in addition to H 5 N, pKa = 5.67)
, Picoline (C 6 H 7 N, pKa = 6.07), lutidine (C 7 H 9 N, pKa = 6.9)
6), pyrimidine (C 4 H 4 N 2, pKa = 1.30), quinoline (C 9 H 7 N, pKa
= 4.97), piperazine (C 4 H 10 N 2, pKa = 9.80), and piperidine (
C 5 H 11 N, pKa = 11.12) and the like. The various amines shown in FIG. 14 are also cyclic amines in which the hydrocarbon group is cyclic. It can be said that these cyclic amines are heterocyclic compounds having a cyclic structure composed of a plurality of kinds of elements of C and N, that is, nitrogen-containing heterocyclic compounds. These amine-based gases as catalyst gases can be said to be amine-based catalyst gases.

ここで、アミン系ガスとは、気体状態のアミン、例えば、常温常圧下で液体状態である
アミンを気化することで得られるガスや、常温常圧下で気体状態であるアミン等を含むガ
スのことである。本明細書において「アミン」という言葉を用いた場合は、「液体状態で
あるアミン」を意味する場合、「気体状態であるアミン系ガス」を意味する場合、または
、その両方を意味する場合がある。ピリジン、アミノピリジン、ピコリン、ルチジン、ピ
リミジン、キノリン、ピペラジン、およびピペリジンのように常温常圧下で液体状態であ
るアミンを用いる場合は、液体状態のアミンを気化器やバブラ等の気化システムにより気
化して、アミン系ガス(ピリジンガス、アミノピリジンガス、ピコリンガス、ルチジンガ
ス、ピリミジンガス、キノリンガス、ピペラジンガス、およびピペリジンガス)として供
給することとなる。これに対し、後述するトリメチルアミン((CHN、略称:T
MA)のように、常温常圧下で気体状態であるアミンを用いる場合は、アミンを気化器や
バブラ等の気化システムにより気化することなく、アミン系ガス(TMAガス)として供
給することができる。
Here, the amine-based gas refers to a gas containing an amine in a gaseous state, for example, a gas obtained by vaporizing an amine that is in a liquid state at normal temperature and normal pressure, or a gas that is in a gaseous state at normal temperature and normal pressure. It is. When the term “amine” is used herein, it may mean “amine in liquid state”, “amine-based gas in gas state”, or both. is there. When using amines that are in a liquid state at normal temperature and pressure, such as pyridine, aminopyridine, picoline, lutidine, pyrimidine, quinoline, piperazine, and piperidine, the liquid amine is vaporized by a vaporizer such as a vaporizer or bubbler. Thus, it is supplied as an amine-based gas (pyridine gas, aminopyridine gas, picoline gas, lutidine gas, pyrimidine gas, quinoline gas, piperazine gas, and piperidine gas). In contrast, trimethylamine ((CH 3 ) 3 N, abbreviated as T, which will be described later.
In the case of using an amine that is in a gaseous state under normal temperature and pressure as in MA), the amine can be supplied as an amine-based gas (TMA gas) without being vaporized by a vaporization system such as a vaporizer or a bubbler.

ガス供給管232fからは、炭素(C)および窒素(N)のうち少なくともいずれかを
含む改質ガスとして、例えば、Cを含む改質ガスとしての炭素含有ガス(C含有ガス)が
、MFC241f、バルブ243f、ガス供給管232c、ノズル249cを介して処理
室201内に供給される。C含有ガスには、炭化水素系ガスが含まれる。炭化水素は、飽
和炭化水素であっても不飽和炭化水素であってもよく、また、鎖状炭化水素であっても環
状炭化水素であってもよい。ガス供給管232fから供給されるC含有ガスとしては、例
えば二重結合を1つ有する鎖状不飽和炭化水素を含む炭化水素系ガスであるプロピレン(
)ガスを用いることができる。
From the gas supply pipe 232f, as a reformed gas containing at least one of carbon (C) and nitrogen (N), for example, a carbon-containing gas (C-containing gas) as a reformed gas containing C is MFC241f, The gas is supplied into the processing chamber 201 through the valve 243f, the gas supply pipe 232c, and the nozzle 249c. The C-containing gas includes a hydrocarbon-based gas. The hydrocarbon may be a saturated hydrocarbon or an unsaturated hydrocarbon, and may be a chain hydrocarbon or a cyclic hydrocarbon. As the C-containing gas supplied from the gas supply pipe 232f, for example, propylene (which is a hydrocarbon-based gas containing a chain unsaturated hydrocarbon having one double bond)
C 3 H 6 ) gas can be used.

ガス供給管232dからは、CおよびNのうち少なくともいずれかを含む改質ガスとし
て、例えば、Nを含む改質ガスとしての窒素含有ガス(N含有ガス)が、MFC241d
、バルブ243d、ノズル249d、バッファ室237を介して処理室201内に供給さ
れる。N含有ガスには、非アミン系ガスが含まれる。ガス供給管232dから供給される
N含有ガスとしては、例えば非アミン系ガスであるNHガスを用いることができる。
From the gas supply pipe 232d, as a reformed gas containing at least one of C and N, for example, a nitrogen-containing gas (N-containing gas) as a reformed gas containing N is MFC241d.
, And supplied to the processing chamber 201 through the valve 243d, the nozzle 249d, and the buffer chamber 237. The N-containing gas includes a non-amine gas. As the N-containing gas supplied from the gas supply pipe 232d, for example, NH 3 gas which is a non-amine gas can be used.

ガス供給管232g〜232jからは、不活性ガスとして、例えば、窒素(N)ガス
が、それぞれMFC241g〜241j、バルブ243g〜243j、ガス供給管232
a〜232d、ノズル249a〜249d、バッファ室237を介して処理室201内に
供給される。不活性ガスとしてのNガスは、パージガスとしても作用する。ガス供給管
232jから供給されるNガスは、プラズマの着火をアシストするアシストガス(着火
ガス)としても作用する場合がある。
From the gas supply pipes 232g to 232j, as an inert gas, for example, nitrogen (N 2 ) gas includes MFCs 241g to 241j, valves 243g to 243j, and gas supply pipe 232, respectively.
a to 232d, nozzles 249a to 249d, and the buffer chamber 237 are supplied into the processing chamber 201. N 2 gas as an inert gas also acts as a purge gas. The N 2 gas supplied from the gas supply pipe 232j may also act as an assist gas (ignition gas) that assists plasma ignition.

各ガス供給管から上述のようなガスをそれぞれ流す場合、主に、ガス供給管232a,
232e、MFC241a,241e、バルブ243a,243eにより、原料ガスを供
給する原料ガス供給系が構成される。ノズル249a、BTCSMガス供給源242a、
HCDSガス供給源242eを原料ガス供給系に含めて考えてもよい。原料ガス供給系を
原料供給系と称することもできる。また、原料ガス供給系は、それぞれ異なる元素の元素
源となる複数種類の原料ガスや、分子構造がそれぞれ異なる複数種類の原料ガスをそれぞ
れ供給する複数の供給ライン(供給系)の集合体とみることもできる。つまり、原料ガス
供給系は、主にガス供給管232a、MFC241a、バルブ243aにより構成される
BTCSMガス供給ラインと、主にガス供給管232e、MFC241e、バルブ243
eにより構成されるHCDSガス供給ラインと、の集合体であるといえる。個々の供給ラ
インに、ノズル249aや、対応する各原料ガス供給源242a,242eを含めて考え
てもよい。
When the gas as described above is caused to flow from each gas supply pipe, the gas supply pipe 232a,
232e, MFCs 241a and 241e, and valves 243a and 243e constitute a source gas supply system that supplies source gas. Nozzle 249a, BTCSM gas supply source 242a,
The HCDS gas supply source 242e may be included in the source gas supply system. The source gas supply system can also be referred to as a source supply system. The source gas supply system is regarded as an assembly of a plurality of supply lines (supply systems) that supply a plurality of types of source gases that are element sources of different elements and a plurality of types of source gases that have different molecular structures. You can also. That is, the raw material gas supply system includes a BTCSM gas supply line mainly composed of the gas supply pipe 232a, the MFC 241a, and the valve 243a, and mainly the gas supply pipe 232e, the MFC 241e, and the valve 243.
It can be said that it is an aggregate of the HCDS gas supply line constituted by e. You may consider including the nozzle 249a and each corresponding source gas supply source 242a, 242e in each supply line.

また、主に、ガス供給管232b、MFC241b、バルブ243bにより、酸化ガス
供給系が構成される。ノズル249b、HOガス供給源242bを酸化ガス供給系に含
めて考えてもよい。
In addition, an oxidizing gas supply system is mainly configured by the gas supply pipe 232b, the MFC 241b, and the valve 243b. The nozzle 249b and the H 2 O gas supply source 242b may be included in the oxidizing gas supply system.

また、主に、ガス供給管232c、MFC241c、バルブ243cにより、触媒ガス
供給系が構成される。ノズル249c、ピリジンガス供給源242cを触媒ガス供給系に
含めて考えてもよい。触媒ガス供給系をアミン系触媒ガス供給系と称することもできる。
Further, a catalyst gas supply system is mainly configured by the gas supply pipe 232c, the MFC 241c, and the valve 243c. The nozzle 249c and the pyridine gas supply source 242c may be included in the catalyst gas supply system. The catalyst gas supply system can also be referred to as an amine-based catalyst gas supply system.

また、主に、ガス供給管232d,232f、MFC241d,241f、バルブ24
3d,243fにより、CおよびNのうち少なくともいずれかを含む改質ガスを供給する
改質ガス供給系が構成される。ノズル249d、バッファ室237、NHガス供給源2
42d、Cガス供給源242fを改質ガス供給系に含めて考えてもよい。また、改
質ガス供給系は、それぞれ異なる元素を含む複数種類の改質ガスや、分子構造がそれぞれ
異なる複数種類の改質ガスをそれぞれ供給する複数の供給ライン(供給系)の集合体とみ
ることもできる。つまり、改質ガス供給系は、主にガス供給管232d、MFC241d
、バルブ243dにより構成されるNHガス供給ラインと、主にガス供給管232f、
MFC241f、バルブ243fにより構成されるCガス供給ラインと、の集合体
であるといえる。個々の供給ラインに、対応する各ノズル249d,249cや各改質ガ
ス供給源242d,242f、バッファ室237を含めて考えてもよい。
In addition, the gas supply pipes 232d and 232f, the MFCs 241d and 241f, and the valve 24 are mainly used.
3d and 243f constitute a reformed gas supply system for supplying a reformed gas containing at least one of C and N. Nozzle 249d, buffer chamber 237, NH 3 gas supply source 2
The 42d, C 3 H 6 gas supply source 242f may be included in the reformed gas supply system. Further, the reformed gas supply system is regarded as an aggregate of a plurality of supply lines (supply systems) that respectively supply a plurality of types of reformed gases containing different elements and a plurality of types of reformed gases having different molecular structures. You can also. That is, the reformed gas supply system mainly includes the gas supply pipe 232d and the MFC 241d.
, NH 3 gas supply line constituted by the valve 243d, mainly gas supply pipe 232f,
It can be said that this is an assembly of the MFC 241f and the C 3 H 6 gas supply line constituted by the valve 243f. The individual supply lines may include the corresponding nozzles 249d and 249c, the reformed gas supply sources 242d and 242f, and the buffer chamber 237.

また、主に、ガス供給管232g〜232j、MFC241g〜241j、バルブ24
3g〜243jにより、不活性ガス供給系が構成される。なお、ガス供給管232a〜2
32dにおけるガス供給管232g〜232jとの接続部より下流側、ノズル249a〜
249d、バッファ室237、Nガス供給源242g〜242jを不活性ガス供給系に
含めて考えてもよい。不活性ガス供給系はパージガス供給系としても機能する。アシスト
ガスとしてのNガスを供給するガス供給管232j、MFC241j、バルブ243j
をアシストガス供給系と称することもできる。ノズル249dやバッファ室237やN
ガス供給源242jをアシストガス供給系に含めて考えてもよい。
Further, mainly, gas supply pipes 232g to 232j, MFCs 241g to 241j, a valve 24
An inert gas supply system is configured by 3g to 243j. The gas supply pipes 232a-2
The nozzles 249a to the downstream side of the connecting portions with the gas supply pipes 232g to 232j in 32d
249d, buffer chamber 237, and N 2 gas supply sources 242g to 242j may be included in the inert gas supply system. The inert gas supply system also functions as a purge gas supply system. Gas supply pipe 232j for supplying N 2 gas as assist gas, MFC 241j, valve 243j
Can also be referred to as an assist gas supply system. Nozzle 249d, buffer chamber 237, N 2
The gas supply source 242j may be included in the assist gas supply system.

なお、酸化ガス供給系や触媒ガス供給系等の、原料ガス供給系や改質ガス供給系以外の
供給系についても、分子構造等がそれぞれ異なる複数種類のガスをそれぞれ供給する供給
ライン(供給系)を複数設けてもよい。
For supply systems other than the source gas supply system and the reformed gas supply system, such as an oxidizing gas supply system and a catalyst gas supply system, supply lines (supply systems) that supply a plurality of types of gases having different molecular structures, etc. ) May be provided.

バッファ室237内には、図2に示すように、導電体からなり、細長い構造を有する2
本の棒状電極269,270が、反応管203の下部より上部にわたりウエハ200の積
層方向に沿って配設されている。棒状電極269,270のそれぞれは、ノズル249d
と平行に設けられている。棒状電極269,270のそれぞれは、上部より下部にわたっ
て電極保護管275により覆われることで保護されている。棒状電極269,270のい
ずれか一方は、整合器272を介して高周波電源273に接続され、他方は、基準電位で
あるアースに接続されている。整合器272を介して高周波電源273から棒状電極26
9,270間に高周波(RF)電力を印加することで、棒状電極269,270間のプラ
ズマ生成領域224にプラズマが生成される。主に、棒状電極269,270、電極保護
管275によりプラズマ発生器(プラズマ発生部)としてのプラズマ源が構成される。整
合器272、高周波電源273をプラズマ源に含めて考えてもよい。プラズマ源は、ガス
をプラズマ状態に活性化(励起)させる活性化機構(励起部)として機能する。
In the buffer chamber 237, as shown in FIG.
The rod-shaped electrodes 269 and 270 are arranged along the stacking direction of the wafer 200 from the lower part to the upper part of the reaction tube 203. Each of the rod-shaped electrodes 269 and 270 has a nozzle 249d.
Are provided in parallel. Each of the rod-shaped electrodes 269 and 270 is protected by being covered with an electrode protection tube 275 from the upper part to the lower part. One of the rod-shaped electrodes 269 and 270 is connected to the high-frequency power source 273 via the matching unit 272, and the other is connected to the ground that is the reference potential. The rod-shaped electrode 26 is supplied from the high-frequency power source 273 via the matching unit 272.
By applying radio frequency (RF) power between the electrodes 9 and 270, plasma is generated in the plasma generation region 224 between the rod-shaped electrodes 269 and 270. The rod-shaped electrodes 269 and 270 and the electrode protection tube 275 mainly constitute a plasma source as a plasma generator (plasma generator). The matching device 272 and the high-frequency power source 273 may be included in the plasma source. The plasma source functions as an activation mechanism (excitation unit) that activates (excites) gas into a plasma state.

電極保護管275は、棒状電極269,270のそれぞれをバッファ室237内の雰囲
気と隔離した状態でバッファ室237内に挿入できる構造となっている。ここで、電極保
護管275の内部の酸素濃度が外気(大気)の酸素濃度と同程度であると、電極保護管2
75内にそれぞれ挿入された棒状電極269,270は、ヒータ207による熱で酸化さ
れてしまう。そこで、電極保護管275の内部にNガスなどの不活性ガスを充填してお
くか、不活性ガスパージ機構を用いて電極保護管275の内部をNガスなどの不活性ガ
スでパージすることで、電極保護管275の内部の酸素濃度を低減させ、棒状電極269
,270の酸化を抑制することができるように構成されている。
The electrode protection tube 275 has a structure in which each of the rod-shaped electrodes 269 and 270 can be inserted into the buffer chamber 237 while being isolated from the atmosphere in the buffer chamber 237. Here, when the oxygen concentration inside the electrode protection tube 275 is approximately the same as the oxygen concentration in the outside air (atmosphere), the electrode protection tube 2
The rod-shaped electrodes 269 and 270 respectively inserted into the 75 are oxidized by heat from the heater 207. Therefore, the inside of the electrode protection tube 275 is filled with an inert gas such as N 2 gas, or the inside of the electrode protection tube 275 is purged with an inert gas such as N 2 gas using an inert gas purge mechanism. Thus, the oxygen concentration inside the electrode protective tube 275 is reduced, and the rod-shaped electrode 269 is reduced.
, 270 can be prevented from being oxidized.

反応管203には、処理室201内の雰囲気を排気する排気管231が設けられている
。排気管231には、処理室201内の圧力を検出する圧力検出器(圧力検出部)として
の圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto Pre
ssure Controller)バルブ244を介して、真空排気装置としての真空
ポンプ246が接続されている。APCバルブ244は、真空ポンプ246を作動させた
状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことが
でき、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された
圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することがで
きるように構成されているバルブである。主に、排気管231、APCバルブ244、圧
力センサ245により、排気系が構成される。真空ポンプ246を排気系に含めて考えて
もよい。排気管231は、反応管203に設ける場合に限らず、ノズル249a〜249
dと同様にマニホールド209に設けてもよい。
The reaction tube 203 is provided with an exhaust pipe 231 for exhausting the atmosphere in the processing chamber 201. The exhaust pipe 231 includes a pressure sensor 245 as a pressure detector (pressure detection unit) that detects the pressure in the processing chamber 201 and an APC (Auto Pre) as a pressure regulator (pressure adjustment unit).
A vacuum pump 246 as an evacuation device is connected via a s sure controller) valve 244. The APC valve 244 can open and close the valve while the vacuum pump 246 is operated, thereby evacuating and stopping the evacuation in the processing chamber 201. Further, with the vacuum pump 246 operated, The valve is configured such that the pressure in the processing chamber 201 can be adjusted by adjusting the valve opening based on the pressure information detected by the pressure sensor 245. An exhaust system is mainly configured by the exhaust pipe 231, the APC valve 244, and the pressure sensor 245. The vacuum pump 246 may be included in the exhaust system. The exhaust pipe 231 is not limited to being provided in the reaction pipe 203, and the nozzles 249 a to 249 are provided.
It may be provided in the manifold 209 in the same manner as d.

マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉
口蓋体としてのシールキャップ219が設けられている。シールキャップ219はマニホ
ールド209の下端に垂直方向下側から当接されるように構成されている。シールキャッ
プ219は例えばステンレス等の金属からなり、円盤状に形成されている。シールキャッ
プ219の上面にはマニホールド209の下端と当接するシール部材としてのOリング2
20bが設けられている。シールキャップ219の処理室201と反対側には、後述する
ボート217を回転させる回転機構267が設置されている。回転機構267の回転軸2
55はシールキャップ219を貫通してボート217に接続されている。回転機構267
は、ボート217を回転させることでウエハ200を回転させるように構成されている。
シールキャップ219は、反応管203の外部に垂直に設置された昇降機構としてのボー
トエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベ
ータ115は、シールキャップ219を昇降させることで、ボート217を処理室201
内外に搬入および搬出することが可能なように構成されている。すなわち、ボートエレベ
ータ115は、ボート217およびボート217に支持されるウエハ200を、処理室2
01内外に搬送する搬送装置(搬送機構)として構成される。
Below the manifold 209, a seal cap 219 is provided as a furnace port lid that can airtightly close the lower end opening of the manifold 209. The seal cap 219 is configured to contact the lower end of the manifold 209 from the lower side in the vertical direction. The seal cap 219 is made of a metal such as stainless steel and has a disk shape. On the upper surface of the seal cap 219, an O-ring 2 serving as a seal member that comes into contact with the lower end of the manifold 209 is provided.
20b is provided. On the opposite side of the seal cap 219 from the processing chamber 201, a rotation mechanism 267 for rotating a boat 217 described later is installed. Rotation shaft 2 of the rotation mechanism 267
55 is connected to the boat 217 through the seal cap 219. Rotating mechanism 267
Is configured to rotate the wafer 200 by rotating the boat 217.
The seal cap 219 is configured to be lifted and lowered in the vertical direction by a boat elevator 115 as a lifting mechanism vertically installed outside the reaction tube 203. The boat elevator 115 raises and lowers the seal cap 219 to move the boat 217 into the processing chamber 201.
It is configured to be able to carry in and out. That is, the boat elevator 115 transfers the wafer 217 and the wafer 200 supported by the boat 217 to the processing chamber 2.
It is configured as a transport device (transport mechanism) for transporting in and out of 01.

基板支持具としてのボート217は、例えば石英や炭化シリコン等の耐熱性材料からな
り、複数枚のウエハ200を水平姿勢で、かつ、互いに中心を揃えた状態で整列させて多
段に支持するように構成されている。ボート217の下部には、例えば石英や炭化シリコ
ン等の耐熱性材料からなる断熱板218が水平姿勢で多段に支持されており、ヒータ20
7からの熱がシールキャップ219側に伝わりにくくなるよう構成されている。但し、ボ
ート217の下部に断熱板218を設けずに、石英や炭化シリコン等の耐熱性材料からな
る筒状の部材として構成された断熱筒を設けてもよい。
The boat 217 as a substrate support is made of a heat-resistant material such as quartz or silicon carbide, and supports a plurality of wafers 200 in a horizontal posture and aligned in a state where the centers are aligned with each other in multiple stages. It is configured. Under the boat 217, heat insulating plates 218 made of a heat-resistant material such as quartz or silicon carbide are supported in multiple stages in a horizontal posture, and the heater 20
7 is configured such that the heat from 7 is not easily transmitted to the seal cap 219 side. However, a heat insulating cylinder configured as a cylindrical member made of a heat resistant material such as quartz or silicon carbide may be provided without providing the heat insulating plate 218 under the boat 217.

反応管203内には温度検出器としての温度センサ263が設置されており、温度セン
サ263により検出された温度情報に基づきヒータ207への通電具合を調整することで
、処理室201内の温度が所望の温度分布となるように構成されている。温度センサ26
3は、ノズル249a〜249dと同様にL字型に構成されており、反応管203の内壁
に沿って設けられている。
A temperature sensor 263 as a temperature detector is installed in the reaction tube 203, and the temperature in the processing chamber 201 is adjusted by adjusting the power supply to the heater 207 based on the temperature information detected by the temperature sensor 263. It is configured to have a desired temperature distribution. Temperature sensor 26
3 is configured in an L shape similarly to the nozzles 249 a to 249 d, and is provided along the inner wall of the reaction tube 203.

図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Cen
tral Processing Unit)121a、RAM(Random Acc
ess Memory)121b、記憶装置121c、I/Oポート121dを備えたコ
ンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート1
21dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成さ
れている。コントローラ121には、例えばタッチパネル等として構成された入出力装置
122が接続されている。
As shown in FIG. 3, the controller 121, which is a control unit (control means), has a CPU (Cen
tral Processing Unit) 121a, RAM (Random Acc)
ess Memory) 121b, a storage device 121c, and an I / O port 121d. RAM 121b, storage device 121c, I / O port 1
21d is configured to be able to exchange data with the CPU 121a via the internal bus 121e. For example, an input / output device 122 configured as a touch panel or the like is connected to the controller 121.

記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Dri
ve)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制
御プログラムや、後述する薄膜形成等の基板処理の手順や条件などが記載されたプロセス
レシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する薄膜形成工程
等の基板処理工程における各手順をコントローラ121に実行させ、所定の結果を得るこ
とが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプ
ロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書にお
いてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プ
ログラム単体のみを含む場合、または、その両方を含む場合がある。RAM121bは、
CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ
領域(ワークエリア)として構成されている。
The storage device 121c is, for example, a flash memory, a HDD (Hard Disk Dri).
ve) and the like. In the storage device 121c, a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of substrate processing such as thin film formation described later, and the like are stored in a readable manner. The process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 121 to execute each procedure in a substrate processing process such as a thin film forming process to be described later. Hereinafter, the process recipe, the control program, and the like are collectively referred to simply as a program. When the term “program” is used in this specification, it may include only a process recipe alone, only a control program alone, or both. The RAM 121b
It is configured as a memory area (work area) in which programs and data read by the CPU 121a are temporarily stored.

I/Oポート121dは、上述のMFC241a〜241j、バルブ243a〜243
j、圧力センサ245、APCバルブ244、真空ポンプ246、温度センサ263、ヒ
ータ207、整合器272、高周波電源273、回転機構267、ボートエレベータ11
5等に接続されている。
The I / O port 121d includes the above-described MFCs 241a to 241j and valves 243a to 243.
j, pressure sensor 245, APC valve 244, vacuum pump 246, temperature sensor 263, heater 207, matching unit 272, high frequency power supply 273, rotating mechanism 267, boat elevator 11
Connected to 5 mag.

CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に
、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセス
レシピを読み出すように構成されている。そして、CPU121aは、読み出したプロセ
スレシピの内容に沿うように、MFC241a〜241jによる各種ガスの流量調整動作
、バルブ243a〜243jの開閉動作、APCバルブ244の開閉動作及び圧力センサ
245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および
停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボ
ート217の回転および回転速度調節動作、ボートエレベータ115によるボート217
の昇降動作、整合器272によるインピーダンス調整動作、高周波電源273の電力供給
等を制御するように構成されている。
The CPU 121a is configured to read out and execute a control program from the storage device 121c, and to read out a process recipe from the storage device 121c in response to an operation command input from the input / output device 122 or the like. Then, the CPU 121a adjusts the flow rates of various gases by the MFCs 241a to 241j, the opening and closing operations of the valves 243a to 243j, the opening and closing operations of the APC valve 244, and the APC valve 244 based on the pressure sensor 245 so as to follow the contents of the read process recipe. Pressure adjustment operation by the motor, activation and stop of the vacuum pump 246, temperature adjustment operation of the heater 207 based on the temperature sensor 263, rotation and rotation speed adjustment operation of the boat 217 by the rotation mechanism 267, boat 217 by the boat elevator 115
And the like, the impedance adjustment operation by the matching device 272, the power supply of the high frequency power supply 273, and the like are controlled.

コントローラ121は、専用のコンピュータとして構成されている場合に限らず、汎用
のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部
記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディス
ク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカー
ド等の半導体メモリ)123を用意し、係る外部記憶装置123を用いて汎用のコンピュ
ータにプログラムをインストールすること等により、本実施形態に係るコントローラ12
1を構成することができる。但し、コンピュータにプログラムを供給するための手段は、
外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回
線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにして
もよい。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒
体として構成される。以下、これらを総称して、単に、記録媒体ともいう。本明細書にお
いて記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記
憶装置123単体のみを含む場合、または、その両方を含む場合がある。
The controller 121 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer. For example, an external storage device storing the above-described program (for example, magnetic tape, magnetic disk such as a flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, semiconductor memory such as USB memory or memory card) 123 is prepared and the controller 12 according to the present embodiment is installed by installing a program on a general-purpose computer using the external storage device 123.
1 can be configured. However, means for supplying the program to the computer are:
It is not limited to the case of supplying via the external storage device 123. For example, the program may be supplied without using the external storage device 123 by using communication means such as the Internet or a dedicated line. The storage device 121c and the external storage device 123 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. When the term “recording medium” is used in this specification, it may include only the storage device 121c alone, may include only the external storage device 123 alone, or may include both.

(2)薄膜形成工程
次に、上述の基板処理装置の処理炉202を用いて、半導体装置(半導体デバイス)の
製造工程の一工程として、基板上に薄膜を形成(成膜)するシーケンス例について説明す
る。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121によ
り制御される。
(2) Thin Film Formation Step Next, a sequence example in which a thin film is formed (film formation) on a substrate as one step of a semiconductor device (semiconductor device) manufacturing process using the processing furnace 202 of the substrate processing apparatus described above. explain. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121.

本実施形態では、
基板としてのウエハ200に対してシリコン(Si)源および炭素(C)源となる原料
ガスまたはシリコン源となるが炭素源とはならない原料ガスと、触媒ガスとを供給する工
程と、
ウエハ200に対して酸化ガスと触媒ガスとを供給する工程と、
ウエハ200に対して炭素(C)および窒素(N)のうち少なくともいずれかを含む改
質ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に、シリコン(Si)、酸
素(O)、炭素(C)を含む薄膜、またはシリコン(Si)、酸素(O)、炭素(C)、
および窒素(N)を含む薄膜を形成する。
In this embodiment,
Supplying a source gas serving as a silicon (Si) source and a carbon (C) source or a source gas serving as a silicon source but not a carbon source to the wafer 200 as a substrate, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the wafer 200;
Supplying a modified gas containing at least one of carbon (C) and nitrogen (N) to the wafer 200;
Is performed a predetermined number of times, a thin film containing silicon (Si), oxygen (O), carbon (C), or silicon (Si), oxygen (O), carbon (C),
And a thin film containing nitrogen (N) is formed.

ここで、サイクルが「原料ガスと触媒ガスとを供給する工程」と「酸化ガスと触媒ガス
とを供給する工程」と「改質ガスを供給する工程」との各工程を含むとは、1サイクル内
に各工程が1回以上含まれていることをいう。したがって、1サイクルにおいて、各工程
を1回ずつ行ってもよく、或いは、少なくともいずれかの工程を複数回行ってもよい。1
サイクルにおいて、各工程を同じ回数行ってもよく、異なる回数行ってもよい。サイクル
内での各工程の実施順は任意に決定することができる。このように、各工程を行う回数、
順番、組み合わせ等を適宜変更することで、膜質や膜組成や成分比率等の異なる薄膜を形
成することができる。また、「サイクルを所定回数行う」とは、このサイクルを1回以上
行うこと、すなわち、このサイクルを1回行うこと、又は、複数回繰り返すことをいう。
Here, the cycle includes each step of “a step of supplying a source gas and a catalyst gas”, “a step of supplying an oxidizing gas and a catalyst gas”, and “a step of supplying a reformed gas”. It means that each step is included once or more in the cycle. Accordingly, each step may be performed once in one cycle, or at least one of the steps may be performed a plurality of times. 1
In the cycle, each step may be performed the same number of times or a different number of times. The execution order of each step in the cycle can be arbitrarily determined. In this way, the number of times each process is performed,
By appropriately changing the order, combination, etc., thin films having different film quality, film composition, component ratio, and the like can be formed. “Performing a cycle a predetermined number of times” means performing this cycle one or more times, that is, performing this cycle once or repeating it a plurality of times.

例えば、本実施形態の1サイクルは、
ウエハ200に対して原料ガスと触媒ガスとを供給する工程と、
ウエハ200に対して酸化ガスと触媒ガスとを供給する工程と、
を含むセットを所定回数行うことにより、ウエハ200上に、少なくともSiおよびO
を含む第1の薄膜を形成する工程と、
ウエハ200に対して改質ガスを供給する工程を行うことにより、第1の薄膜をCを更
に含む第2の薄膜、Cを含みNを更に含む第2の薄膜、またはCとNとを更に含む第2の
薄膜に改質する工程と、を行うことを含む。
For example, one cycle of this embodiment is
Supplying a source gas and a catalyst gas to the wafer 200;
Supplying an oxidizing gas and a catalyst gas to the wafer 200;
By performing a set including a predetermined number of times on the wafer 200, at least Si and O
Forming a first thin film comprising:
By performing the process of supplying the reformed gas to the wafer 200, the first thin film is further converted into a second thin film further including C, the second thin film including C and further including N, or C and N. Modifying the second thin film to include.

また、本実施形態では、各工程は、ノンプラズマの雰囲気下で行われる。   In the present embodiment, each process is performed in a non-plasma atmosphere.

本実施形態では、形成する薄膜の組成比が化学量論組成、または、化学量論組成とは異
なる所定の組成となるようにすることを目的として、形成する薄膜を構成する複数の元素
を含む複数種類のガスの供給条件を制御する。例えば、形成する薄膜を構成する複数の元
素のうち少なくとも1つの元素が他の元素よりも化学量論組成に対し過剰となるようにす
ることを目的として、供給条件を制御する。以下、形成する薄膜を構成する複数の元素の
比率、すなわち、薄膜の組成比を制御しつつ成膜を行うシーケンス例について説明する。
In the present embodiment, the composition ratio of the thin film to be formed includes a plurality of elements constituting the thin film to be formed in order to make the composition ratio be a stoichiometric composition or a predetermined composition different from the stoichiometric composition. Control the supply conditions of multiple types of gases. For example, the supply conditions are controlled in order to make at least one element out of a plurality of elements constituting the thin film to be formed more excessive than the other elements with respect to the stoichiometric composition. Hereinafter, a sequence example in which film formation is performed while controlling the ratio of a plurality of elements constituting the thin film to be formed, that is, the composition ratio of the thin film will be described.

以下、本実施形態の成膜シーケンスについて、図4、図5(a)を用いて説明する。   Hereinafter, the film forming sequence of the present embodiment will be described with reference to FIGS. 4 and 5A.

ここでは、
ウエハ200に対して、シリコン(Si)源および炭素(C)源となる原料ガスとして
、シリコン(Si)、炭素(C)およびハロゲン元素を含みSi−C結合を有する原料ガ
スとしてのBTCSMガスと、触媒ガスとしてのピリジンガスと、を供給する工程と(ス
テップ1a)、
ウエハ200に対して酸化ガスとしてのHOガスと、触媒ガスとしてのピリジンガス
と、を供給する工程と(ステップ2a)、
を含むセットを所定回数(n回)行うことにより、ウエハ200上に、Si,Oおよび
Cを含む第1の薄膜としてシリコン酸炭化膜(SiOC膜)を形成する工程と、
ウエハ200に対してCおよびNのうち少なくともいずれかを含む改質ガスとして、N
を含む改質ガスであるN含有ガスとしてのNHガスを供給する工程を行うことにより、
SiOC膜を、Cを含みNを更に含む第2の薄膜としてのシリコン酸炭窒化膜(SiOC
N膜)に改質する工程と、
を含むサイクルを所定回数、例えば1回行う例について説明する。
here,
BTCSM gas as a source gas containing silicon (Si), carbon (C), and a halogen element and having a Si—C bond as a source gas serving as a silicon (Si) source and a carbon (C) source with respect to the wafer 200 A step of supplying pyridine gas as a catalyst gas (step 1a),
A step of supplying H 2 O gas as an oxidizing gas and pyridine gas as a catalyst gas to the wafer 200 (step 2a);
Forming a silicon oxycarbide film (SiOC film) as a first thin film containing Si, O, and C on the wafer 200 by performing a set including n a predetermined number of times (n times);
As a reformed gas containing at least one of C and N with respect to the wafer 200, N
By performing a process of supplying NH 3 gas as an N-containing gas that is a reformed gas containing
A silicon oxycarbonitride film (SiOC) as a second thin film containing SiO and further containing N
N film),
A description will be given of an example in which a cycle including is performed a predetermined number of times, for example, once.

本成膜シーケンスにより、ウエハ200上に、Si,O,CおよびNを含む薄膜として
SiOCN膜、つまり、Nがドープ(添加)されたSiOC膜が形成される。なお、この
SiOCN膜を、Cがドープ(添加)されたシリコン酸窒化膜(SiON膜)や、CとN
とがドープされたシリコン酸化膜(SiO膜、以下、SiO膜ともいう)等ということ
もできる。
By this film forming sequence, a SiOCN film, that is, a SiOC film doped with N (added) is formed on the wafer 200 as a thin film containing Si, O, C, and N. In addition, this SiOCN film is made of C-doped silicon oxynitride film (SiON film), C and N
It can also be referred to as a silicon oxide film doped with (SiO 2 film, hereinafter also referred to as SiO film).

本明細書において「ウエハ」という言葉を用いた場合は、「ウエハそのもの」を意味す
る場合や、「ウエハとその表面に形成された所定の層や膜等との積層体(集合体)」を意
味する場合、すなわち、表面に形成された所定の層や膜等を含めてウエハと称する場合が
ある。また、本明細書において「ウエハの表面」という言葉を用いた場合は、「ウエハそ
のものの表面(露出面)」を意味する場合や、「ウエハ上に形成された所定の層や膜等の
表面、すなわち、積層体としてのウエハの最表面」を意味する場合がある。
In this specification, when the term “wafer” is used, it means “wafer itself” or “a laminate (aggregate) of a wafer and a predetermined layer or film formed on the surface”. In other words, it may be called a wafer including a predetermined layer or film formed on the surface. In addition, when the term “wafer surface” is used in this specification, it means “the surface of the wafer itself (exposed surface)” or “the surface of a predetermined layer or film formed on the wafer”. That is, it may mean “the outermost surface of the wafer as a laminated body”.

本明細書において「ウエハに対して所定のガスを供給する」と記載した場合は、「ウエ
ハそのものの表面(露出面)に対して所定のガスを直接供給する」ことを意味する場合や
、「ウエハ上に形成されている層や膜等に対して、すなわち、積層体としてのウエハの最
表面に対して所定のガスを供給する」ことを意味する場合がある。また、本明細書におい
て「ウエハ上に所定の層(又は膜)を形成する」と記載した場合は、「ウエハそのものの
表面(露出面)上に所定の層(又は膜)を直接形成する」ことを意味する場合や、「ウエ
ハ上に形成されている層や膜等の上、すなわち、積層体としてのウエハの最表面の上に所
定の層(又は膜)を形成する」ことを意味する場合がある。
In the present specification, the phrase “supplying a predetermined gas to the wafer” means “supplying a predetermined gas directly to the surface (exposed surface) of the wafer itself” or “ It may mean that a predetermined gas is supplied to a layer, a film, or the like formed on the wafer, that is, to the outermost surface of the wafer as a laminated body. Further, in this specification, when “describe a predetermined layer (or film) on the wafer” is described, “determine a predetermined layer (or film) directly on the surface (exposed surface) of the wafer itself”. This means that a predetermined layer (or film) is formed on a layer or film formed on the wafer, that is, on the outermost surface of the wafer as a laminate. There is a case.

本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた
場合と同様であり、その場合、上記説明において、「ウエハ」を「基板」に置き換えて考
えればよい。
The use of the term “substrate” in this specification is the same as the case where the term “wafer” is used. In that case, “wafer” may be replaced with “substrate” in the above description.

(ウエハチャージ及びボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示す
ように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によ
って持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シール
キャップ219はOリング220bを介してマニホールド209の下端をシールした状態
となる。
(Wafer charge and boat load)
When a plurality of wafers 200 are loaded into the boat 217 (wafer charge), as shown in FIG. 1, the boat 217 that supports the plurality of wafers 200 is lifted by the boat elevator 115 and loaded into the processing chamber 201. (Boat loading). In this state, the seal cap 219 seals the lower end of the manifold 209 via the O-ring 220b.

(圧力調整及び温度調整)
処理室201内が所望の圧力(真空度)となるように真空ポンプ246によって真空排
気される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定され
た圧力情報に基づきAPCバルブ244がフィードバック制御される(圧力調整)。真空
ポンプ246は、少なくともウエハ200に対する処理が終了するまでの間は常時作動さ
せた状態を維持する。また、処理室201内のウエハ200が所望の温度となるようにヒ
ータ207によって加熱される。この際、処理室201内が所望の温度分布となるように
、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバ
ック制御される(温度調整)。ヒータ207による処理室201内の加熱は、少なくとも
ウエハ200に対する処理が終了するまでの間は継続して行われる。ただし、後述するよ
うに、室温でウエハ200に対する処理を行う場合は、ヒータ207による処理室201
内の加熱は行わなくてもよい。続いて、回転機構267によるボート217及びウエハ2
00の回転を開始する。回転機構267によるボート217及びウエハ200の回転は、
少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
(Pressure adjustment and temperature adjustment)
The processing chamber 201 is evacuated by a vacuum pump 246 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245, and the APC valve 244 is feedback-controlled based on the measured pressure information (pressure adjustment). The vacuum pump 246 maintains a state in which it is always operated until at least the processing on the wafer 200 is completed. Further, the wafer 200 in the processing chamber 201 is heated by the heater 207 so as to reach a desired temperature. At this time, the power supply to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 so that the inside of the processing chamber 201 has a desired temperature distribution (temperature adjustment). Heating of the processing chamber 201 by the heater 207 is continuously performed at least until the processing on the wafer 200 is completed. However, as will be described later, when processing the wafer 200 at room temperature, the processing chamber 201 by the heater 207 is used.
The inside heating may not be performed. Subsequently, the boat 217 and the wafer 2 by the rotation mechanism 267
00 rotation starts. The rotation of the boat 217 and the wafer 200 by the rotation mechanism 267 is as follows.
The process is continuously performed at least until the process on the wafer 200 is completed.

(SiOC膜形成工程)
その後、次の2つのステップ、すなわち、ステップ1a,2aを順次実行する。
(SiOC film formation process)
Thereafter, the next two steps, that is, steps 1a and 2a are sequentially executed.

[ステップ1a]
(BTCSMガス+ピリジンガス供給)
バルブ243aを開き、ガス供給管232a内にBTCSMガスを流す。BTCSMガ
スは、MFC241aにより流量調整され、ガス供給孔250aから処理室201内に供
給され、排気管231から排気される。このとき、ウエハ200に対してBTCSMガス
が供給されることとなる(BTCSMガス供給)。このとき同時にバルブ243gを開き
、ガス供給管232g内にNガス等の不活性ガスを流す。Nガスは、MFC241g
により流量調整され、BTCSMガスと一緒に処理室201内に供給され、排気管231
から排気される。
[Step 1a]
(BTCSM gas + pyridine gas supply)
The valve 243a is opened and BTCSM gas is allowed to flow into the gas supply pipe 232a. The flow rate of the BTCSM gas is adjusted by the MFC 241a, supplied into the processing chamber 201 through the gas supply hole 250a, and exhausted from the exhaust pipe 231. At this time, the BTCSM gas is supplied to the wafer 200 (BTCSM gas supply). At the same time, the valve 243g is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232g. N 2 gas is MFC241g
The flow rate of the exhaust pipe 231 is adjusted by the flow rate and supplied into the processing chamber 201 together with the BTCSM gas.
Exhausted from.

また、バルブ243cを開き、ガス供給管232c内にピリジンガスを流す。ピリジン
ガスは、MFC241cにより流量調整され、ガス供給孔250cから処理室201内に
供給され、排気管231から排気される。このとき、ウエハ200に対してピリジンガス
が供給されることとなる(ピリジンガス供給)。このとき同時にバルブ243iを開き、
ガス供給管232i内にNガス等の不活性ガスを流す。Nガスは、MFC241iに
より流量調整され、ピリジンガスと一緒に処理室201内に供給され、排気管231から
排気される。
Further, the valve 243c is opened, and pyridine gas is caused to flow into the gas supply pipe 232c. The flow rate of the pyridine gas is adjusted by the MFC 241c, supplied into the processing chamber 201 through the gas supply hole 250c, and exhausted from the exhaust pipe 231. At this time, pyridine gas is supplied to the wafer 200 (pyridine gas supply). At the same time, the valve 243i is opened,
An inert gas such as N 2 gas is allowed to flow through the gas supply pipe 232i. The flow rate of the N 2 gas is adjusted by the MFC 241 i, supplied into the processing chamber 201 together with the pyridine gas, and exhausted from the exhaust pipe 231.

また、ノズル249b,249d内やバッファ室237内へのBTCSMガスおよびピ
リジンガスの侵入を防止するため、バルブ243h,243jを開き、ガス供給管232
h,232j内にNガスを流す。Nガスは、ガス供給管232b,232d、ノズル
249b,249d、バッファ室237を介して処理室201内に供給され、排気管23
1から排気される。
Further, in order to prevent BTCSM gas and pyridine gas from entering the nozzles 249 b and 249 d and the buffer chamber 237, the valves 243 h and 243 j are opened and the gas supply pipe 232 is opened.
h, N 2 gas is allowed to flow in 232j. The N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 232b and 232d, the nozzles 249b and 249d, and the buffer chamber 237, and the exhaust pipe 23
1 is exhausted.

このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1
〜13330Pa、好ましくは133〜2666Paの範囲内の圧力とする。MFC24
1aで制御するBTCSMガスの供給流量は、例えば1〜2000sccm、好ましくは
10〜1000sccmの範囲内の流量とする。MFC241cで制御するピリジンガス
の供給流量は、例えば1〜2000sccm、好ましくは10〜1000sccmの範囲
内の流量とする。MFC241g〜241jで制御するNガスの供給流量は、それぞれ
例えば100〜10000sccmの範囲内の流量とする。BTCSMガス及びピリジン
ガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例
えば1〜100秒、好ましくは5〜60秒の範囲内の時間とする。
At this time, the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1
The pressure is in the range of ˜13330 Pa, preferably 133 to 2666 Pa. MFC24
The supply flow rate of the BTCSM gas controlled by 1a is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm. The supply flow rate of the pyridine gas controlled by the MFC 241c is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm. The supply flow rate of the N 2 gas controlled by the MFCs 241g to 241j is, for example, a flow rate in the range of 100 to 10000 sccm. The time for supplying the BTCSM gas and pyridine gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, 1 to 100 seconds, preferably 5 to 60 seconds.

このときヒータ207の温度は、ウエハ200の温度が、例えば室温以上150℃以下
、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の
温度となるような温度に設定する。BTCSMガス供給時に、触媒ガスを供給しない場合
には、ウエハ200の温度が250℃未満となるとウエハ200上にBTCSMが化学吸
着しにくくなり、実用的な成膜レートが得られなくなることがある。本実施形態のように
、触媒ガスとしてのピリジンガスを供給することで、ウエハ200の温度を250℃未満
としても、これを解消することが可能となる。ピリジンガスの存在下において、ウエハ2
00の温度を150℃以下、さらには100℃以下とすることで、ウエハ200に加わる
熱量を低減することができ、ウエハ200の受ける熱履歴の制御を良好に行うことができ
る。ピリジンガスの存在下では、ウエハ200の温度が室温以上の温度であれば、ウエハ
200上にBTCSMを充分に吸着させることができ、充分な成膜レートが得られること
となる。よって、ウエハ200の温度は室温以上150℃以下、好ましくは室温以上10
0℃以下、より好ましくは50℃以上100℃以下の範囲内の温度とするのがよい。
At this time, the temperature of the heater 207 is set to such a temperature that the temperature of the wafer 200 is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C. To do. When the catalyst gas is not supplied at the time of supplying the BTCSM gas, when the temperature of the wafer 200 is less than 250 ° C., the BTCSM is difficult to be chemically adsorbed on the wafer 200, and a practical film formation rate may not be obtained. By supplying pyridine gas as the catalyst gas as in this embodiment, this can be eliminated even if the temperature of the wafer 200 is less than 250 ° C. Wafer 2 in the presence of pyridine gas
By setting the temperature of 00 to 150 ° C. or lower, and further to 100 ° C. or lower, the amount of heat applied to the wafer 200 can be reduced, and the thermal history received by the wafer 200 can be favorably controlled. In the presence of pyridine gas, if the temperature of the wafer 200 is room temperature or higher, BTCSM can be sufficiently adsorbed on the wafer 200, and a sufficient film formation rate can be obtained. Therefore, the temperature of the wafer 200 is from room temperature to 150 ° C., preferably from room temperature to 10 ° C.
The temperature may be 0 ° C. or lower, more preferably 50 ° C. or higher and 100 ° C. or lower.

上述の条件下でウエハ200に対してBTCSMガスを供給することにより、ウエハ2
00(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚
さのCおよびClを含むシリコン含有層(Si含有層)が形成される。CおよびClを含
むSi含有層は、CおよびClを含むシリコン層(Si層)であってもよいし、BTCS
Mガスの吸着層であってもよいし、その両方を含んでいてもよい。
By supplying BTCSM gas to the wafer 200 under the above-described conditions, the wafer 2
A silicon-containing layer (Si-containing layer) containing C and Cl having a thickness of, for example, less than one atomic layer to several atomic layers is formed as a first layer on 00 (surface underlayer film). The Si-containing layer containing C and Cl may be a silicon layer (Si layer) containing C and Cl, or BTCS.
It may be an adsorption layer of M gas or both of them.

CおよびClを含むSi層とは、Siにより構成されCおよびClを含む連続的な層の
他、不連続な層や、これらが重なってできるCおよびClを含むシリコン薄膜(Si薄膜
)をも含む総称である。Siにより構成されCおよびClを含む連続的な層を、Cおよび
Clを含むSi薄膜という場合もある。CおよびClを含むSi層を構成するSiは、C
やClとの結合が完全に切れていないものの他、CやClとの結合が完全に切れているも
のも含む。
The Si layer containing C and Cl includes not only a continuous layer made of Si and containing C and Cl, but also a discontinuous layer and a silicon thin film containing Si and C (Cl thin film) containing these layers. It is a generic name including. A continuous layer made of Si and containing C and Cl may be referred to as a Si thin film containing C and Cl. Si constituting the Si layer containing C and Cl is C
In addition to those in which the bond with C and Cl is not completely broken, the bonds with C and Cl are completely broken.

BTCSMガスの吸着層は、BTCSMガスのガス分子の連続的な吸着層の他、不連続
な吸着層をも含む。すなわち、BTCSMガスの吸着層は、BTCSM分子で構成される
1分子層もしくは1分子層未満の厚さの吸着層を含む。BTCSMガスの吸着層を構成す
るBTCSM((SiClCH)分子は、図15(a)に化学構造式を示すもの
だけでなく、SiとCとの結合が一部切れたものや、SiとClとの結合が一部切れたも
のも含む。すなわち、BTCSMガスの吸着層は、BTCSM分子の化学吸着層や、BT
CSM分子の物理吸着層を含む。
The BTCSM gas adsorption layer includes a discontinuous adsorption layer as well as a continuous adsorption layer of gas molecules of the BTCSM gas. That is, the BTCSM gas adsorbing layer includes an adsorbing layer having a thickness of less than one molecular layer composed of BTCSM molecules or less than one molecular layer. The BTCSM ((SiCl 3 ) 2 CH 2 ) molecules constituting the BTCSM gas adsorption layer are not only those having the chemical structural formula shown in FIG. 15 (a) but also those in which the bond between Si and C is partially broken. , Including those in which the bond between Si and Cl is partially broken. That is, the BTCSM gas adsorption layer is a BTCSM molecule chemical adsorption layer or BTCS
Includes a physical adsorption layer of CSM molecules.

ここで、1原子層未満の厚さの層とは不連続に形成される原子層のことを意味しており
、1原子層の厚さの層とは連続的に形成される原子層のことを意味している。また、1分
子層未満の厚さの層とは不連続に形成される分子層のことを意味しており、1分子層の厚
さの層とは連続的に形成される分子層のことを意味している。なお、CおよびClを含む
Si含有層は、CおよびClを含むSi層とBTCSMガスの吸着層との両方を含み得る
が、上述の通り、CおよびClを含むSi含有層については「1原子層」、「数原子層」
等の表現を用いることとする。
Here, a layer having a thickness of less than one atomic layer means an atomic layer formed discontinuously, and a layer having a thickness of one atomic layer means an atomic layer formed continuously. Means. In addition, a layer having a thickness less than one molecular layer means a molecular layer formed discontinuously, and a layer having a thickness of one molecular layer means a molecular layer formed continuously. I mean. The Si-containing layer containing C and Cl can include both an Si layer containing C and Cl and an adsorption layer of BTCSM gas. However, as described above, for the Si-containing layer containing C and Cl, “1 atom Layer "," several atomic layer "
The following expressions are used.

ウエハ200上に形成される第1の層としてのCおよびClを含むSi含有層の厚さが
数原子層を超えると、後述するステップ2aでの酸化の作用が第1の層の全体に届かなく
なる。また、ウエハ200上に形成可能な第1の層の厚さの最小値は1原子層未満である
。よって、第1の層の厚さは1原子層未満から数原子層程度とするのが好ましい。第1の
層の厚さを1原子層以下、すなわち、1原子層または1原子層未満とすることで、後述す
るステップ2aでの酸化反応の作用を相対的に高めることができ、ステップ2aでの酸化
反応に要する時間を短縮することができる。ステップ1aでの第1の層の形成に要する時
間を短縮することもできる。結果として、1セットあたりの処理時間を短縮することがで
き、トータルでの処理時間を短縮することも可能となる。すなわち、成膜レートを高くす
ることも可能となる。また、第1の層の厚さを1原子層以下とすることで、膜厚均一性の
制御性を高めることも可能となる。
When the thickness of the Si-containing layer containing C and Cl as the first layer formed on the wafer 200 exceeds several atomic layers, the action of oxidation in step 2a described later reaches the entire first layer. Disappear. The minimum thickness of the first layer that can be formed on the wafer 200 is less than one atomic layer. Accordingly, it is preferable that the thickness of the first layer be less than one atomic layer to several atomic layers. By setting the thickness of the first layer to 1 atomic layer or less, that is, 1 atomic layer or less than 1 atomic layer, the action of the oxidation reaction in Step 2a described later can be relatively enhanced, and in Step 2a The time required for the oxidation reaction can be reduced. The time required for forming the first layer in step 1a can also be shortened. As a result, the processing time per set can be shortened, and the total processing time can be shortened. That is, the film forming rate can be increased. Further, by controlling the thickness of the first layer to 1 atomic layer or less, it becomes possible to improve the controllability of film thickness uniformity.

BTCSMガスが自己分解(熱分解)する条件下、すなわち、BTCSMの熱分解反応
が生じる条件下では、ウエハ200上にSiが堆積することでCおよびClを含むSi層
が形成される。BTCSMガスが自己分解(熱分解)しない条件下、すなわち、BTCS
Mの熱分解反応が生じない条件下では、ウエハ200上にBTCSMガスが吸着すること
でBTCSMガスの吸着層が形成される。ウエハ200上にBTCSMガスの吸着層を形
成するよりも、ウエハ200上にCおよびClを含むSi層を形成する方が、成膜レート
を高くすることができ、好ましい。但し、本実施形態では、ウエハ200の温度を例えば
150℃以下の低温としているので、ウエハ200上にCおよびClを含むSi層が形成
されるよりも、ウエハ200上にBTCSMガスの吸着層が形成される方が、優位となる
可能性がある。さらに、触媒ガスを供給しない場合には、BTCSMガスの吸着層におい
ては、ウエハ200表面等の下地に対する結合やBTCSM分子同士の結合が、化学吸着
よりも弱い物理吸着の状態が優位となってしまう可能性がある。すなわち、触媒ガスを供
給しない場合には、BTCSMガスの吸着層は、その殆どがBTCSMガスの物理吸着層
から構成されてしまう可能性がある。
Under conditions where the BTCSM gas undergoes self-decomposition (pyrolysis), that is, under conditions where a thermal decomposition reaction of BTCSM occurs, Si is deposited on the wafer 200 to form a Si layer containing C and Cl. Under conditions where BTCSM gas does not self-decompose (thermally decompose), that is, BTCS
Under the condition that the thermal decomposition reaction of M does not occur, the BTCSM gas adsorption layer is formed by adsorbing the BTCSM gas on the wafer 200. It is preferable to form a Si layer containing C and Cl on the wafer 200 rather than forming an adsorption layer of BTCSM gas on the wafer 200 because the deposition rate can be increased. However, in this embodiment, since the temperature of the wafer 200 is set to a low temperature of, for example, 150 ° C. or lower, a BTCSM gas adsorption layer is formed on the wafer 200 rather than the Si layer containing C and Cl formed on the wafer 200. There is a possibility that the formation will be superior. Further, when the catalyst gas is not supplied, in the BTCSM gas adsorption layer, the physical adsorption state in which the bond to the base such as the surface of the wafer 200 or the bond between the BTCSM molecules is weaker than the chemical adsorption is dominant. there is a possibility. That is, when the catalyst gas is not supplied, the BTCSM gas adsorption layer may be mostly composed of the BTCSM gas physical adsorption layer.

触媒ガスとしてのピリジンガスは、ウエハ200の表面に存在するO−H結合の結合力
を弱め、BTCSMガスの分解を促し、BTCSM分子の化学吸着による第1の層の形成
を促進させる。すなわち、図13(a)に示すように、例えばウエハ200の表面に存在
するO−H結合に、触媒ガスとしてのピリジンガスが作用してO−H間の結合力を弱める
。結合力の弱まったHとBTCSMガスのClとが反応することで塩化水素(HCl)ガ
スが生成されて脱離し、Clを失ったBTCSM分子(ハロゲン化物)がウエハ200の
表面に化学吸着する。すなわち、ウエハ200の表面に、BTCSMガスの化学吸着層が
形成される。ピリジンガスがO−H間の結合力を弱めるのは、ピリジン分子中の孤立電子
対を有するN原子が、Hを引きつける作用を持つためである。N原子等を含む所定の化合
物がHを引きつける作用の大きさは、例えば上述の酸解離定数(pKa)を1つの指標と
することができる。
The pyridine gas as the catalyst gas weakens the bonding force of the O—H bond existing on the surface of the wafer 200, promotes the decomposition of the BTCSM gas, and promotes the formation of the first layer by the chemical adsorption of the BTCSM molecules. That is, as shown in FIG. 13A, for example, pyridine gas as a catalyst gas acts on O—H bonds existing on the surface of the wafer 200 to weaken the O—H bond strength. The hydrogen chloride (HCl) gas is generated and desorbed by the reaction between H having weak bonding force and Cl of the BTCSM gas, and BTCSM molecules (halides) that have lost Cl are chemically adsorbed on the surface of the wafer 200. That is, a BTCSM gas chemical adsorption layer is formed on the surface of the wafer 200. The reason why the pyridine gas weakens the bonding force between O and H is that an N atom having a lone electron pair in the pyridine molecule has an action of attracting H. The magnitude of the action of a predetermined compound containing an N atom or the like to attract H can be determined, for example, using the above-described acid dissociation constant (pKa) as one index.

上述の通り、pKaは、酸から水素イオンが放出される解離反応における平衡定数Ka
を負の常用対数で表した定数であり、pKaが大きい化合物はHを引き付ける力が強い。
例えば、pKaが5以上の化合物を触媒ガスとして用いることで、BTCSMガスの分解
を促して第1の層の形成を促進させることができる。一方で、触媒ガスのpKaが過度に
大きいと、BTCSM分子から引き抜かれたClと触媒ガスとが結合し、これにより、塩
化アンモニウム(NHCl)等の塩(Salt:イオン化合物)が生じ、パーティクル
源となる場合がある。これを抑制するには、触媒ガスのpKaを11程度以下、好ましく
は7以下とすることが望ましい。ピリジンガスはpKaが約5.67と比較的大きく、H
を引きつける力が強い。また、pKaが7以下であるので、パーティクルも発生し難い。
As described above, pKa is an equilibrium constant Ka in a dissociation reaction in which hydrogen ions are released from an acid.
Is a constant represented by a negative common logarithm, and a compound having a large pKa has a strong ability to attract H.
For example, by using a compound having a pKa of 5 or more as the catalyst gas, it is possible to promote the formation of the first layer by promoting the decomposition of the BTCSM gas. On the other hand, when the pKa of the catalyst gas is excessively large, Cl extracted from the BTCSM molecule is combined with the catalyst gas, thereby producing a salt (Salt: ionic compound) such as ammonium chloride (NH 4 Cl), It may be a particle source. In order to suppress this, it is desirable that the pKa of the catalyst gas is about 11 or less, preferably 7 or less. Pyridine gas has a relatively large pKa of about 5.67, and H
Strong attraction. Further, since pKa is 7 or less, particles are hardly generated.

以上のように、触媒ガスとしてのピリジンガスをBTCSMガスと共に供給することで
、例えば150℃以下の低温条件下であっても、BTCSMガスの分解を促進し、BTC
SMガスの物理吸着層の形成ではなく化学吸着層の形成が優勢となるよう、第1の層を形
成することができる。
As described above, by supplying the pyridine gas as the catalyst gas together with the BTCSM gas, for example, the decomposition of the BTCSM gas is promoted even under a low temperature condition of 150 ° C. or less.
The first layer can be formed so that the formation of the chemisorption layer rather than the formation of the SM gas physical adsorption layer is dominant.

また、以上のように、Si,Cおよびハロゲン元素を含みSi−C結合を有する原料ガ
スとしてBTCSMガスを用いることで、例えば150℃以下の比較的低温の条件下であ
っても、第1の層中にCを取り込むことができる。このCを含む第1の層が、その後に行
われるステップ2aにおいて酸化され、例えばCを高濃度に含むシリコン酸炭化層(Si
OC層)や、係るSiOC層が積層されてなり、Cを高濃度に含むSiOC膜を形成する
ことができる。また、SiOC層やSiOC膜中のC濃度を精度よく制御することができ
る。
In addition, as described above, by using BTCSM gas as a source gas containing Si, C and a halogen element and having a Si—C bond, the first C can be incorporated into the layer. The first layer containing C is oxidized in the subsequent step 2a. For example, a silicon oxycarbide layer (Si
OC layer) and such a SiOC layer are laminated, and a SiOC film containing C at a high concentration can be formed. In addition, the C concentration in the SiOC layer and the SiOC film can be controlled with high accuracy.

(残留ガス除去)
第1の層としてのCおよびClを含むSi含有層がウエハ200上に形成された後、バ
ルブ243aを閉じ、BTCSMガスの供給を停止する。また、バルブ243cを閉じ、
ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244は開いた
ままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残
留する未反応もしくは第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを
処理室201内から排除する(残留ガス除去)。また、バルブ243g〜243jは開い
たままとして、不活性ガスとしてのNガスの処理室201内への供給を維持する。N
ガスはパージガスとして作用し、これにより、処理室201内に残留する未反応もしくは
第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを処理室201内から排
除する効果を高めることができる。
(Residual gas removal)
After the Si-containing layer containing C and Cl as the first layer is formed on the wafer 200, the valve 243a is closed and the supply of the BTCSM gas is stopped. Also, close the valve 243c,
Stop the supply of pyridine gas. At this time, the APC valve 244 of the exhaust pipe 231 is kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the unreacted remaining in the processing chamber 201 or after contributing to the formation of the first layer BTCSM gas and pyridine gas are excluded from the processing chamber 201 (residual gas removal). Further, the valves 243g to 243j are kept open and the supply of N 2 gas as an inert gas into the processing chamber 201 is maintained. N 2
The gas acts as a purge gas, which can enhance the effect of removing the unreacted BTCSM gas and pyridine gas remaining in the processing chamber 201 or contributing to the formation of the first layer from the processing chamber 201. .

このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201
内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その
後に行われるステップ2aにおいて悪影響が生じることはない。処理室201内に供給す
るNガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の
容積と同程度の量を供給することで、ステップ2aにおいて悪影響が生じない程度のパー
ジを行うことができる。このように、処理室201内を完全にパージしないことで、パー
ジ時間を短縮し、スループットを向上させることができる。Nガスの消費も必要最小限
に抑えることが可能となる。
At this time, the gas remaining in the processing chamber 201 may not be completely removed.
It is not necessary to completely purge the inside. If the amount of gas remaining in the processing chamber 201 is very small, no adverse effects will occur in the subsequent step 2a. The flow rate of the N 2 gas supplied into the processing chamber 201 does not need to be a large flow rate. For example, by supplying an amount similar to the volume of the reaction tube 203 (processing chamber 201), an adverse effect occurs in step 2a. There can be no purging. Thus, by not completely purging the inside of the processing chamber 201, the purge time can be shortened and the throughput can be improved. The consumption of N 2 gas can be suppressed to the minimum necessary.

Si,Cおよびハロゲン元素を含みSi−C結合を有する原料ガスとしては、BTCS
Mガスの他、BTCSEガス、TCDMDSガス、DCTMDSガス、およびMCPMD
Sガス等を用いてもよい。触媒ガスとしては、ピリジンガスの他、アミノピリジンガス、
ピコリンガス、ルチジンガス、ピリミジンガス、キノリンガス、ピペラジンガス、および
ピペリジンガス等のアミン系触媒ガスを用いてもよい。不活性ガスとしては、Nガスの
他、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。
As a source gas containing Si, C and a halogen element and having a Si—C bond, BTCS
In addition to M gas, BTCSE gas, TCDMDS gas, DCTMDS gas, and MCPMD
S gas or the like may be used. As catalyst gas, in addition to pyridine gas, aminopyridine gas,
Amine-based catalyst gases such as picoline gas, lutidine gas, pyrimidine gas, quinoline gas, piperazine gas, and piperidine gas may be used. As the inert gas, a rare gas such as Ar gas, He gas, Ne gas, or Xe gas may be used in addition to N 2 gas.

[ステップ2a]
(HOガス+ピリジンガス供給)
ステップ1aが終了し処理室201内の残留ガスを除去した後、バルブ243bを開き
、ガス供給管232b内にHOガスを流す。HOガスは、MFC241bにより流量
調整され、ガス供給孔250bから処理室201内に供給され、排気管231から排気さ
れる。このとき、ノンプラズマの雰囲気下で、ウエハ200に対してHOガスが供給さ
れることとなる(HOガス供給)。このとき同時にバルブ243hを開き、ガス供給管
232h内に不活性ガスとしてのNガスを流す。Nガスは、MFC241hにより流
量調整され、HOガスと一緒に処理室201内に供給され、排気管231から排気され
る。
[Step 2a]
(H 2 O gas + pyridine gas supply)
After step 1a is completed and residual gas in the processing chamber 201 is removed, the valve 243b is opened, and H 2 O gas is allowed to flow into the gas supply pipe 232b. The flow rate of the H 2 O gas is adjusted by the MFC 241b, supplied into the processing chamber 201 from the gas supply hole 250b, and exhausted from the exhaust pipe 231. At this time, H 2 O gas is supplied to the wafer 200 in a non-plasma atmosphere (H 2 O gas supply). At the same time, the valve 243h is opened, and N 2 gas as an inert gas is caused to flow into the gas supply pipe 232h. The flow rate of the N 2 gas is adjusted by the MFC 241h, supplied into the processing chamber 201 together with the H 2 O gas, and exhausted from the exhaust pipe 231.

また、ステップ1aにおけるピリジンガスの供給と同様にして、ウエハ200に対して
ピリジンガスを供給する(ピリジンガス供給)。
Further, pyridine gas is supplied to the wafer 200 (pyridine gas supply) in the same manner as the supply of pyridine gas in step 1a.

また、ノズル249a,249d内やバッファ室237内へのHOガスおよびピリジ
ンガスの侵入を防止するため、バルブ243g,243jを開き、ガス供給管232g,
232j内にNガスを流す。Nガスは、ガス供給管232a,232d、ノズル24
9a,249d、バッファ室237を介して処理室201内に供給され、排気管231か
ら排気される。
Further, in order to prevent invasion of H 2 O gas and pyridine gas into the nozzles 249a and 249d and the buffer chamber 237, the valves 243g and 243j are opened, and the gas supply pipes 232g,
N 2 gas is allowed to flow into 232j. The N 2 gas is supplied from the gas supply pipes 232a and 232d and the nozzle 24.
9a, 249d and the buffer chamber 237 are supplied into the processing chamber 201 and exhausted from the exhaust pipe 231.

このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1
〜13330Pa、好ましくは133〜2666Paの範囲内の圧力とする。MFC24
1bで制御するHOガスの供給流量は、例えば1000〜10000sccm、好まし
くは10〜1000sccmの範囲内の流量とする。MFC241cで制御するピリジン
ガスの供給流量は、例えば1〜2000sccm、好ましくは10〜1000sccmの
範囲内の流量とする。MFC241g〜241jで制御するNガスの供給流量は、それ
ぞれ例えば100〜10000sccmの範囲内の流量とする。HOガス及びピリジン
ガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例
えば1〜100秒、好ましくは5〜60秒の範囲内の時間とする。ヒータ207の温度は
、ウエハ200の温度が、ステップ1aでのウエハ200の温度と同様な温度帯、すなわ
ち、例えば室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは5
0℃以上100℃以下の範囲内の温度となるように設定する。
At this time, the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1
The pressure is in the range of ˜13330 Pa, preferably 133 to 2666 Pa. MFC24
The supply flow rate of the H 2 O gas controlled by 1b is, for example, 1000 to 10000 sccm, preferably 10 to 1000 sccm. The supply flow rate of the pyridine gas controlled by the MFC 241c is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm. The supply flow rate of the N 2 gas controlled by the MFCs 241g to 241j is, for example, a flow rate in the range of 100 to 10000 sccm. The time for supplying H 2 O gas and pyridine gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, 1 to 100 seconds, preferably 5 to 60 seconds. The temperature of the heater 207 is such that the temperature of the wafer 200 is similar to the temperature of the wafer 200 in step 1a, that is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 5
It sets so that it may become the temperature within the range of 0 degreeC or more and 100 degrees C or less.

処理室201内に供給されたHOガスは熱で活性化され、排気管231から排気され
る。このときウエハ200に対して、熱で活性化されたHOガスが供給されることとな
る。すなわち、処理室201内に流しているガスは熱的に活性化されたHOガスであり
、処理室201内にはBTCSMガスは流していない。したがって、HOガスは気相反
応を起こすことはなく、活性化された状態でウエハ200に対して供給され、ステップ1
aでウエハ200上に形成された第1の層(CおよびClを含むSi含有層)の少なくと
も一部と反応する。これにより、第1の層は、ノンプラズマで熱的に酸化されて、Si,
OおよびCを含む第2の層、すなわち、SiOC層へと変化させられる。
The H 2 O gas supplied into the processing chamber 201 is activated by heat and exhausted from the exhaust pipe 231. At this time, H 2 O gas activated by heat is supplied to the wafer 200. That is, the gas flowing in the processing chamber 201 is a thermally activated H 2 O gas, and no BTCSM gas is flowing in the processing chamber 201. Therefore, the H 2 O gas does not cause a gas phase reaction, and is supplied to the wafer 200 in an activated state.
a reacts with at least a portion of the first layer (the Si-containing layer containing C and Cl) formed on the wafer 200. As a result, the first layer is thermally oxidized by non-plasma, and Si,
It is changed to a second layer containing O and C, that is, a SiOC layer.

触媒ガスとしてのピリジンガスは、HOガスが有するO−H結合の結合力を弱め、H
Oガスの分解を促し、HOガスと第1の層との反応を促進させる。すなわち、図13
(b)に示すように、HOガスの有するO−H結合に触媒としてのピリジンガスが作用
し、O−H間の結合力を弱める。結合力の弱まったHと、ウエハ200上に形成された第
1の層が有するClとが反応することで、HClガスが生成されて脱離し、Hを失ったH
OガスのOが、Clが脱離して少なくともCの一部が残った第1の層のSiと結合する
The pyridine gas as the catalyst gas weakens the bonding force of the O—H bond of the H 2 O gas,
Promote degradation of 2 O gas, thereby promoting the reaction between the H 2 O gas and the first layer. That is, FIG.
As shown in (b), pyridine gas as a catalyst acts on the O—H bond of the H 2 O gas to weaken the bonding force between O—H. The H having weakened the bonding force reacts with Cl in the first layer formed on the wafer 200, so that HCl gas is generated and desorbed, and H is lost.
O of 2 O gas is bonded to Si of the first layer in which Cl is desorbed and at least a part of C remains.

なお、HOガスとピリジンガスとを供給する工程では、所望の膜組成等に応じて、供
給するピリジンガスの供給量を適宜調整することができる。ピリジンガスの供給量を増加
させるとピリジンガスの作用が高まってHOガスの酸化力が向上し、Si−C結合が切
断されてCが脱離し易くなり、結果、SiOC層中のC濃度が低下する。ピリジンガスの
供給量を低下させるとピリジンガスの作用が弱まってHOガスの酸化力が低下し、Si
−C結合が維持され易くなり、結果、SiOC層中のC濃度が高まる。従って、ピリジン
ガスの供給量を適宜調整することにより、SiOC層中の、ひいては、SiOC層が積層
されてなるSiOC膜中のC濃度や、シリコン濃度(Si濃度)や、酸素濃度(O濃度)
等を相対的に変化させることができる。
In the step of supplying H 2 O gas and pyridine gas, the supply amount of pyridine gas to be supplied can be appropriately adjusted according to the desired film composition and the like. When the supply amount of pyridine gas is increased, the action of pyridine gas is enhanced, the oxidizing power of H 2 O gas is improved, the Si—C bond is broken, and C is easily desorbed. As a result, the C concentration in the SiOC layer Decreases. When the supply amount of pyridine gas is lowered, the action of pyridine gas is weakened and the oxidizing power of H 2 O gas is lowered, and Si
The -C bond is easily maintained, and as a result, the C concentration in the SiOC layer is increased. Accordingly, by appropriately adjusting the supply amount of pyridine gas, the C concentration, the silicon concentration (Si concentration), and the oxygen concentration (O concentration) in the SiOC layer, and thus in the SiOC film formed by laminating the SiOC layer, are adjusted.
Etc. can be changed relatively.

また、酸化ガスと触媒ガスとを供給する工程において供給する触媒ガスの供給量の調整
は、上述の原料ガスと触媒ガスとを供給する工程において供給する触媒ガスの供給量の調
整とは独立別個に行うことができる。つまり、両工程における触媒ガスの供給量が同一と
なるようにそれぞれ調整してもよく、異なるようにそれぞれ調整してもよい。
The adjustment of the supply amount of the catalyst gas supplied in the step of supplying the oxidizing gas and the catalyst gas is independent from the adjustment of the supply amount of the catalyst gas supplied in the step of supplying the raw material gas and the catalyst gas. Can be done. That is, the supply amount of the catalyst gas in both steps may be adjusted to be the same, or may be adjusted to be different.

また、このとき、触媒ガスの供給量や流量等を異なる数値に設定したプロセスレシピ(
処理手順や処理条件が記載されたプログラム)を予め複数用意しておくことができる。
At this time, the process recipe (with the catalyst gas supply amount and flow rate set to different values)
A plurality of programs in which processing procedures and processing conditions are described can be prepared in advance.

なお、例えば150℃以下の低温条件下では、水分(HO)を比較的多く含んだSi
OC層が形成され易い。よって、このようなSiOC層が積層されてなるSiOC膜中に
も、水分等が多く含まれる場合がある。SiOC層やSiOC膜中に含まれる水分は、例
えば、酸化ガスとして用いたHOガス等に由来する。
For example, under a low temperature condition of 150 ° C. or lower, Si containing a relatively large amount of moisture (H 2 O).
An OC layer is easily formed. Therefore, the SiOC film formed by laminating such SiOC layers may contain a lot of moisture and the like. The moisture contained in the SiOC layer or the SiOC film is derived from, for example, H 2 O gas used as the oxidizing gas.

(残留ガス除去)
その後、バルブ243bを閉じ、HOガスの供給を停止する。また、バルブ243c
を閉じ、ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244
は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室20
1内に残留する未反応もしくは反応に寄与した後のHOガスやピリジンガスや反応副生
成物を処理室201内から排除する(残留ガス除去)。また、バルブ243g〜243j
は開いたままとして、不活性ガスとしてのNガスの処理室201内への供給を維持する
。Nガスはパージガスとして作用し、これにより、処理室201内に残留する未反応も
しくは第2の層の形成に寄与した後のHOガスやピリジンガスや反応副生成物を処理室
201内から排除する効果を高めることができる。
(Residual gas removal)
Thereafter, the valve 243b is closed and the supply of H 2 O gas is stopped. Also, the valve 243c
Is closed and the supply of pyridine gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231
Is kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the processing chamber 20
The H 2 O gas, the pyridine gas, and the reaction by-product remaining in the reactor 1 after being unreacted or contributing to the reaction are removed from the processing chamber 201 (residual gas removal). Also, the valves 243g to 243j
Is kept open and the supply of N 2 gas as an inert gas into the processing chamber 201 is maintained. The N 2 gas acts as a purge gas, and thereby, H 2 O gas, pyridine gas, and reaction byproducts remaining in the processing chamber 201 and contribute to the formation of the second layer are transferred into the processing chamber 201. The effect which excludes from can be heightened.

このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201
内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その
後に行われるステップ1aにおいて悪影響が生じることはない。処理室201内に供給す
るNガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の
容積と同程度の量を供給することで、ステップ1aにおいて悪影響が生じない程度のパー
ジを行うことができる。このように、処理室201内を完全にパージしないことで、パー
ジ時間を短縮し、スループットを向上させることができる。Nガスの消費も必要最小限
に抑えることが可能となる。
At this time, the gas remaining in the processing chamber 201 may not be completely removed.
It is not necessary to completely purge the inside. If the amount of gas remaining in the processing chamber 201 is very small, no adverse effect will occur in the subsequent step 1a. The flow rate of the N 2 gas supplied into the processing chamber 201 does not need to be a large flow rate. For example, by supplying an amount similar to the volume of the reaction tube 203 (processing chamber 201), an adverse effect occurs in step 1a. There can be no purging. Thus, by not completely purging the inside of the processing chamber 201, the purge time can be shortened and the throughput can be improved. The consumption of N 2 gas can be suppressed to the minimum necessary.

酸化ガスとしては、HOガスの他、過酸化水素(H)ガス、水素(H)ガス
+酸素(O)ガス、Hガス+オゾン(O)ガス等を用いてもよい。触媒ガスとして
は、ピリジンガスの他、上記に挙げた各種のアミン系触媒ガスを用いてもよい。不活性ガ
スとしては、Nガスの他、上記に挙げた各種の希ガスを用いてもよい。
As the oxidizing gas, other the H 2 O gas, using hydrogen peroxide (H 2 O 2) gas, hydrogen (H 2) gas and oxygen gas (O 2), H 2 gas + ozone (O 3) gas or the like May be. As the catalyst gas, in addition to pyridine gas, various amine-based catalyst gases listed above may be used. As the inert gas, various rare gases listed above may be used in addition to N 2 gas.

(所定回数実施)
上述したステップ1a,2aを1セットとして、このセットを1回以上、つまり、所定
回数(n回)行うことにより、ウエハ200上に、第1の薄膜として、所定組成及び所定
膜厚のSiOC膜を成膜することができる。上述のセットは、複数回繰り返すのが好まし
い。すなわち、1セットあたりに形成するSiOC層の厚さを所望の膜厚よりも小さくし
て、上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
(Performed times)
The above-described steps 1a and 2a are set as one set, and this set is performed at least once, that is, a predetermined number of times (n times), whereby a SiOC film having a predetermined composition and a predetermined thickness is formed on the wafer 200 as a first thin film. Can be formed. The above set is preferably repeated multiple times. That is, it is preferable that the thickness of the SiOC layer formed per set is made smaller than the desired film thickness and the above set is repeated a plurality of times until the desired film thickness is obtained.

このとき、各ステップにおける処理室201内の圧力やガス供給時間等の処理条件を制
御することで、SiOC層における各元素成分、すなわち、Si成分、O成分およびC成
分の割合、すなわち、Si濃度、O濃度およびC濃度を微調整することができ、SiOC
膜の組成比をより緻密に制御することができる。
At this time, by controlling the processing conditions such as the pressure in the processing chamber 201 and the gas supply time in each step, the ratio of each element component in the SiOC layer, that is, Si component, O component and C component, that is, Si concentration , O concentration and C concentration can be finely adjusted, SiOC
The composition ratio of the film can be controlled more precisely.

セットを複数回行う場合、少なくとも2セット目以降の各ステップにおいて、「ウエハ
200に対して所定のガスを供給する」と記載した部分は、「ウエハ200上に形成され
ている層に対して、すなわち、積層体としてのウエハ200の最表面に対して所定のガス
を供給する」ことを意味している。また、「ウエハ200上に所定の層を形成する」と記
載した部分は、「ウエハ200上に形成されている層の上、すなわち、積層体としてのウ
エハ200の最表面の上に所定の層を形成する」ことを意味している。この点は、上述の
通りである。また、この点は、後述する変形例や他の実施形態にてセットやサイクルを複
数回行う場合の説明においても同様である。
In the case where the set is performed a plurality of times, at least in each step after the second set, the portion described as “supplying a predetermined gas to the wafer 200” is “to the layer formed on the wafer 200, That is, a predetermined gas is supplied to the outermost surface of the wafer 200 as a laminate. In addition, a portion described as “form a predetermined layer on the wafer 200” means “a predetermined layer on the layer formed on the wafer 200, that is, on the outermost surface of the wafer 200 as a stacked body. Is meant to form. This point is as described above. This also applies to the case where a set or cycle is performed a plurality of times in a later-described modification or other embodiments.

(SiOC膜改質工程)
以上のように形成されたSiOC膜は、例えば150℃以下の低温条件下で形成された
膜ではあるが、優れたエッチング耐性や低誘電率を有する。しかしながら、SiOC膜は
アッシング耐性に劣る場合がある。そこで、本実施形態では、改質ガスとしてのNH
スによりSiOC膜をSiOCN膜へと改質する工程を行い、高エッチング耐性および高
アッシング耐性を有する薄膜を形成する。
(SiOC film modification process)
Although the SiOC film formed as described above is a film formed under a low temperature condition of, for example, 150 ° C. or less, it has excellent etching resistance and a low dielectric constant. However, the SiOC film may be inferior in ashing resistance. Therefore, in the present embodiment, a process of modifying the SiOC film into a SiOCN film with NH 3 gas as a reforming gas is performed to form a thin film having high etching resistance and high ashing resistance.

(圧力調整及び温度調整)
処理室201内が所望の圧力(真空度)となるよう、APCバルブ244をフィードバ
ック制御しながら、真空ポンプ246によって処理室201内を真空排気する(圧力調整
)。また、処理室201内のウエハ200が所望の温度となるようにヒータ207によっ
て加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ26
3が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される(
温度調整)。この工程においても、回転機構267によるボート217及びウエハ200
の回転を継続しておく。
(Pressure adjustment and temperature adjustment)
The inside of the processing chamber 201 is evacuated (pressure adjustment) by the vacuum pump 246 while feedback controlling the APC valve 244 so that the inside of the processing chamber 201 has a desired pressure (degree of vacuum). Further, the wafer 200 in the processing chamber 201 is heated by the heater 207 so as to reach a desired temperature. At this time, the temperature sensor 26 is set so that the inside of the processing chamber 201 has a desired temperature distribution.
3 is feedback-controlled based on the temperature information detected by the heater 207.
Temperature adjustment). Also in this process, the boat 217 and the wafer 200 by the rotation mechanism 267 are used.
Keep rotating.

(NHガス供給)
バルブ243dを開き、ガス供給管232d内にNHガスを流す。NHガスは、M
FC241dにより流量調整され、ガス供給孔250dからバッファ室237内に供給さ
れ、更にガス供給孔250eから処理室201内に供給され、排気管231から排気され
る。このとき、ウエハ200に対してNHガスが供給されることとなる(NHガス供
給)。このとき同時にバルブ243jを開き、ガス供給管232j内にNガス等の不活
性ガスを流す。Nガスは、MFC241jにより流量調整され、NHガスと一緒に処
理室201内に供給され、排気管231から排気される。
(NH 3 gas supply)
The valve 243d is opened and NH 3 gas is allowed to flow into the gas supply pipe 232d. NH 3 gas is M
The flow rate is adjusted by the FC 241d, supplied into the buffer chamber 237 through the gas supply hole 250d, further supplied into the processing chamber 201 through the gas supply hole 250e, and exhausted from the exhaust pipe 231. At this time, NH 3 gas is supplied to the wafer 200 (NH 3 gas supply). At the same time, the valve 243j is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232j. The flow rate of the N 2 gas is adjusted by the MFC 241j, supplied to the processing chamber 201 together with the NH 3 gas, and exhausted from the exhaust pipe 231.

また、ノズル249a〜249c内へのNHガスの侵入を防止するため、バルブ24
3g〜243iを開き、ガス供給管232g〜232i内にNガスを流す。Nガスは
、ガス供給管232a〜232c、ノズル249a〜249cを介して処理室201内に
供給され、排気管231から排気される。
Further, in order to prevent the NH 3 gas from entering the nozzles 249a to 249c, the valve 24
3g to 243i are opened, and N 2 gas is allowed to flow through the gas supply pipes 232g to 232i. The N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 232a to 232c and the nozzles 249a to 249c, and is exhausted from the exhaust pipe 231.

このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば大
気圧未満の圧力、好ましくは1〜13330Pa(0.0075〜100Torr)、よ
り好ましくは133〜2666Pa(1〜20Torr)の範囲内の圧力とする。MFC
241dで制御するNHガスの供給流量は、例えば1〜2000sccm、好ましくは
10〜1000sccmの範囲内の流量とする。MFC241g〜241jで制御するN
ガスの供給流量は、それぞれ例えば100〜10000sccmの範囲内の流量とする
。NHガスをウエハ200に対して供給する時間は、例えば1〜120分、好ましくは
10〜120分の範囲内の時間とする。
At this time, the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, a pressure lower than atmospheric pressure, preferably 1 to 13330 Pa (0.0075 to 100 Torr), more preferably 133 to 2666 Pa (1 to The pressure is within the range of 20 Torr). MFC
The supply flow rate of NH 3 gas controlled by 241d is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm. N controlled by MFC 241g-241j
The supply flow rates of the two gases are, for example, flow rates in the range of 100 to 10,000 sccm, respectively. The time for supplying the NH 3 gas to the wafer 200 is, for example, 1 to 120 minutes, preferably 10 to 120 minutes.

このときヒータ207の温度は、ウエハ200の温度が、例えば上述のSiOC膜を形
成する工程におけるウエハ200の温度よりも高い温度となるような温度に設定する。具
体的には、ウエハ200の温度を200℃以上900℃以下、好ましくは200℃以上7
00℃以下、より好ましくは200℃以上600℃以下の範囲内の温度に設定する。この
ような温度範囲は、例えばウエハ200が受ける熱負荷や熱履歴等を考慮のうえ決定され
る。すなわち、ウエハ200の温度が900℃を超えると熱負荷が大きくなりすぎ、ウエ
ハ200上に形成される半導体デバイスの電気特性等に影響を及ぼしかねない。ウエハ2
00の温度を少なくとも900℃以下とすることで、この熱負荷による電気特性等への影
響を抑制することが可能となる。具体的には、熱処理対象のSiOC膜が形成されたウエ
ハ200がメモリデバイス向けであるような場合には、900℃程度の熱にまで耐えるこ
とができる。係るウエハ200がロジックデバイス向けであっても、700℃程度の熱に
まで耐えることができる。ウエハ200の温度を更に600℃以下とすれば、より確実に
デバイス構造等の熱損傷を回避することが容易となる。一方で、ウエハ200の温度が2
00℃未満となってしまうと、SiOC膜の改質の効果が低下して、NHガスの供給時
間、すなわち、改質処理の時間が長期化し、生産性が低下してしまう。ウエハ200の温
度を200℃以上とすることで、SiOC膜の改質が適度に促進され、改質処理の時間を
実用的な処理時間内に留めることができる。よって、ウエハ200の温度は200℃以上
900℃以下、好ましくは200℃以上700℃以下、より好ましくは200℃以上60
0℃以下の範囲内の温度とするのがよい。
At this time, the temperature of the heater 207 is set to such a temperature that the temperature of the wafer 200 is higher than the temperature of the wafer 200 in the above-described step of forming the SiOC film, for example. Specifically, the temperature of the wafer 200 is 200 ° C. or higher and 900 ° C. or lower, preferably 200 ° C. or higher and 7 ° C.
The temperature is set to 00 ° C. or lower, more preferably 200 ° C. or higher and 600 ° C. or lower. Such a temperature range is determined in consideration of, for example, the thermal load and thermal history that the wafer 200 receives. That is, when the temperature of the wafer 200 exceeds 900 ° C., the thermal load becomes too large, which may affect the electrical characteristics of the semiconductor device formed on the wafer 200. Wafer 2
By setting the temperature of 00 to at least 900 ° C. or less, it is possible to suppress the influence on the electrical characteristics and the like due to this heat load. Specifically, when the wafer 200 on which the heat-treated SiOC film is formed is for a memory device, it can withstand heat of about 900 ° C. Even if such a wafer 200 is for a logic device, it can withstand heat of about 700 ° C. If the temperature of the wafer 200 is further set to 600 ° C. or less, it becomes easier to avoid thermal damage to the device structure and the like more reliably. On the other hand, the temperature of the wafer 200 is 2
When the temperature is less than 00 ° C., the effect of modifying the SiOC film is reduced, and the supply time of the NH 3 gas, that is, the time for the modification treatment is prolonged, and the productivity is lowered. By setting the temperature of the wafer 200 to 200 ° C. or higher, the modification of the SiOC film is moderately promoted, and the modification process time can be kept within a practical processing time. Therefore, the temperature of the wafer 200 is 200 ° C. or higher and 900 ° C. or lower, preferably 200 ° C. or higher and 700 ° C. or lower, more preferably 200 ° C. or higher and 60 ° C. or lower.
The temperature is preferably within a range of 0 ° C. or lower.

処理室201内に供給されたNHガスは熱で活性化され、排気管231から排気され
る。このときウエハ200に対して、熱で活性化されたNHガスが供給されることとな
る。すなわち、処理室201内に流しているガスは熱的に活性化されたNHガスであり
、処理室201内にはBTCSMガスやHOガスやピリジンガスは流していない。した
がって、NHガスは気相反応を起こすことはなく、活性化された状態でウエハ200に
対して供給され、ステップ1a,2aを所定回数行うことでウエハ200上に形成された
第1の薄膜(SiOC膜)の少なくとも一部と反応する。これにより、SiOC膜は、ノ
ンプラズマで熱的に改質されて、Si,O,CおよびNを含む第2の薄膜、すなわち、S
iOCN膜へと変化させられる。
The NH 3 gas supplied into the processing chamber 201 is activated by heat and exhausted from the exhaust pipe 231. At this time, NH 3 gas activated by heat is supplied to the wafer 200. That is, the gas flowing in the processing chamber 201 is a thermally activated NH 3 gas, and no BTCSM gas, H 2 O gas, or pyridine gas is flowing in the processing chamber 201. Therefore, the NH 3 gas does not cause a gas phase reaction, is supplied to the wafer 200 in an activated state, and the first thin film formed on the wafer 200 by performing steps 1a and 2a a predetermined number of times. Reacts with at least part of (SiOC film). As a result, the SiOC film is thermally modified by non-plasma to form a second thin film containing Si, O, C, and N, that is, S
It is changed to an iOCN film.

このとき、上記のように、ウエハ200の温度を比較的高い温度としているので、NH
ガスとSiOC膜との反応が促進され、N成分をSiOC膜中にまで入り込ませること
ができる。また、ウエハ200の温度を、上述のSiOC膜を形成する工程におけるウエ
ハ200の温度よりも高い温度としているので、上述のように、SiOC膜が水分を多く
含む場合には、膜中から水分が脱離し易くなる。SiOC膜の水分の抜けた部分には微小
な孔(ポア)、すなわち、微小な空間が生じ、SiOC膜はポーラス状の膜となる。この
ような水分の抜けた孔にNが入り込むことで、N成分がよりいっそうSiOC膜中に取り
込まれ易くなり、SiOC膜の改質が膜の略全体に及ぶこととなる。このとき、SiOC
膜中に取り込まれたNの少なくとも一部が、膜中の成分、例えばSi等とSi−N結合等
を形成していてもよい。
At this time, as described above, the temperature of the wafer 200 is set to a relatively high temperature.
The reaction between the three gases and the SiOC film is promoted, and the N component can penetrate into the SiOC film. Further, since the temperature of the wafer 200 is higher than the temperature of the wafer 200 in the step of forming the above-described SiOC film, as described above, when the SiOC film contains a large amount of moisture, the moisture from the film is absorbed. It becomes easy to detach. A minute hole (pore), that is, a minute space is formed in the portion where the moisture is removed from the SiOC film, and the SiOC film becomes a porous film. When N enters such a hole from which moisture has been removed, the N component is more easily taken into the SiOC film, and the modification of the SiOC film reaches almost the entire film. At this time, SiOC
At least a part of N taken into the film may form a Si—N bond or the like with a component in the film, such as Si.

なお、SiOC膜の改質処理は、例えば、上述の温度調整によりウエハ200の温度が
所望の温度まで昇温された後、ウエハ200の温度が係る所望の温度で安定的に維持され
た状態で行われる。つまり、SiOC膜を改質する工程とは、例えばウエハ200の温度
が所定温度に保たれた状態でウエハ200に対してNHガスの供給を行っている期間の
ことを指す。但し、上述のウエハ200の温度を調整する工程にてウエハ200を昇温さ
せるとき、任意のタイミングでウエハ200に対するNHガスの供給を開始して、Si
OC膜改質工程を開始してもよい。或いは、後述する処理室201内をパージする工程に
て行うウエハ200の降温をNHガスの供給中に開始し、ウエハ200を降温させつつ
、SiOC膜改質工程を継続してもよい。このように、ウエハ200の温度を調整(昇温
)する工程およびウエハ200の温度を降温する工程のうち少なくとも一部の期間にNH
ガスの供給を行うことで、これらの期間をSiOC膜を改質する工程に含めるようにし
てもよい。但し、上述のように温度調整された所望の温度は、SiOC膜中にNを取り込
むのに好適な温度である。よって、例えばウエハ200の昇温中または降温中のこれより
低い温度では、SiOC膜中へのNの取り込みが制限され、或いは、全く起こらず、改質
処理がほとんど進行しない場合がある。よって、改質処理は、ウエハ200を上記所望の
温度に維持し、一定温度で行うことがより好ましい。これにより、NのSiOC膜中への
取り込み速度や取り込み量も安定し、より良質で安定した特性を有する薄膜が得られる。
In the SiOC film modification process, for example, after the temperature of the wafer 200 is raised to a desired temperature by the above-described temperature adjustment, the temperature of the wafer 200 is stably maintained at the desired temperature. Done. That is, the step of modifying the SiOC film refers to a period in which, for example, NH 3 gas is supplied to the wafer 200 while the temperature of the wafer 200 is maintained at a predetermined temperature. However, when the temperature of the wafer 200 is raised in the step of adjusting the temperature of the wafer 200 described above, supply of NH 3 gas to the wafer 200 is started at an arbitrary timing, and Si
The OC film modification step may be started. Alternatively, the temperature reduction of the wafer 200 performed in the process of purging the inside of the processing chamber 201 described later may be started while the NH 3 gas is supplied, and the SiOC film modification process may be continued while the temperature of the wafer 200 is decreased. As described above, during at least a part of the process of adjusting (heating) the temperature of the wafer 200 and the process of lowering the temperature of the wafer 200, NH
By supplying three gases, these periods may be included in the step of modifying the SiOC film. However, the desired temperature adjusted as described above is a temperature suitable for incorporating N into the SiOC film. Therefore, for example, when the temperature of the wafer 200 is lower than that during temperature increase or decrease, the incorporation of N into the SiOC film is limited or does not occur at all, and the modification process may hardly proceed. Therefore, the reforming process is more preferably performed at a constant temperature while maintaining the wafer 200 at the desired temperature. As a result, the incorporation rate and the incorporation amount of N into the SiOC film are stabilized, and a thin film having higher quality and stable characteristics can be obtained.

(残留ガス除去及びパージ)
その後、バルブ243dを閉じ、NHガスの供給を停止する。このとき、排気管23
1のAPCバルブ244は開いたままとして、真空ポンプ246により処理室201内を
真空排気し、処理室201内に残留する未反応もしくは反応に寄与した後のNHガスや
反応副生成物を処理室201内から排除する(残留ガス除去)。また、バルブ243g〜
243jは開いたままとして、不活性ガスとしてのNガスの処理室201内への供給を
維持する。Nガスはパージガスとして作用し、これにより、処理室201内に残留する
未反応もしくはSiOC膜の改質に寄与した後のNHガスや反応副生成物を処理室20
1内から排除する効果を高めることができる(パージ)。
(Residual gas removal and purging)
Thereafter, the valve 243d is closed, and the supply of NH 3 gas is stopped. At this time, the exhaust pipe 23
1, the APC valve 244 is kept open, and the processing chamber 201 is evacuated by the vacuum pump 246 to process the NH 3 gas and reaction by-products remaining in the processing chamber 201 or contributed to the reaction. Exclude from the chamber 201 (residual gas removal). Also, the valve 243g ~
243j is kept open and the supply of N 2 gas as an inert gas into the processing chamber 201 is maintained. The N 2 gas acts as a purge gas, whereby NH 3 gas and reaction by-products remaining in the processing chamber 201 and contribute to reforming the SiOC film are removed from the processing chamber 20.
It is possible to enhance the effect of exclusion from the inside (purge).

CおよびNのうち少なくともいずれかを含む改質ガスとしては、Nを含む改質ガスであ
るN含有ガスとして、NHガスの他、ジアゼン(N)ガス、ヒドラジン(N
)ガス、およびNガス等のNとHとの2元素で構成される非アミン系ガス等を用
いてもよい。不活性ガスとしては、Nガスの他、上記に挙げた各種の希ガスを用いても
よい。
As the reformed gas containing at least one of C and N, as N-containing gas which is a reformed gas containing N, in addition to NH 3 gas, diazene (N 2 H 2 ) gas, hydrazine (N 2 H
4 ) A non-amine-based gas composed of two elements of N and H, such as a gas and N 3 H 8 gas, may be used. As the inert gas, various rare gases listed above may be used in addition to N 2 gas.

(大気圧復帰)
処理室201内が不活性ガスでパージされた後もバルブ243g〜243jを開いたま
まとして、ガス供給管232g〜232jのそれぞれから不活性ガスとしてのNガスを
処理室201内に供給し続けることで、処理室201内の雰囲気が不活性ガスに置換され
(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(Return to atmospheric pressure)
Even after the inside of the processing chamber 201 is purged with an inert gas, the valves 243g to 243j are kept open, and N 2 gas as an inert gas is continuously supplied into the processing chamber 201 from each of the gas supply pipes 232g to 232j. Thus, the atmosphere in the processing chamber 201 is replaced with an inert gas (inert gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (return to atmospheric pressure).

また、ウエハ200の温度が例えば200℃未満、好ましくは室温程度の温度となるよ
うウエハ200を降温させる(降温工程)。すなわち、ヒータ207への通電具合を調整
し、或いは、ヒータ207への通電を停止して、ウエハ200の温度を低下させる。係る
ウエハ200の降温を、上記のパージ及び大気圧復帰と並行して行うことで、Nガス等
のパージガスの冷却効果によって、より短時間でウエハ200の温度を所定温度にまで低
下させることができる。但し、上述のように、ウエハ200の温度を低下させる降温工程
をNHガス供給工程の期間中に開始してもよい。この場合も、NHガスの冷却効果に
よって、より短時間でウエハ200の温度を所定温度にまで低下させることができる。
Further, the temperature of the wafer 200 is lowered so that the temperature of the wafer 200 is, for example, less than 200 ° C., preferably about room temperature (temperature lowering step). That is, the temperature of the wafer 200 is lowered by adjusting the power supply to the heater 207 or by stopping the power supply to the heater 207. By lowering the temperature of the wafer 200 in parallel with the purge and the return to atmospheric pressure, the temperature of the wafer 200 can be lowered to a predetermined temperature in a shorter time due to the cooling effect of the purge gas such as N 2 gas. it can. However, as described above, the temperature lowering process for lowering the temperature of the wafer 200 may be started during the NH 3 gas supply process. Also in this case, the temperature of the wafer 200 can be lowered to a predetermined temperature in a shorter time due to the cooling effect of the NH 3 gas.

(ボートアンロード及びウエハディスチャージ)
その後、ボートエレベータ115によりシールキャップ219が下降されて、マニホー
ルド209の下端が開口されるとともに、処理済のウエハ200がボート217に支持さ
れた状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード
)される。その後、処理済のウエハ200はボート217より取出される(ウエハディス
チャージ)。
(Boat unload and wafer discharge)
Thereafter, the seal cap 219 is lowered by the boat elevator 115, the lower end of the manifold 209 is opened, and the processed wafer 200 is unloaded from the lower end of the manifold 209 to the outside of the reaction tube 203 while being supported by the boat 217. (Boat unload). Thereafter, the processed wafer 200 is taken out from the boat 217 (wafer discharge).

(3)本実施形態に係る効果
本実施形態によれば、以下に示す1つまたは複数の効果を奏する。
(3) Effects according to the present embodiment According to the present embodiment, the following one or more effects are achieved.

(a)本実施形態の成膜シーケンスによれば、SiOC膜形成工程のステップ1aで、原
料ガスとしてBTCSMガスをウエハ200に対して供給する。このように、Si,C,
Clを含みSi−C結合を有する原料ガス、特に、1分子中にC,Clおよび少なくとも
2つのSiを含みSi−C結合を有する原料ガスを用いることで、高濃度にCが含有され
た膜、すなわち、高いC濃度を有するSiOC膜を形成することが可能となる。また、S
iOC膜中のC濃度を精度よく制御することができる。よって、例えばエッチング耐性が
高く、誘電率の低いSiOC膜を得ることができる。
(A) According to the film forming sequence of this embodiment, BTCSM gas is supplied to the wafer 200 as a source gas in step 1a of the SiOC film forming process. Thus, Si, C,
A film containing C at a high concentration by using a source gas having Cl and containing Si—C bonds, in particular, a source gas containing C, Cl and at least two Si in one molecule and having Si—C bonds. That is, an SiOC film having a high C concentration can be formed. S
The C concentration in the iOC film can be accurately controlled. Therefore, for example, a SiOC film having high etching resistance and low dielectric constant can be obtained.

低温条件下で触媒ガスを用いてSiO膜等の薄膜を形成した場合には、例えば1%濃度
のフッ酸(1%HF水溶液)によるウエットエッチングレート(以下、WERともいう)
の高い膜、つまり、エッチング耐性の低い膜が形成され易い。膜中にCを含有させれば、
膜のエッチング耐性を高めることができるが、例えば150℃以下の低温下で成膜を行う
際には、SiO膜中にCが取り込まれ難い。
When a thin film such as a SiO film is formed using a catalyst gas under a low temperature condition, for example, a wet etching rate (hereinafter also referred to as WER) using 1% concentration hydrofluoric acid (1% HF aqueous solution).
A film having a high thickness, that is, a film having a low etching resistance is easily formed. If C is contained in the film,
Although the etching resistance of the film can be enhanced, for example, when film formation is performed at a low temperature of 150 ° C. or less, C is hardly taken into the SiO film.

そこで、本実施形態では、BTCSMガスのようなSi,C,Clを含み、Si−C結
合を有する原料ガスを用いる。これにより、ウエハ200上に初期層として第1の層を形
成する段階で第1の層中にCを取り込むことができ、充分なC濃度を有するSiOC膜を
形成することができる。また、SiOC膜中のC濃度を精度よく制御することができる。
よって、例えばエッチング耐性が高く、誘電率の低いSiOC膜を得ることができる。
Therefore, in the present embodiment, a source gas containing Si, C, Cl, such as BTCSM gas, and having a Si—C bond is used. Thus, C can be taken into the first layer when the first layer is formed as an initial layer on the wafer 200, and a SiOC film having a sufficient C concentration can be formed. In addition, the C concentration in the SiOC film can be controlled with high accuracy.
Therefore, for example, a SiOC film having high etching resistance and low dielectric constant can be obtained.

(b)本実施形態の成膜シーケンスによれば、SiOC膜改質工程で、ウエハ200に対
してNHガスを供給し、SiOC膜をSiOCN膜に改質する。これにより、高エッチ
ング耐性および高アッシング耐性を有する薄膜を得ることが可能となる。
(B) According to the film forming sequence of this embodiment, in the SiOC film modifying step, NH 3 gas is supplied to the wafer 200 to modify the SiOC film into a SiOCN film. Thereby, a thin film having high etching resistance and high ashing resistance can be obtained.

膜中にCを含むSiOC膜は、アッシング耐性やドライエッチング耐性が低いことがあ
る。また、そのために、Oプラズマ等を用いたアッシングやドライエッチング等により
SiOC膜のHFに対するエッチング耐性が低下してしまう場合がある。これは、O
ラズマ等が有する強い酸化力によって、SiOC膜の酸化が更に進行し、膜中に多くのC
−O結合が形成されるためと考えられる。Oと結び付いたCは、COガスやCOガスと
なってSiOC膜中から容易に脱離してしまう。よって、アッシング等によりSiOC膜
中のC濃度が低下し、低エッチング耐性の膜となってしまうと考えられる。
An SiOC film containing C in the film may have low ashing resistance or dry etching resistance. For this reason, etching resistance to HF of the SiOC film may be lowered by ashing using O 2 plasma or the like, dry etching, or the like. This is because the oxidation of the SiOC film further proceeds due to the strong oxidizing power of O 2 plasma or the like, and a large amount of C in the film.
This is probably because a —O bond is formed. C combined with O is easily desorbed from the SiOC film as CO gas or CO 2 gas. Therefore, it is considered that the C concentration in the SiOC film is lowered by ashing or the like, and the film becomes low in etching resistance.

そこで、本実施形態では、NHガスを改質ガスとして用い、SiOC膜中にNを導入
する。このように、SiOC膜中にNのような新たな元素を導入することで、Si,O,
Cのそれぞれの結合状態が改質前のSiOC膜とは変化する。これにより、Oプラズマ
等を用いたアッシング時などに、膜中にC−O結合が形成されてしまったり、膜中からC
が脱離してしまったりすることを抑制することができる。よって、例えば改質前のSiO
C膜に比べ、薄膜のアッシング耐性、つまり、酸化耐性を向上させることができる。すな
わち、アッシング等による薄膜のHFに対するエッチング耐性の劣化を抑制することが可
能となる。結果、高エッチング耐性および高アッシング耐性を有する薄膜を得ることがで
きる。
Therefore, in the present embodiment, NH 3 gas is used as a reformed gas, and N is introduced into the SiOC film. Thus, by introducing a new element such as N into the SiOC film, Si, O,
Each bonding state of C changes from the SiOC film before modification. As a result, when ashing using O 2 plasma or the like is performed, a C—O bond is formed in the film, or C—
Can be prevented from being detached. Thus, for example, SiO before modification
Compared with the C film, the ashing resistance of the thin film, that is, the oxidation resistance can be improved. That is, it is possible to suppress deterioration of etching resistance of the thin film to HF due to ashing or the like. As a result, a thin film having high etching resistance and high ashing resistance can be obtained.

(c)また、本実施形態の成膜シーケンスによれば、高エッチング耐性および高アッシン
グ耐性を有する薄膜が得られる。これにより、係る薄膜を例えば各種半導体装置に適用し
た場合、信号遅延が起こり難く高速に動作する半導体装置を高集積化した状態で実現する
ことができる。
(C) Further, according to the film forming sequence of the present embodiment, a thin film having high etching resistance and high ashing resistance can be obtained. Accordingly, when such a thin film is applied to, for example, various semiconductor devices, it is possible to realize a highly integrated semiconductor device that hardly causes a signal delay and operates at high speed.

フラッシュメモリ、DRAM(Dynamic Random Access Mem
ory)、SRAM(Static Random Access Memory)等の
メモリデバイスや、ロジックデバイス等の半導体装置には、近年、高集積化が求められて
いる。これを実現するには、パターン幅とパターン間隔との和であるピッチを小さくする
等によりパターンを微細化し、個々の半導体装置のサイズを小さく形成する方法がある。
そこで、例えばトランジスタの微細化に対応するため、ゲート電極の周辺構造であるサイ
ドウオールスペーサ(SWS)等に低誘電率の薄膜を用いることが検討されている。また
、配線層を多層化することによっても半導体装置の高集積化を図ることができる。この場
合に、微細パターンにおけるパターン間や、多層配線層等の3次元構造における素子間や
配線層間を分離する層間絶縁膜として、低誘電率の薄膜を用いることが多くなってきてい
る。このように、SWSや層間絶縁膜を低誘電率の薄膜、すなわち、low−k膜特性を
備える薄膜とすることで、静電誘導等による信号伝搬の遅延(信号遅延)を抑えることが
可能となる。
Flash memory, DRAM (Dynamic Random Access Mem
ory) and SRAM (Static Random Access Memory), and semiconductor devices such as logic devices have recently been required to be highly integrated. In order to realize this, there is a method of reducing the size of each semiconductor device by miniaturizing the pattern by reducing the pitch which is the sum of the pattern width and the pattern interval.
Thus, for example, in order to cope with the miniaturization of transistors, it has been studied to use a thin film having a low dielectric constant for a sidewall spacer (SWS) which is a peripheral structure of the gate electrode. Further, the semiconductor device can be highly integrated by increasing the number of wiring layers. In this case, a thin film having a low dielectric constant is increasingly used as an interlayer insulating film for separating patterns in a fine pattern, elements in a three-dimensional structure such as a multilayer wiring layer, and wiring layers. As described above, the SWS or the interlayer insulating film is a low dielectric constant thin film, that is, a thin film having a low-k film characteristic, so that it is possible to suppress a signal propagation delay (signal delay) due to electrostatic induction or the like. Become.

本実施形態では、SiOC膜を成膜した後、更に、SiOC膜の改質工程を行って、S
iOC膜をSiOCN膜へと改質している。よって、高エッチング耐性および高アッシン
グ耐性を有する薄膜が得られ、高速動作で、かつ、高集積化した半導体装置を得ることが
できるようになる。
In the present embodiment, after the SiOC film is formed, the SiOC film is further modified to perform S
The iOC film is modified to a SiOCN film. Therefore, a thin film having high etching resistance and high ashing resistance can be obtained, and a highly integrated semiconductor device can be obtained that operates at high speed.

(d)本実施形態の基板処理装置は、原料ガス、触媒ガス、酸化ガス、改質ガス等の各ガ
スについて複数のガス供給ラインを備えていてもよく、分子構造がそれぞれ異なる複数種
類のガスの中から特定のガスを選択して供給可能に構成されていてもよい。このような装
置構成とすることにより、所望の膜組成等に応じて、複数種類のガスの中から特定の原料
ガスや触媒ガスや酸化ガスや改質ガスを選択して供給することが容易となる。よって、1
台の基板処理装置で様々な組成比、膜質の薄膜を汎用的に、かつ、再現性よく形成できる
ようになる。また、ガス種の追加や入替等に際しての装置運用の自由度を確保することが
できる。
(D) The substrate processing apparatus of the present embodiment may include a plurality of gas supply lines for each gas such as a source gas, a catalyst gas, an oxidizing gas, and a reformed gas, and a plurality of types of gases having different molecular structures. A specific gas may be selected from the gas and supplied. By adopting such an apparatus configuration, it is easy to select and supply a specific source gas, catalyst gas, oxidizing gas, or reformed gas from a plurality of types of gases according to a desired film composition or the like. Become. Therefore, 1
Thin films having various composition ratios and film quality can be formed for general purpose and with good reproducibility by using a single substrate processing apparatus. In addition, it is possible to ensure the degree of freedom of apparatus operation when adding or replacing gas types.

(e)本実施形態の基板処理装置では、薄膜の成膜に用いられるプロセスレシピ(処理手
順や処理条件が記載されたプログラム)を、各ガスの種類ごと、つまり、異なるガス系ご
とに予め複数用意しておくことができる。また、本実施形態の基板処理装置では、触媒ガ
ス等の各ガスの供給量や流量等を異ならせる等、異なる処理条件ごとに複数のプロセスレ
シピを用意しておくことができる。これらにより、所望の膜組成、膜質、膜厚等に応じて
、複数種類のガスの中から特定の原料ガスや触媒ガスや酸化ガスや改質ガスを選択し、ま
た、それらの流量等を選択して供給することが容易となる。オペレータは、複数のプロセ
スレシピの中から所望の膜組成等に応じて、適正なプロセスレシピを適宜選択し、成膜処
理を実行すればよい。よって、1台の基板処理装置で様々な組成比、膜質、膜厚の薄膜を
汎用的に、かつ、再現性よく形成できるようになる。また、オペレータの操作負担(処理
手順や処理条件の入力負担等)を低減でき、操作ミスを回避しつつ、基板処理を迅速に開
始できるようになる。
(E) In the substrate processing apparatus of the present embodiment, a plurality of process recipes (programs describing processing procedures and processing conditions) used for forming a thin film are previously stored for each gas type, that is, for each different gas system. Can be prepared. Further, in the substrate processing apparatus of the present embodiment, a plurality of process recipes can be prepared for different processing conditions such as different supply amounts and flow rates of the respective gases such as the catalyst gas. From these, depending on the desired film composition, film quality, film thickness, etc., select a specific source gas, catalyst gas, oxidizing gas, or reformed gas from multiple types of gases, and select their flow rates, etc. It becomes easy to supply. The operator may select an appropriate process recipe from a plurality of process recipes according to a desired film composition and the like, and execute a film forming process. Therefore, thin films with various composition ratios, film qualities, and film thicknesses can be formed for general use with good reproducibility using a single substrate processing apparatus. Further, it is possible to reduce an operator's operation burden (such as an input burden of a processing procedure and a processing condition), and to quickly start substrate processing while avoiding an operation error.

(4)本実施形態の変形例
次に、本実施形態の変形例について、図5(b)および図6を用いて説明する。
(4) Modification of this Embodiment Next, the modification of this embodiment is demonstrated using FIG.5 (b) and FIG.

(変形例)
上述のSiOC膜改質工程では、供給する改質ガスの種類を選択することにより、例え
ばN以外の元素をSiOC膜中へ添加する元素として選択することができる。
(Modification)
In the above-described SiOC film reforming step, by selecting the type of reformed gas to be supplied, for example, an element other than N can be selected as an element to be added to the SiOC film.

すなわち、SiOC膜改質工程では、改質ガスとして、それぞれ異なる元素を含む複数
種類の改質ガス、つまり、CおよびNのうち少なくともいずれかを含む複数種類の改質ガ
スの中から、Cを含む改質ガスである炭素含有ガス(C含有ガス)、Nを含む改質ガスで
あるN含有ガス、またはCおよびNを含む改質ガスのうち特定の改質ガスを選択して供給
することにより、SiOC膜中に含有させる元素を選択することができる。
That is, in the SiOC film reforming step, C is selected from among a plurality of types of reformed gases containing different elements, that is, a plurality of types of reformed gases containing at least one of C and N as reformed gases. A specific reformed gas is selectively supplied from a carbon-containing gas (C-containing gas) that is a reformed gas, an N-containing gas that is a reformed gas containing N, or a reformed gas containing C and N Thus, an element to be contained in the SiOC film can be selected.

複数種類の改質ガスの中から特定の改質ガスを選択して供給するには、それぞれ異なる
元素を含む複数種類の改質ガスや、分子構造がそれぞれ異なる複数種類の改質ガスをそれ
ぞれ供給する複数の供給ラインの中から特定の供給ラインを選択することで、特定の改質
ガスを供給することができる。上述のように、図4、図5(a)に示した成膜シーケンス
の例では、NHガス供給ライン、Cガス供給ラインの中からNHガス供給ライ
ンを選択することで、特定の改質ガスとしてNHガスを供給している。また、図5(b
)に示すように、本実施形態の変形例の成膜シーケンス例では、NHガス供給ライン、
ガス供給ラインの中からCガス供給ラインを選択することで、特定の改質
ガスとしてCガスを供給する。このように、改質ガスとしてNHガスの代わりに
ガスを用いることで、上述の実施形態で得られるSiOCN膜とは異なり、Cを
更に含むSiOC膜、つまり、改質前のSiOC膜よりもいっそうC濃度の高まったSi
OC膜が得られる。ここで、Cを更に含むSiOC膜を、Cが更にドープ(添加)された
SiOC膜ということもでき、Cが更に含有されたSiOC膜等ということもできる。
To select and supply a specific reformed gas from multiple types of reformed gases, supply multiple types of reformed gases containing different elements or multiple types of reformed gases with different molecular structures. A specific reformed gas can be supplied by selecting a specific supply line from a plurality of supply lines. As described above, in the example of the film forming sequence shown in FIGS. 4 and 5A, by selecting the NH 3 gas supply line from among the NH 3 gas supply line and the C 3 H 6 gas supply line, NH 3 gas is supplied as a specific reformed gas. In addition, FIG.
), In the example of the film forming sequence of the modified example of the present embodiment, the NH 3 gas supply line,
C 3 H 6 by selecting the C 3 H 6 gas supply line from the gas supply line, the C 3 H 6 gas supplying as certain of the reformed gas. In this way, by using C 3 H 6 gas instead of NH 3 gas as the reformed gas, unlike the SiOCN film obtained in the above-described embodiment, the SiOC film further containing C, that is, before the reforming, Si with higher C concentration than SiOC film
An OC film is obtained. Here, the SiOC film further containing C can also be referred to as an SiOC film further doped (added) with C, or an SiOC film further containing C.

本変形例においては、上述のNHガス供給工程の代わりに、ウエハ200に対してC
ガスを供給する工程を含むSiOC膜改質工程を行う。ウエハ200に対するC
ガスの供給手順について以下に説明する。
In this modification, instead of the above NH 3 gas supply process, C is applied to the wafer 200.
A SiOC film modification step including a step of supplying 3 H 6 gas is performed. C 3 for wafer 200
The procedure for supplying H 6 gas will be described below.

(Cガス供給)
上述のステップ1a,2aと同様のステップを所定回数行ってウエハ200上にSiO
C膜を形成し、圧力調整および温度調整を行った後に、バルブ243fを開き、ガス供給
管232f内にCガスを流す。Cガスは、MFC241fにより流量調整さ
れ、ガス供給管232cを経てガス供給孔250cから処理室201内に供給され、排気
管231から排気される。このとき、ウエハ200に対してCガスが供給されるこ
ととなる(Cガス供給)。このとき同時にバルブ243iを開き、ガス供給管23
2i内にNガス等の不活性ガスを流す。Nガスは、MFC241iにより流量調整さ
れ、Cガスと一緒に処理室201内に供給され、排気管231から排気される。
(C 3 H 6 gas supply)
Steps similar to Steps 1a and 2a described above are performed a predetermined number of times to form SiO2 on the wafer 200.
After forming the C film and adjusting the pressure and temperature, the valve 243f is opened, and the C 3 H 6 gas is allowed to flow into the gas supply pipe 232f. The flow rate of the C 3 H 6 gas is adjusted by the MFC 241f, supplied to the processing chamber 201 from the gas supply hole 250c through the gas supply pipe 232c, and exhausted from the exhaust pipe 231. At this time, C 3 H 6 gas is supplied to the wafer 200 (C 3 H 6 gas supply). At the same time, the valve 243i is opened and the gas supply pipe 23 is opened.
An inert gas such as N 2 gas is allowed to flow through 2i. The flow rate of the N 2 gas is adjusted by the MFC 241i, supplied into the processing chamber 201 together with the C 3 H 6 gas, and exhausted from the exhaust pipe 231.

処理室201内に供給されたCガスは熱で活性化され、排気管231から排気さ
れる。このときウエハ200に対して、熱で活性化されたCガスが供給されること
となる。すなわち、処理室201内に流しているガスは熱的に活性化されたCガス
であり、処理室201内にはBTCSMガスやHOガスやピリジンガスは流していない
。したがって、Cガスは気相反応を起こすことはなく、活性化された状態でウエハ
200に対して供給され、ステップ1a,2aと同様のステップを所定回数行うことでウ
エハ200上に形成されたSiOC膜の少なくとも一部と反応する。これにより、SiO
C膜は、ノンプラズマで熱的に改質されて、Si,O,Cを含む薄膜、すなわち、Cを更
に含むSiOC膜へと変化させられる。
The C 3 H 6 gas supplied into the processing chamber 201 is activated by heat and exhausted from the exhaust pipe 231. At this time, C 3 H 6 gas activated by heat is supplied to the wafer 200. That is, the gas flowing in the processing chamber 201 is a thermally activated C 3 H 6 gas, and no BTCSM gas, H 2 O gas, or pyridine gas is flowing in the processing chamber 201. Therefore, the C 3 H 6 gas does not cause a gas phase reaction, is supplied to the wafer 200 in an activated state, and is formed on the wafer 200 by performing the same steps as Steps 1a and 2a a predetermined number of times. It reacts with at least a part of the formed SiOC film. As a result, SiO
The C film is thermally modified by non-plasma and changed into a thin film containing Si, O, and C, that is, a SiOC film further containing C.

このとき、ウエハ200の温度を比較的高い温度とすることで、CガスとSiO
C膜との反応が促進され、CガスのC成分をSiOC膜中にまで入り込ませること
ができる。また、ウエハ200の温度を、SiOC膜を形成する工程におけるウエハ20
0の温度よりも高い温度とすることで、SiOC膜が水分を多く含む場合には、膜中から
水分が脱離し易くなる。SiOC膜の水分の抜けた部分には微小な孔(ポア)が生じ、S
iOC膜はポーラス状の膜となる。このような水分の抜けた孔にCが入り込むことで、C
成分がよりいっそうSiOC膜中に取り込まれ易くなり、SiOC膜の改質が膜の略全体
に及ぶこととなる。このとき、SiOC膜中に取り込まれたCの少なくとも一部が、膜中
の成分、例えばSi等とSi−C結合等を形成していてもよい。
At this time, by setting the temperature of the wafer 200 to a relatively high temperature, the C 3 H 6 gas and the SiO 2
The reaction with the C film is promoted, and the C component of the C 3 H 6 gas can penetrate into the SiOC film. Further, the temperature of the wafer 200 is changed to the wafer 20 in the step of forming the SiOC film.
By setting the temperature higher than 0, when the SiOC film contains a lot of moisture, the moisture is easily desorbed from the film. A minute hole (pore) is formed in the portion where the moisture is removed from the SiOC film.
The iOC film is a porous film. When C enters such a hole from which moisture has been removed,
The components are more easily incorporated into the SiOC film, and the modification of the SiOC film reaches almost the entire film. At this time, at least a part of C taken into the SiOC film may form a Si—C bond or the like with a component in the film, such as Si.

このように、成膜後のSiOC膜を、Cを更に含むSiOC膜へと改質することで、ア
ッシング耐性の高い薄膜を得ることができる。これは、改質後のSiOC膜が、改質前の
SiOC膜よりも高濃度のCを含有することで、例えばアッシングにより所定量のCが膜
中から脱離したとしても、依然、膜中のC濃度を高いままに維持することができるからで
ある。Nの代わりにCを更に含むSiOC膜とすることで、ホットリン酸に対するウエッ
トエッチングレートも向上する。Nの代わりにCを更に含むSiOC膜とすることで、誘
電率も改質前のSiOC膜より低減させることができる。
Thus, by modifying the SiOC film after film formation into a SiOC film further containing C, a thin film having high ashing resistance can be obtained. This is because the SiOC film after modification contains a higher concentration of C than the SiOC film before modification, so that even if a predetermined amount of C is desorbed from the film by ashing, for example, This is because the C concentration can be kept high. By using a SiOC film further containing C instead of N, the wet etching rate for hot phosphoric acid is also improved. By using a SiOC film further containing C instead of N, the dielectric constant can also be reduced as compared with the SiOC film before modification.

成膜後のSiOC膜がCを更に含むSiOC膜に改質された後、バルブ243fを閉じ
、Cガスの供給を停止する。
After the deposited SiOC film is modified to a SiOC film further containing C, the valve 243f is closed and the supply of the C 3 H 6 gas is stopped.

なお、このときの処理室201内の圧力、改質ガス、Nガス等の各ガスの供給流量、
供給時間、ウエハ200の温度等の処理条件については、例えば上述の図4、図5(a)
のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができる。C
ガスを供給する際は、上述の実施形態と同様、不使用となっているノズル249a,24
9b,249d内やバッファ室237内へのCガスの侵入を防止するNガス供給
を行う。
Note that the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as a reformed gas and N 2 gas,
Regarding the processing conditions such as the supply time and the temperature of the wafer 200, for example, FIG. 4 and FIG.
The processing conditions can be set within the same range as the processing conditions in this sequence. C 3 H 6
When the gas is supplied, the nozzles 249a and 24 that are not used are the same as in the above-described embodiment.
N 2 gas is supplied to prevent C 3 H 6 gas from entering 9b and 249d and the buffer chamber 237.

CおよびNのうち少なくともいずれかを含む改質ガスとしては、Cを含む改質ガスであ
るC含有ガスとして、Cガスの他、エチレン(C)ガス、メタン(CH
ガス等の炭化水素系ガスや、モノメチルシラン(CHSiH)ガス等を用いてもよい
。不活性ガスとしては、Nガスの他、上記に挙げた各種の希ガスを用いてもよい。
As a reformed gas containing at least one of C and N, as a C-containing gas that is a reformed gas containing C, in addition to C 3 H 6 gas, ethylene (C 2 H 4 ) gas, methane (CH 4 )
A hydrocarbon gas such as a gas, monomethylsilane (CH 3 SiH 3 ) gas, or the like may be used. As the inert gas, various rare gases listed above may be used in addition to N 2 gas.

改質ガスとしては、CおよびNを含む改質ガスを用いることもできる。この場合には、
CおよびNを更に含むSiOCN膜を形成することができる。CおよびNを含む改質ガス
は、例えばCガス等のC含有ガスと、NHガス等のN含有ガスとを含むガスであ
ってもよい。CおよびNを含む改質ガスは、1分子中にCおよびNを含有するガス(Cお
よびN含有ガス)、例えばアミン系ガスを含んでいてもよい。アミン系ガスとしては、ト
リエチルアミン((CN、略称:TEA)ガス、ジエチルアミン((C
NH、略称:DEA)ガス、モノエチルアミン((C)NH、略称:MEA
)ガス、トリメチルアミン((CHN、略称:TMA)ガス、およびモノメチルア
ミン((CH)NH、略称:MMA)ガス等が挙げられる。
As the reformed gas, a reformed gas containing C and N can also be used. In this case,
A SiOCN film further containing C and N can be formed. The reformed gas containing C and N may be a gas containing a C-containing gas such as C 3 H 6 gas and an N-containing gas such as NH 3 gas. The reformed gas containing C and N may contain a gas containing C and N in one molecule (C and N-containing gas), for example, an amine-based gas. As the amine-based gas, triethylamine ((C 2 H 5 ) 3 N, abbreviation: TEA) gas, diethylamine ((C 2 H 5
) 2 NH, abbreviation: DEA) gas, monoethylamine ((C 2 H 5 ) NH 2 , abbreviation: MEA
) Gas, trimethylamine ((CH 3 ) 3 N, abbreviation: TMA) gas, and monomethylamine ((CH 3 ) NH 2 , abbreviation: MMA) gas.

このように、CおよびNを含む改質ガスを用い、CおよびNを更に含むSiOCN膜を
形成することにより、改質前のSiOC膜よりもC濃度を高めることができ、新たに導入
されたNにより膜中の各元素の結合状態等も変化して、よりいっそうアッシング耐性の高
い膜が得られる。
In this way, by using the reformed gas containing C and N and forming the SiOCN film further containing C and N, the C concentration can be increased as compared with the SiOC film before the reforming and newly introduced. The bonding state of each element in the film is changed by N, so that a film with higher ashing resistance can be obtained.

以上のように、ウエハ200に対して供給するガスの種類を変更することにより、膜中
の組成等を異ならせる手法は、例えばSiOC膜形成工程に対しても適用できる。
As described above, the method of changing the composition in the film by changing the type of gas supplied to the wafer 200 can be applied to, for example, the SiOC film forming process.

すなわち、上述の原料ガスと触媒ガスとを供給する工程では、供給する原料ガスの種類
を、例えばBTCSMガス、BTCSEガス、TCDMDSガス、DCTMDSガス、お
よびMCPMDSガス等の中から適宜選択することにより、SiOC層中の、ひいては、
SiOC層が積層されてなるSiOC膜中のC濃度を制御することができる。すなわち、
原料ガスと触媒ガスとを供給する工程では、原料ガスとして、分子構造がそれぞれ異なる
複数種類の原料ガスの中から特定の原料ガスを選択して供給することにより、SiOC層
やSiOC膜中のC濃度を制御することができる。
That is, in the step of supplying the source gas and the catalyst gas, the type of source gas to be supplied is appropriately selected from, for example, BTCSM gas, BTCSE gas, TCMDDS gas, DCTMDS gas, and MCPMDS gas, In the SiOC layer,
The C concentration in the SiOC film formed by laminating the SiOC layer can be controlled. That is,
In the step of supplying the source gas and the catalyst gas, by selecting and supplying a specific source gas from a plurality of types of source gases having different molecular structures as the source gas, the C in the SiOC layer or the SiOC film is supplied. The concentration can be controlled.

選択される原料ガスの種類により、SiOC膜中のC濃度の制御が可能となる1要因と
しては、例えば各原料ガスの分子構造中におけるCの配置の違いが考えられる。1分子中
にSi−C−Si結合やSi−C−C−Si結合を有するアルキレンハロシラン系原料ガ
スであるBTCSMガスやBTCSEガス等は、CがSiに挟み込まれた分子構造をとる
。このため、余ったSiの結合手に多くのClが結合した状態が維持されている。例えば
BTCSMガスやBTCSEガスはいずれも、Siの4つの結合手のうち3つの結合手に
Clが結合している。分子中に多数含まれるClは、BTCSMガスやBTCSEガス等
の反応性を向上させると考えられる。これにより、BTCSMガスやBTCSEガス等を
使用することで、例えばSiOC膜の成膜レートが向上する。成膜レートが向上すること
で、BTCSMガスやBTCSEガス等を用いた成膜処理の使用可能な条件範囲(プロセ
スウインドウ)も拡張される。このように、広範なプロセスウインドウ内から、例えば所
望のC濃度が得られる成膜条件を選択することができるので、結果的に、SiOC膜中の
C濃度を高めることが容易となる。SiOC膜中のC濃度の制御性も向上させることがで
きる。BTCSMガス中に含まれるCの数は、例えばTCDMDSガス等と比較して少な
いが、この点は、SiOC膜中のC濃度の向上に不利には働かないと考えられる。本発明
者等によれば、例えばBTCSMガスを用いた方が、TCDMDSガスを用いた場合より
もC濃度の向上が比較的図られ易いことを確認している。
As one factor that makes it possible to control the C concentration in the SiOC film depending on the type of the source gas selected, for example, a difference in the arrangement of C in the molecular structure of each source gas can be considered. BTCSM gas, BTCSE gas, and the like, which are alkylenehalosilane-based source gases having a Si—C—Si bond or Si—C—C—Si bond in one molecule, have a molecular structure in which C is sandwiched between Si. Therefore, a state in which a large amount of Cl is bonded to the surplus Si bonds is maintained. For example, in both BTCSM gas and BTCSE gas, Cl is bonded to three of the four bonds of Si. A large amount of Cl contained in the molecule is considered to improve the reactivity of BTCSM gas, BTCSE gas, and the like. Thereby, for example, the deposition rate of the SiOC film is improved by using BTCSM gas, BTCSE gas, or the like. By improving the film forming rate, the usable condition range (process window) of the film forming process using BTCSM gas, BTCSE gas, or the like is expanded. As described above, for example, a film forming condition for obtaining a desired C concentration can be selected from a wide range of process windows. As a result, it is easy to increase the C concentration in the SiOC film. Controllability of the C concentration in the SiOC film can also be improved. Although the number of C contained in the BTCSM gas is smaller than that of the TCMDDS gas, for example, it is considered that this point does not adversely affect the improvement of the C concentration in the SiOC film. According to the present inventors, for example, it has been confirmed that the use of BTCSM gas is relatively easy to improve the C concentration compared to the case of using TCMDDS gas.

メチル基等のアルキル基がSiに結合したアルキルハロシラン系原料ガスであるTCD
MDSガスやDCTMDSガスやMCPMDSガス等は、クロロシラン系原料ガスの一部
のクロロ基がメチル基に置き換わった分子構造をとる。このようにガス分子中のClの数
が減る分、これらTCDMDSガスやDCTMDSガスやMCPMDSガス等においては
、反応が比較的ゆっくりと進行し、より緻密なSiOC膜が得られ易い。このため、例え
ばC濃度を適正に抑えたSiOC膜であっても、高いエッチング耐性を維持し易い。TC
DMDSガスとDCTMDSガスとの比較では、分子中にメチル基、つまりCを多数含む
DCTMDSガスの方が、膜中へのCの取り込み量に有利に働くことを確認している。
TCD, which is an alkylhalosilane-based source gas in which an alkyl group such as a methyl group is bonded to Si
MDS gas, DCTMDS gas, MCPMDS gas, and the like have a molecular structure in which some chloro groups of chlorosilane-based source gas are replaced with methyl groups. As the number of Cl in the gas molecules decreases, the reaction proceeds relatively slowly in these TCMDDS gas, DCTMDS gas, MCPMDS gas, etc., and a denser SiOC film is easily obtained. For this reason, it is easy to maintain high etching resistance, for example even if it is a SiOC film | membrane which suppressed C density | concentration appropriately. TC
In comparison between DMDS gas and DCTMDS gas, it has been confirmed that DCTMDS gas containing a large number of methyl groups, that is, C, in the molecule favors the amount of C incorporated into the film.

これと同様に、酸化ガスと触媒ガスとを供給する工程においても、所望の膜組成等に応
じて、供給する触媒ガスの種類を適宜選択することができる。例えば、それぞれ異なる分
子構造を有する触媒ガスは、例えば触媒作用の強さも異なると考えられる。係る触媒作用
の強さの違いが、触媒ガスの種類の選択によりSiOC膜の膜組成等の制御が可能となる
1要因として考えられる。例えば触媒作用の指標となるpKa値の大きい触媒ガスを選択
することで、酸化ガスの酸化力が向上してSi−C結合が切断され、C濃度が低下する傾
向が強くなることがある。また、例えばpKaの小さい触媒ガスを選択することで、酸化
ガスの酸化力が低下してSi−C結合が維持され、C濃度が高まる傾向が強くなることが
ある。SiOC膜の膜組成等の制御が可能となる他の要因としては、各種触媒ガスや生成
される塩等の触媒反応に関わる各種物質の蒸気圧の違い、または、これらpKa値や蒸気
圧の違い等が合わさった複合要因等が考えられる。このように、分子構造がそれぞれ異な
る複数種類の触媒ガスの中から特定の触媒ガスを選択して供給することにより、例えばS
iOC層やSiOC膜中のC濃度を制御することができる。
Similarly, in the step of supplying the oxidizing gas and the catalyst gas, the type of the catalyst gas to be supplied can be appropriately selected according to the desired film composition and the like. For example, it is considered that catalyst gases having different molecular structures have different catalytic effects, for example. Such a difference in the strength of the catalytic action is considered as one factor that makes it possible to control the film composition and the like of the SiOC film by selecting the type of the catalyst gas. For example, by selecting a catalyst gas having a large pKa value that serves as an indicator of catalytic action, the oxidizing power of the oxidizing gas is improved, the Si—C bond is broken, and the C concentration tends to decrease. In addition, for example, by selecting a catalyst gas having a small pKa, the oxidizing power of the oxidizing gas may decrease, the Si—C bond may be maintained, and the C concentration may increase. Other factors that make it possible to control the composition of the SiOC film include differences in the vapor pressure of various substances involved in catalytic reactions such as various catalyst gases and generated salts, or differences in these pKa values and vapor pressures. A combination of factors such as these can be considered. Thus, by selecting and supplying a specific catalyst gas from a plurality of types of catalyst gases having different molecular structures, for example, S
The C concentration in the iOC layer or the SiOC film can be controlled.

酸化ガスと触媒ガスとを供給する工程において供給する触媒ガスの種類は、原料ガスと
触媒ガスとを供給する工程において供給する触媒ガスの種類と同一としてもよいし、異な
らせてもよい。
The type of catalyst gas supplied in the step of supplying the oxidizing gas and the catalyst gas may be the same as or different from the type of catalyst gas supplied in the step of supplying the raw material gas and the catalyst gas.

上記原料ガスや触媒ガスの種類を選択する場合において、SiOC膜中のC濃度を制御
することで、Si濃度およびO濃度をも相対的に変化させてもよい。つまり、SiOC膜
の組成を全体的に変化させてもよく、また、SiOC膜の組成を全体的に制御することを
目的として上記原料ガスや触媒ガスの種類を選択してもよい。
When selecting the type of the source gas or the catalyst gas, the Si concentration and the O concentration may be relatively changed by controlling the C concentration in the SiOC film. That is, the composition of the SiOC film may be changed as a whole, and the type of the source gas or catalyst gas may be selected for the purpose of overall control of the composition of the SiOC film.

上述の1a,2aのセットを複数回行う場合、その途中で、原料ガスや触媒ガスの種類
を変更してもよい。1a,2aのセットを複数回行う場合、その途中で、触媒ガスの供給
量を変更してもよい。これにより、SiOC膜中のC濃度を膜厚方向で変化させることが
できる。
In the case where the above-described setting of 1a and 2a is performed a plurality of times, the type of the raw material gas and the catalyst gas may be changed in the middle. When the setting of 1a and 2a is performed a plurality of times, the supply amount of the catalyst gas may be changed during the setting. Thereby, the C concentration in the SiOC film can be changed in the film thickness direction.

(他の変形例)
上述の実施形態では、SiOC膜形成工程とSiOC膜改質工程とを、処理に係るウエ
ハ200を同一の処理室201内に収容した状態で行う例について説明した。本変形例に
おいては、SiOC膜形成工程とSiOC膜改質工程とを、処理に係るウエハ200をそ
れぞれ異なる処理室内に収容して行う。
(Other variations)
In the above-described embodiment, the example in which the SiOC film forming process and the SiOC film modifying process are performed in a state where the wafer 200 for processing is accommodated in the same processing chamber 201 has been described. In this modification, the SiOC film forming step and the SiOC film modifying step are performed by accommodating the wafers 200 for processing in different processing chambers.

すなわち、図6に示すように、例えばSiOC膜形成工程を、上述の実施形態と同様、
図1、図2に示す基板処理装置(以下、第1基板処理部ともいう)が備える処理室201
(以下、第1処理室ともいう)内で行う。第1基板処理部を構成する各部の動作はコント
ローラ121(以下、第1制御部ともいう)により制御される。そして、上述のステップ
1a,2aと同様のステップ1b,2bを含むセットを所定回数実施した後、処理室20
1内のパージおよび大気圧復帰、ボートアンロード、ウエハディスチャージを順次実行す
る。
That is, as shown in FIG. 6, for example, the SiOC film forming process is performed similarly to the above-described embodiment.
A processing chamber 201 provided in the substrate processing apparatus (hereinafter also referred to as a first substrate processing unit) shown in FIGS.
(Hereinafter also referred to as the first treatment chamber). The operation of each part constituting the first substrate processing unit is controlled by a controller 121 (hereinafter also referred to as a first control unit). And after performing the set including step 1b, 2b similar to the above-mentioned step 1a, 2a a predetermined number of times, processing chamber 20
1 purge, return to atmospheric pressure, boat unload, and wafer discharge are sequentially executed.

続いて、ボート217より取り出されたウエハ200上に形成されたSiOC膜を改質
する工程を、処理室201とは異なる処理室内で行う。係る処理室としては、例えば上述
の実施形態と同様の基板処理装置であって、SiOC膜形成工程を行った装置とは別の基
板処理装置(以下、第2基板処理部ともいう)が備える処理室(以下、第2処理室ともい
う)を用いることができる。第2基板処理部を構成する各部の動作は第2制御部により制
御される。第2基板処理部においては、第1基板処理部において上述の実施形態と同様に
、ウエハチャージ、ボートロードを順次実行する。また、上述の実施形態のSiOC膜改
質工程を行うときと同様に、圧力調整、温度調整、NHガス供給、残留ガス除去を行う
。その後は、上述の実施形態と同様に、パージ、大気圧復帰、ボートアンロードおよびウ
エハディスチャージを順次実行する。
Subsequently, a process of modifying the SiOC film formed on the wafer 200 taken out from the boat 217 is performed in a processing chamber different from the processing chamber 201. As such a processing chamber, for example, a substrate processing apparatus similar to that of the above-described embodiment, which is provided in a substrate processing apparatus (hereinafter also referred to as a second substrate processing unit) different from the apparatus that performed the SiOC film forming process. A chamber (hereinafter also referred to as a second treatment chamber) can be used. The operation of each part constituting the second substrate processing unit is controlled by the second control unit. In the second substrate processing unit, wafer charging and boat loading are sequentially executed in the first substrate processing unit as in the above-described embodiment. Further, the pressure adjustment, temperature adjustment, NH 3 gas supply, and residual gas removal are performed as in the case of performing the SiOC film modification process of the above-described embodiment. Thereafter, similarly to the above-described embodiment, purge, atmospheric pressure return, boat unloading, and wafer discharge are sequentially executed.

上記の場合において、主に、SiOC膜を形成する第1基板処理部とSiOC膜を改質
する第2基板処理部とにより基板処理システムが構成されることとなる。
In the above case, the substrate processing system is mainly configured by the first substrate processing unit that forms the SiOC film and the second substrate processing unit that modifies the SiOC film.

以上のように、SiOC膜形成工程とSiOC膜改質工程とは、同一の処理室201内
にて(In−Situで)行うこともでき、それぞれ異なる処理室(第1処理室および第
2処理室)内にて(Ex−Situで)行うこともできる。In−Situで両工程を行
えば、途中、ウエハ200が大気曝露されることなく、ウエハ200を真空下に置いたま
ま、一貫して処理を行うことができる。よって、よりいっそう安定した成膜処理を行うこ
とができる。Ex−Situで両工程を行えば、それぞれの処理室内の温度を例えば各工
程での処理温度又はそれに近い温度に予め設定しておくことができ、温度調整に要する時
間を短縮することができる。よって、よりいっそう生産効率を高めることができる。
As described above, the SiOC film formation step and the SiOC film modification step can be performed in the same processing chamber 201 (in-situ), and each has a different processing chamber (first processing chamber and second processing chamber). It can also be performed in the room) (ex-situ). If both processes are performed in In-situ, the wafer 200 can be consistently processed while being left under vacuum without being exposed to the air on the way. Therefore, a more stable film forming process can be performed. If both steps are performed in Ex-Situ, the temperature in each processing chamber can be set in advance to, for example, the processing temperature in each step or a temperature close thereto, and the time required for temperature adjustment can be shortened. Therefore, production efficiency can be further increased.

SiOC膜を改質処理する処理室は、上述の実施形態の基板処理装置とは異なる装置、
例えば熱処理に用いる熱処理炉や拡散を行う拡散炉等が備える処理室であってもよい。基
板処理システムは、第1基板処理部と第2基板処理部とが、上記のようにそれぞれ独立し
た装置(スタンドアローン型装置)群として構成されていてもよく、第1基板処理部と第
2基板処理部とが、同一のプラットフォームに搭載された1つの装置(クラスタ型装置)
として構成されていてもよい。これらのような基板処理システムにおいても、例えば図4
、図5(a)のシーケンスにおける処理条件と同様の範囲内の処理条件にて薄膜を形成す
ることができる。
The processing chamber for modifying the SiOC film is an apparatus different from the substrate processing apparatus of the above-described embodiment,
For example, a processing chamber provided in a heat treatment furnace used for heat treatment or a diffusion furnace for performing diffusion may be used. In the substrate processing system, the first substrate processing unit and the second substrate processing unit may be configured as a group of independent devices (stand-alone devices) as described above. One device (cluster type device) on which the substrate processing unit is mounted on the same platform
It may be configured as. Even in such a substrate processing system, for example, FIG.
The thin film can be formed under the processing conditions within the same range as the processing conditions in the sequence of FIG.

<第2実施形態>
次に、本発明の第2実施形態について説明する。
Second Embodiment
Next, a second embodiment of the present invention will be described.

(1)薄膜形成工程
上述の実施形態では、ステップ1a,2aを含むセットを所定回数行ってSiOC膜を
形成し、そのSiOC膜を改質ガスにより改質する例について説明した。本実施形態にお
いては、上述のステップ1a,2aと同様に行うステップ1c,2cにより形成したSi
OC層を、Nを更に含むSiOCN層に改質するサイクルを所定回数行って、SiOCN
膜を形成する。本実施形態においても、上述の実施形態と同様、図1、図2に示す基板処
理装置を用いる。以下の説明において、基板処理装置を構成する各部の動作はコントロー
ラ121により制御される。
(1) Thin Film Forming Process In the above-described embodiment, the example in which the SiOC film is formed by performing the set including the steps 1a and 2a a predetermined number of times and the SiOC film is modified with the modifying gas has been described. In the present embodiment, Si formed by steps 1c and 2c performed in the same manner as steps 1a and 2a described above.
A cycle of modifying the OC layer into a SiOCN layer further containing N is performed a predetermined number of times, and SiOCN
A film is formed. Also in the present embodiment, the substrate processing apparatus shown in FIGS. 1 and 2 is used as in the above-described embodiment. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121.

図7、図8(a)に示すように、本実施形態では、
ウエハ200に対して原料ガスとしてのBTCSMガスと、触媒ガスとしてのピリジン
ガスと、を供給する工程と(ステップ1c)、
ウエハ200に対して酸化ガスとしてのHOガスと、触媒ガスとしてのピリジンガス
と、を供給する工程と(ステップ2c)、
ウエハ200に対してCおよびNのうち少なくともいずれかを含む改質ガスとして、N
を含む改質ガスとしてのNHガスを供給する工程と(ステップ3c)、
をこの順に行うサイクルを所定回数行うことにより、ウエハ200上に、Si,O,C
およびNを含む薄膜としてのSiOCN膜を形成する例について説明する。
As shown in FIGS. 7 and 8A, in this embodiment,
Supplying a BTCSM gas as a raw material gas and a pyridine gas as a catalyst gas to the wafer 200 (step 1c);
A step of supplying H 2 O gas as an oxidizing gas and pyridine gas as a catalyst gas to the wafer 200 (step 2c);
As a reformed gas containing at least one of C and N with respect to the wafer 200, N
Supplying NH 3 gas as a reformed gas containing (step 3c),
Are performed in this order in a predetermined number of times, so that Si, O, C are formed on the wafer 200.
An example of forming a SiOCN film as a thin film containing N and N will be described.

このとき、ウエハ200に対してNHガスを供給する工程では、プラズマ状態に励起
されたNHガスをウエハ200に対して供給する。
In this case, the process of supplying NH 3 gas to the wafer 200 is supplied with NH 3 gas excited in a plasma state to the wafer 200.

なお、本シーケンスが上述の実施形態の成膜シーケンスと異なるのは、NHガスをプ
ラズマ状態に励起して供給するステップ3c、及びこれを含む各ステップの実施順のみで
あり、その他の個々のステップ1c,2cは上述の実施形態と同様である。以下、本実施
形態のステップ3c、及びこれを含む各ステップの実施順について説明する。
Note that this sequence is different from the film forming sequence of the above-described embodiment only in the step 3c in which NH 3 gas is excited and supplied to a plasma state and the order of execution of each step including the step 3c. Steps 1c and 2c are the same as in the above-described embodiment. Hereinafter, step 3c of this embodiment and the execution order of each step including this will be described.

[ステップ3c]
(NHガス供給)
ステップ2cが終了し処理室201内の残留ガスを除去した後、バルブ243dを開き
、ガス供給管232d内にNHガスを流す。NHガスは、MFC241dにより流量
調整され、ガス供給孔250dからバッファ室237内に供給される。このとき、棒状電
極269,270間に高周波電源273から整合器272を介して高周波(RF)電力を
印加することで、バッファ室237内に供給されたNHガスはプラズマ励起され、活性
種としてガス供給孔250eから処理室201内に供給され、排気管231から排気され
る。このときウエハ200に対して、プラズマ状態に活性化(励起)されたNHガスが
供給されることとなる(NHガス供給)。このとき同時にバルブ243jを開き、ガス
供給管232j内にNガスを流す。Nガスは、MFC241jにより流量調整され、
NHガスと一緒に処理室201内に供給され、排気管231から排気される。各ガスを
供給する際は、上述の実施形態と同様、そのとき不使用となっているノズル249a〜2
49c等へのNHガスの侵入を防止するNガス供給を適宜行う。
[Step 3c]
(NH 3 gas supply)
After step 2c is completed and residual gas in the processing chamber 201 is removed, the valve 243d is opened, and NH 3 gas is allowed to flow into the gas supply pipe 232d. The flow rate of the NH 3 gas is adjusted by the MFC 241d and is supplied into the buffer chamber 237 from the gas supply hole 250d. At this time, by applying radio frequency (RF) power from the high frequency power supply 273 via the matching unit 272 between the rod-shaped electrodes 269 and 270, the NH 3 gas supplied into the buffer chamber 237 is plasma-excited and becomes active species. The gas is supplied from the gas supply hole 250 e into the processing chamber 201 and is exhausted from the exhaust pipe 231. At this time, NH 3 gas activated (excited) in a plasma state is supplied to the wafer 200 (NH 3 gas supply). At the same time, the valve 243j is opened and N 2 gas is allowed to flow into the gas supply pipe 232j. The flow rate of N 2 gas is adjusted by the MFC 241j,
The NH 3 gas is supplied into the processing chamber 201 together with the NH 3 gas, and is exhausted from the exhaust pipe 231. When supplying each gas, the nozzles 249a to 249a that are not used at that time are the same as in the above-described embodiment.
N 2 gas supply for preventing intrusion of NH 3 gas into 49c and the like is appropriately performed.

このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば大
気圧未満の圧力、好ましくは1〜13330Pa(0.0075〜100Torr)、よ
り好ましくは133〜2666Pa(1〜20Torr)の範囲内の圧力とする。MFC
241dで制御するNHガスの供給流量は、例えば1〜2000sccm、好ましくは
10〜1000sccmの範囲内の流量とする。MFC241g〜241jで制御するN
ガスの供給流量は、それぞれ例えば100〜10000sccmの範囲内の流量とする
。NHガスをウエハ200に対して供給する時間は、例えば1〜100秒、好ましくは
5〜60秒の範囲内の時間とする。
At this time, the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, a pressure lower than atmospheric pressure, preferably 1 to 13330 Pa (0.0075 to 100 Torr), more preferably 133 to 2666 Pa (1 to The pressure is within the range of 20 Torr). MFC
The supply flow rate of NH 3 gas controlled by 241d is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm. N controlled by MFC 241g-241j
The supply flow rates of the two gases are, for example, flow rates in the range of 100 to 10,000 sccm, respectively. The time for supplying the NH 3 gas to the wafer 200 is, for example, 1-100 seconds, preferably 5-60 seconds.

ヒータ207の温度は、ウエハ200の温度が、ステップ1c,2cでのウエハ200
の温度と同様な温度帯、すなわち、例えば室温以上150℃以下、好ましくは室温以上1
00℃以下、より好ましくは50℃以上100℃以下の範囲内の温度となるように設定す
る。高周波電源273から棒状電極269,270間に印加する高周波電力は、例えば5
0〜1000Wの範囲内の電力となるように設定する。
The temperature of the heater 207 is the same as that of the wafer 200 in steps 1c and 2c.
A temperature range similar to the above temperature, that is, for example, room temperature to 150 ° C., preferably room temperature to 1
The temperature is set to be 00 ° C. or lower, more preferably 50 ° C. or higher and 100 ° C. or lower. The high frequency power applied between the rod-shaped electrodes 269 and 270 from the high frequency power supply 273 is, for example, 5
It sets so that it may become electric power within the range of 0-1000W.

このとき、処理室201内に流しているガスはプラズマ状態に励起されたNHガスで
あり、例えばNラジカル(N)等の活性種を含んでいる。また、処理室201内にはB
TCSMガスやHOガスやピリジンガスは流していない。したがって、NHガスは気
相反応を起こすことはなく、活性化された状態でウエハ200に対して供給される。主に
この活性種により、ステップ1c,2cでウエハ200上に形成された第2の層としての
SiOC層に対して改質処理が行われる。この活性種の持つエネルギーは、例えば上述の
実施形態のように、熱的に活性化されたNHガスが持つエネルギーよりも高い。このた
め、活性種のエネルギーをSiOC層に与えることで、SiOC層中に含まれるSi−O
結合、Si−C結合等の少なくとも一部が切り離される。活性種であるNは、OやCと
の結合を切り離されたSiの余った結合手と結びつく。このように、SiOC層中に取り
込まれたNのうち少なくとも一部は、Si−N結合を形成すると考えられる。また、Nの
一部がOやCの余った結合手と結びついて、N−O結合やN−C結合を形成してもよい。
このようにして、第2の層としてのSiOC層は、Nを含む第3の層、すなわち、SiO
CN層へと変化させられる(改質される)。
At this time, the gas flowing into the processing chamber 201 is NH 3 gas excited into a plasma state, and includes active species such as N radical (N * ). Further, B in the processing chamber 201
TCSM gas, H 2 O gas and pyridine gas are not flowing. Therefore, the NH 3 gas does not cause a gas phase reaction and is supplied to the wafer 200 in an activated state. The reforming process is performed on the SiOC layer as the second layer formed on the wafer 200 in Steps 1c and 2c mainly by the active species. The energy possessed by the active species is higher than the energy possessed by the thermally activated NH 3 gas, for example, as in the above-described embodiment. For this reason, by giving the energy of the active species to the SiOC layer, Si—O contained in the SiOC layer is obtained.
At least a part of the bond, Si—C bond, etc. is cut off. N * , which is an active species, is combined with an excess bond of Si that has been disconnected from O or C. Thus, it is considered that at least a part of N taken into the SiOC layer forms a Si—N bond. Further, a part of N may be combined with an extra bond of O or C to form an N—O bond or an N—C bond.
Thus, the SiOC layer as the second layer is a third layer containing N, that is, SiO
It is changed (modified) into a CN layer.

(残留ガス除去)
第3の層としてのSiOCN層がウエハ200上に形成された後、高周波電源273か
ら棒状電極269,270間への高周波電力の印加を停止する。また、バルブ243dを
閉じ、NHガスの供給を停止する。このとき、上述の実施形態と同様の手順にて、処理
室201内から残留ガスの除去を行う。
(Residual gas removal)
After the SiOCN layer as the third layer is formed on the wafer 200, the application of the high frequency power from the high frequency power supply 273 to the rod-shaped electrodes 269 and 270 is stopped. Further, the valve 243d is closed, and the supply of NH 3 gas is stopped. At this time, the residual gas is removed from the processing chamber 201 in the same procedure as in the above-described embodiment.

CおよびNのうち少なくともいずれかを含む改質ガスとしては、Nを含む改質ガスとし
てNHガスの他、上記に挙げたN含有ガスを用いてもよい。不活性ガスとしては、N
ガスの他、上記に挙げた各種の希ガスを用いてもよい。
As the reformed gas containing at least one of C and N, the N-containing gas mentioned above may be used in addition to the NH 3 gas as the reformed gas containing N. As an inert gas, N 2
In addition to gas, various rare gases listed above may be used.

(所定回数実施)
ステップ1c,2c,3cを1サイクルとして、このサイクルを1回以上、つまり、所
定回数(n回)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOCN
膜を成膜することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち
、1サイクルあたりに形成するSiOCN層の厚さを所望の膜厚よりも小さくして、上述
のサイクルを所望の膜厚になるまで複数回繰り返すのが好ましい。
(Performed times)
Steps 1c, 2c, and 3c are defined as one cycle, and this cycle is performed once or more, that is, a predetermined number of times (n times), whereby a SiOCN having a predetermined composition and a predetermined film thickness is formed on the wafer 200.
A film can be formed. The above cycle is preferably repeated multiple times. That is, it is preferable that the thickness of the SiOCN layer formed per cycle is made smaller than the desired film thickness and the above-described cycle is repeated a plurality of times until the desired film thickness is obtained.

その後、上述の実施形態と同様の手順にて、パージ、大気圧復帰、ボートアンロード及
びウエハディスチャージを行って、本実施形態の成膜処理を終了する。
Thereafter, purging, returning to atmospheric pressure, boat unloading, and wafer discharging are performed in the same procedure as in the above-described embodiment, and the film forming process of this embodiment is completed.

(2)本実施形態に係る効果
本実施形態によれば、上述の第1実施形態と同様の効果を奏する他、以下に示す1つま
たは複数の効果を奏する。
(2) Effects according to this embodiment According to this embodiment, in addition to the same effects as those of the first embodiment described above, the following one or more effects are achieved.

(a)本実施形態の成膜シーケンスによれば、ステップ3cで、プラズマ状態に励起され
たNHガスをウエハ200に対して供給する。これにより、NHガスが、熱的に活性
化された状態よりもいっそう活性化された状態となり、NHガスのSiOC層に対する
改質作用を著しく高めることができる。活性種であるNは、単にSiOC層中に取り込
まれるのみならず、その多くがSi等と結合し、より強固で安定した状態で、SiOC層
中に含まれることとなる。すなわち、係る改質処理によって得られるSiOCN層を、S
i−N結合等を多く含むより強固で安定した層とすることができる。よって、最終的に得
られるSiOCN膜をよりいっそう良質な薄膜とすることができる。
(A) According to the film forming sequence of the present embodiment, NH 3 gas excited to a plasma state is supplied to the wafer 200 in step 3c. As a result, the NH 3 gas becomes more activated than the thermally activated state, and the modification action of the NH 3 gas on the SiOC layer can be remarkably enhanced. The active species N * is not only taken into the SiOC layer, but many of them are combined with Si and the like and contained in the SiOC layer in a stronger and stable state. That is, the SiOCN layer obtained by the modification treatment is changed to S
A stronger and more stable layer containing many i-N bonds and the like can be obtained. Therefore, the finally obtained SiOCN film can be made a much better thin film.

(b)また、本実施形態の成膜シーケンスによれば、ステップ3cで、プラズマ状態に励
起されたNHガスにより、SiOC層に対する改質作用を著しく高めることができる。
これにより、例えば150℃以下の低温条件下であっても、SiOC層の改質処理を充分
に行うことができる。よって、ウエハ200の受ける熱履歴をいっそう改善することがで
きる。
(B) Further, according to the film forming sequence of the present embodiment, in step 3c, the NH 3 gas excited in a plasma state, it can significantly enhance the reforming action on SiOC layer.
Thereby, even under a low temperature condition of, for example, 150 ° C. or less, the SiOC layer can be sufficiently modified. Therefore, the thermal history received by the wafer 200 can be further improved.

例えば、トランジスタのゲート周辺には、低融点金属材料を用いることが増えてきた。
このため、SWSや層間絶縁膜等に用いられ、low−k膜特性を備える薄膜等の形成時
には、例えば150℃以下、ときに100℃以下の低温下での成膜が求められている。
For example, the use of a low melting point metal material around the gate of a transistor has increased.
For this reason, when forming a thin film or the like that is used for SWS, an interlayer insulating film, or the like and has a low-k film characteristic, film formation at a low temperature of, for example, 150 ° C. or less, sometimes 100 ° C. or less is required.

本実施形態では、SiOC膜の成膜工程およびSiOC膜の改質工程をともに、例えば
150℃以下の低温下で行って、SiOCN膜を形成している。よって、高エッチング耐
性および高アッシング耐性を有する薄膜が得られ、高速動作で、かつ、高集積化した半導
体装置を得ることができる。
In the present embodiment, both the SiOC film forming process and the SiOC film modifying process are performed at a low temperature of, for example, 150 ° C. or less to form the SiOCN film. Accordingly, a thin film having high etching resistance and high ashing resistance can be obtained, and a highly integrated semiconductor device can be obtained with high speed operation.

(c)また、本実施形態の成膜シーケンスによれば、ステップ3cにおけるウエハ200
の温度を、ステップ1c,2cでのウエハ200の温度と等しい温度とする。これにより
、例えばステップ1c,2c,3cをこの順に行うサイクルを所定回数行うときに、途中
で温度調整を行うことなく各ステップを実施することができる。よって、ウエハ200の
昇温や降温に要する時間を省いて1サイクルあたりの処理時間を短縮することができ、ト
ータルでの処理時間を短縮することが可能となる。
(C) Also, according to the film forming sequence of the present embodiment, the wafer 200 in step 3c.
Is set equal to the temperature of the wafer 200 in steps 1c and 2c. Thereby, for example, when a cycle in which steps 1c, 2c, and 3c are performed in this order is performed a predetermined number of times, each step can be performed without performing temperature adjustment in the middle. Therefore, it is possible to reduce the processing time per cycle by omitting the time required to raise or lower the temperature of the wafer 200, and it is possible to reduce the total processing time.

(3)本実施形態の変形例
次に、本実施形態の変形例について説明する。
(3) Modification of this embodiment Next, a modification of this embodiment will be described.

図8(b)に示すように、変形例では、SiOC層の改質処理において、Nを含む改質
ガスの代わりに、CおよびNを含む改質ガスを用いてもよい。CおよびNを含む改質ガス
としては、C含有ガスとN含有ガスとを含むガスを用いてもよく、例えばCガス及
びNHガスを共にプラズマ状態に励起してウエハ200に対して供給してもよい。この
場合、Cガスは、処理室201内に供給されたNHガスのプラズマにより、処理
室201内において間接励起するのが好ましい。或いは、CおよびNを含む改質ガスとし
て、例えばアミン系ガスをプラズマ状態に励起してウエハ200に対して供給してもよい
。この場合、アミン系ガスは、例えばバッファ室237外の処理室201内に設けたノズ
ルから供給し、バッファ室237内にてプラズマ状態に励起され処理室201内に供給さ
れたNガス等のアシストガスにより、処理室201内において間接励起するのが好まし
い。これにより、CおよびNを更に含むSiOCN膜が得られる。
As shown in FIG. 8B, in the modification, a reformed gas containing C and N may be used in place of the reformed gas containing N in the reforming process of the SiOC layer. As the reformed gas containing C and N, a gas containing a C-containing gas and an N-containing gas may be used. For example, both the C 3 H 6 gas and the NH 3 gas are excited into a plasma state to the wafer 200. May be supplied. In this case, it is preferable that the C 3 H 6 gas is indirectly excited in the processing chamber 201 by plasma of NH 3 gas supplied into the processing chamber 201. Alternatively, as a reformed gas containing C and N, for example, an amine-based gas may be excited into a plasma state and supplied to the wafer 200. In this case, the amine-based gas, for example, supplied from a nozzle provided in the buffer chamber 237 outside the process chamber 201, the N 2 gas or the like supplied to the excited into a plasma state processing chamber 201 at the buffer chamber 237 Indirect excitation is preferably performed in the processing chamber 201 with the assist gas. Thereby, a SiOCN film further containing C and N is obtained.

他の変形例では、SiOC層の改質処理において、Nを含む改質ガスの代わりに、Cを
含む改質ガスを用いてもよい。Cを含む改質ガスとしては、例えばCガスを、好ま
しくはアシストガスによる間接励起によってプラズマ状態に励起して、ウエハ200に対
して供給してもよい。これにより、Cを更に含むSiOC膜が得られる。
In another modification, a reformed gas containing C may be used instead of the reformed gas containing N in the modification process of the SiOC layer. As the reformed gas containing C, for example, C 3 H 6 gas may be supplied to the wafer 200 after being excited into a plasma state, preferably by indirect excitation with an assist gas. Thereby, a SiOC film further containing C is obtained.

さらに他の変形例では、SiOC層の改質処理において、Cを含む改質ガスとして、C
ガスをプラズマ状態に励起してウエハ200に対して供給するのではなく、C
ガスを触媒ガスとしてのピリジンガスと共にウエハ200に対して供給してもよい。C
ガスをピリジンガスと共に供給することによっても、例えば150℃以下の低温条
件下にて、Cガスを活性化し、CガスのSiOC層に対する改質を行うこと
ができる。また、SiOC層の改質処理におけるウエハ200の温度を、SiOC層の形
成時のウエハ200の温度と同等とすることができ、1サイクルあたりの処理時間を短縮
することができる。
In yet another modification, in the modification process of the SiOC layer, C as a reformed gas containing C
Rather than exciting 3 H 6 gas into a plasma state and supplying it to the wafer 200, C 3 H
6 gas may be supplied to the wafer 200 with the pyridine gas as a catalyst gas. C
Also by supplying 3 H 6 gas together with pyridine gas, for example, C 3 H 6 gas can be activated under low temperature conditions of 150 ° C. or lower, and the SiO 3 layer of C 3 H 6 gas can be modified. . Further, the temperature of the wafer 200 in the modification process of the SiOC layer can be made equal to the temperature of the wafer 200 at the time of forming the SiOC layer, and the processing time per cycle can be shortened.

これらの変形例における処理室201内の圧力、改質ガス、Nガス等の各ガスの供給
流量、供給時間、ウエハ200の温度等の処理条件については、例えば図7、図8(a)
のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができる。触媒ガス
を用いる場合の触媒ガスの供給流量等については、例えば1〜2000sccm、好まし
くは10〜1000sccmの範囲内の流量とすることができる。各ガスを供給する際は
、上述の実施形態と同様、不使用となっているノズル249a〜249dやバッファ室2
37等への各ガスの侵入を防止するNガス供給を行う。
Regarding the processing conditions such as the pressure in the processing chamber 201, the supply flow rate of each gas such as a reformed gas and N 2 gas, the supply time, the temperature of the wafer 200 in these modified examples, for example, FIG. 7 and FIG.
The processing conditions can be set within the same range as the processing conditions in this sequence. The supply flow rate of the catalyst gas when using the catalyst gas can be set to a flow rate in the range of 1 to 2000 sccm, preferably 10 to 1000 sccm, for example. When supplying each gas, the nozzles 249a to 249d and the buffer chamber 2 which are not used are used as in the above-described embodiment.
N 2 gas is supplied to prevent each gas from entering 37 and the like.

なお、上述の第2実施形態及びその変形例では、ウエハ200に対して各ガスを供給す
る工程を順次行うサイクルを所定回数行うこととした。しかし、上述の第1実施形態と同
様、ステップ1a,2aと同様に行うステップを含むセットを所定回数行うことにより、
まずは、ウエハ200上にSiOC膜を形成することとしてもよい。そのうえで、改質ガ
スをプラズマ状態に励起して、或いは、改質ガスとしてのCガスをピリジンガスと
共に、ウエハ200に対して供給してもよい。これにより、係るSiOC膜を改質してC
やN等を更に含むSiOC膜やSiOCN膜としてもよい。この場合も、例えば図7、図
8(a)のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができる。
In the above-described second embodiment and its modification, the cycle of sequentially supplying each gas to the wafer 200 is performed a predetermined number of times. However, as in the first embodiment described above, by performing a set including a step performed in the same manner as steps 1a and 2a a predetermined number of times,
First, a SiOC film may be formed on the wafer 200. In addition, the reformed gas may be excited to a plasma state, or C 3 H 6 gas as the reformed gas may be supplied to the wafer 200 together with the pyridine gas. As a result, the SiOC film is modified and C
Alternatively, a SiOC film or a SiOCN film further containing N or N may be used. In this case as well, for example, the processing conditions can be set within the same range as the processing conditions in the sequences of FIGS.

但し、Cガスをピリジンガスと共にウエハ200に対して供給することでSiO
C膜を改質する場合には、ウエハ200の温度を、SiOC膜を形成するときのウエハ2
00の温度よりも高い温度とすることが好ましい。具体的には、ウエハ200の温度を例
えば上述の第1実施形態のSiOC膜改質工程におけるウエハ200の温度と同様な温度
に設定することが好ましい。SiOC膜を改質するときのウエハ200の温度が、例えば
図4、図5(a)に示すシーケンスのSiOC膜形成工程におけるウエハ200の温度と
同程度であると、少なくともSiOC膜の表層部分を改質させる効果は得られるものの、
ガスによる改質作用が膜全体に及ばない可能性がある。SiOC膜を改質すると
きのウエハ200の温度を、SiOC膜を形成するときのウエハ200の温度より高い温
度とすることで、Cガスによる改質作用をSiOC膜の略全体に行きわたらせるこ
とができ、より均質な薄膜を得ることができる。また、SiOC膜中から水分が脱離し易
くなり、水分の抜けた孔にCが取り込まれ易くなる。
However, SiO 3 can be obtained by supplying C 3 H 6 gas together with pyridine gas to the wafer 200.
When modifying the C film, the temperature of the wafer 200 is set to the wafer 2 when the SiOC film is formed.
Preferably, the temperature is higher than 00. Specifically, it is preferable to set the temperature of the wafer 200 to a temperature similar to the temperature of the wafer 200 in the SiOC film modification process of the first embodiment described above, for example. When the temperature of the wafer 200 when modifying the SiOC film is substantially the same as the temperature of the wafer 200 in the SiOC film forming process in the sequence shown in FIGS. 4 and 5A, for example, at least the surface layer portion of the SiOC film Although the effect of reforming is obtained,
There is a possibility that the reforming action by the C 3 H 6 gas does not reach the entire film. By making the temperature of the wafer 200 when modifying the SiOC film higher than the temperature of the wafer 200 when forming the SiOC film, the modification action by the C 3 H 6 gas is applied to almost the entire SiOC film. And a more uniform thin film can be obtained. Further, moisture is easily desorbed from the SiOC film, and C is easily taken into holes from which moisture has been removed.

改質ガスをプラズマ状態に励起してウエハ200に対して供給することでSiOC膜を
改質する場合には、ウエハ200の温度を例えばステップ1a,2aと同様に行うステッ
プにおけるウエハ200の温度と等しい温度とすることができる。プラズマ状態に励起さ
れた改質ガスは、より活性な状態となっているため、このような低温条件下であっても、
改質ガスの改質作用をSiOC膜の略全体に行きわたらせることができる。但し、プラズ
マを用いる場合であっても、ウエハ200の温度をステップ1a,2aと同様に行うステ
ップにおけるウエハ200の温度より高めてもよく、ウエハ200の温度を例えば500
℃以下の温度とすることができる。ウエハ200の温度が500℃までであれば、温度を
高めるほどSiOC膜中から水分が脱離し易くなり、水分の抜けた孔にC,N等が取り込
まれ易くなる。ウエハ200の温度が500℃を超えると、水分の脱離およびC,N等の
取り込みの効果はそれ以上には高まらないことがある。よって、ウエハ200の温度を5
00℃以下とすることで、ウエハ200の熱履歴を不必要に悪化させることなく、SiO
C膜中からの水分の脱離、及びSiOC膜中へのC,N等の取り込みの効果を高めること
ができる。特に、ウエハ200の温度を300℃以上500℃以下、好ましくは300℃
以上400℃以下とすることで、これらの効果をより高めることができる。
<第3実施形態>
次に、本発明の第3実施形態について説明する。
When the SiOC film is modified by exciting the reformed gas into a plasma state and supplying it to the wafer 200, the temperature of the wafer 200 is set to the temperature of the wafer 200 in the same step as in steps 1a and 2a, for example. The temperature can be equal. The reformed gas excited to the plasma state is in a more active state, so even under such low temperature conditions,
The reforming action of the reformed gas can be spread over almost the entire SiOC film. However, even in the case of using plasma, the temperature of the wafer 200 may be made higher than the temperature of the wafer 200 in the step performed in the same manner as in steps 1a and 2a.
The temperature can be lower than or equal to ° C. If the temperature of the wafer 200 is up to 500 ° C., the higher the temperature, the easier the moisture is desorbed from the SiOC film, and the more easily C, N, etc. are taken into the holes from which moisture has been removed. If the temperature of the wafer 200 exceeds 500 ° C., the effects of moisture desorption and incorporation of C, N, etc. may not be further enhanced. Therefore, the temperature of the wafer 200 is set to 5
By setting the temperature to 00 ° C. or lower, the thermal history of the wafer 200 is not unnecessarily deteriorated, and SiO 2
The effect of desorption of moisture from the C film and incorporation of C, N, etc. into the SiOC film can be enhanced. In particular, the temperature of the wafer 200 is 300 ° C. or more and 500 ° C. or less, preferably 300 ° C.
By setting the temperature to 400 ° C. or lower, these effects can be further enhanced.
<Third Embodiment>
Next, a third embodiment of the present invention will be described.

(1)薄膜形成工程
上述の実施形態では、BTCSMガス等のSi源およびC源となる原料ガスを用いてS
iOC膜を形成し、そのSiOC膜を改質ガスにより改質する例について説明した。本実
施形態においては、Si源となるがC源とはならない原料ガスを用いてSiO膜を形成し
、そのSiO膜を改質ガスにより改質する。本実施形態においても、上述の実施形態と同
様、図1、図2に示す基板処理装置を用いる。以下の説明において、基板処理装置を構成
する各部の動作はコントローラ121により制御される。
(1) Thin film formation process In the above-mentioned embodiment, it is S using raw material gas used as Si source and C source, such as BTCSM gas.
An example in which an iOC film is formed and the SiOC film is modified with a modifying gas has been described. In the present embodiment, a SiO film is formed using a source gas that becomes a Si source but not a C source, and the SiO film is modified by a reforming gas. Also in the present embodiment, the substrate processing apparatus shown in FIGS. 1 and 2 is used as in the above-described embodiment. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121.

図9、図10(a)に示すように、本実施形態では、
ウエハ200に対してSi源となるがC源とはならない原料ガスとして、Siおよびハ
ロゲン元素を含む原料ガスとしてのHCDSガスと、触媒ガスとしてのピリジンガスと、
を供給する工程と(ステップ1d)、
ウエハ200に対して酸化ガスとしてのHOガスと、触媒ガスとしてのピリジンガス
と、を供給する工程と(ステップ2d)、
を含むセットを所定回数(n回)行うことにより、ウエハ200上に、SiおよびOを
含む第1の薄膜としてSiO膜を形成する工程と、
ウエハ200に対してCおよびNのうち少なくともCを含む改質ガスとして、Cおよび
Nを含む改質ガスとしてのCガスおよびNHガスを供給する工程を行うことによ
り、SiO膜を、CおよびNを更に含む第2の薄膜としてのSiOCN膜に改質する例に
ついて説明する。
As shown in FIGS. 9 and 10A, in this embodiment,
HCDS gas as a raw material gas containing Si and a halogen element, a pyridine gas as a catalyst gas, as a raw material gas that becomes a Si source but not a C source for the wafer 200,
(Step 1d),
A step of supplying H 2 O gas as an oxidizing gas and pyridine gas as a catalyst gas to the wafer 200 (step 2d);
Forming a SiO film as a first thin film containing Si and O on the wafer 200 by performing a set including a predetermined number of times (n times);
By performing a step of supplying C 3 H 6 gas and NH 3 gas as a reformed gas containing C and N as a reformed gas containing at least C of C and N to wafer 200, the SiO film is formed. An example of modifying to a SiOCN film as a second thin film further containing C, N will be described.

(SiO膜形成工程)
上述の実施形態等と同様、ウエハチャージ、ボートロード、圧力調整および温度調整を
行った後、次の2つのステップ、すなわち、ステップ1d,2dを順次実行する。
(SiO film formation process)
Similar to the above-described embodiment, after performing wafer charging, boat loading, pressure adjustment, and temperature adjustment, the following two steps, that is, steps 1d and 2d are sequentially executed.

[ステップ1d]
(HCDSガス+ピリジンガス供給)
バルブ243eを開き、ガス供給管232e内にHCDSガスを流す。HCDSガスは
、MFC241eにより流量調整され、ガス供給孔250aから処理室201内に供給さ
れ、排気管231から排気される。このとき、ウエハ200に対してHCDSガスが供給
されることとなる(HCDSガス供給)。このとき同時にバルブ243gを開き、ガス供
給管232g内にNガス等の不活性ガスを流す。Nガスは、MFC241gにより流
量調整され、BTCSMガスと一緒に処理室201内に供給され、排気管231から排気
される。
[Step 1d]
(HCDS gas + pyridine gas supply)
The valve 243e is opened and HCDS gas is allowed to flow into the gas supply pipe 232e. The flow rate of the HCDS gas is adjusted by the MFC 241e, supplied into the processing chamber 201 through the gas supply hole 250a, and exhausted from the exhaust pipe 231. At this time, HCDS gas is supplied to the wafer 200 (HCDS gas supply). At the same time, the valve 243g is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232g. The flow rate of the N 2 gas is adjusted by the MFC 241 g, supplied into the processing chamber 201 together with the BTCSM gas, and exhausted from the exhaust pipe 231.

また、上述のピリジンガスの供給と同様にして、ウエハ200に対してピリジンガスを
供給する。各ガスを供給する際は、上述の実施形態と同様、不使用となっているノズル2
49b,249d内やバッファ室237内への各ガスの侵入を防止するNガス供給を行
う。
Further, pyridine gas is supplied to the wafer 200 in the same manner as the above-described supply of pyridine gas. When each gas is supplied, the nozzle 2 is not used as in the above-described embodiment.
N 2 gas is supplied to prevent the intrusion of each gas into 49b and 249d and into the buffer chamber 237.

このように、ウエハ200に対してHCDSガスを供給することにより、ウエハ200
(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚さの
Clを含むSi含有層が形成される。Clを含むSi含有層は、Clを含むSi層であっ
てもよいし、HCDSガスの吸着層であってもよいし、その両方を含んでいてもよい。
Thus, by supplying the HCDS gas to the wafer 200, the wafer 200
On the (surface undercoat film), as the first layer, for example, a Si-containing layer containing Cl having a thickness of less than one atomic layer to several atomic layers is formed. The Si-containing layer containing Cl may be a Si layer containing Cl, an adsorption layer of HCDS gas, or both of them.

Clを含むSi層とは、Siにより構成されClを含む連続的な層の他、不連続な層や
、これらが重なってできるClを含むSi薄膜をも含む総称である。Siにより構成され
Clを含む連続的な層を、Clを含むSi薄膜という場合もある。Clを含むSi層を構
成するSiは、Clとの結合が完全に切れていないものの他、Clとの結合が完全に切れ
ているものも含む。
The Si layer containing Cl is a generic name including a continuous layer made of Si and containing Cl, a discontinuous layer, and a Si thin film containing Cl formed by overlapping these layers. A continuous layer made of Si and containing Cl may be referred to as a Si thin film containing Cl. Si constituting the Si layer containing Cl includes not only those that are not completely disconnected from Cl but also those that are completely disconnected from Cl.

HCDSガスの吸着層は、HCDSガスのガス分子の連続的な吸着層の他、不連続な吸
着層をも含む。すなわち、HCDSガスの吸着層は、HCDS分子で構成される1分子層
もしくは1分子層未満の厚さの吸着層を含む。HCDSガスの吸着層を構成するHCDS
(SiCl)分子は、SiとClとの結合が一部切れたものも含む。すなわち、HC
DSガスの吸着層は、HCDS分子の化学吸着層や、HCDS分子の物理吸着層を含む。
The adsorption layer of HCDS gas includes a discontinuous adsorption layer as well as a continuous adsorption layer of gas molecules of HCDS gas. That is, the adsorption layer of the HCDS gas includes an adsorption layer having a thickness of less than one molecular layer composed of HCDS molecules or less than one molecular layer. HCDS constituting the adsorption layer of HCDS gas
The (Si 2 Cl 6 ) molecule includes those in which the bond between Si and Cl is partially broken. That is, HC
The DS gas adsorption layer includes a chemical adsorption layer of HCDS molecules and a physical adsorption layer of HCDS molecules.

触媒ガスとしてのピリジンガスは、ウエハ200の表面に存在するO−H結合の結合力
を弱め、HCDSガスの分解を促し、HCDS分子の化学吸着による第1の層の形成を促
進させる。すなわち、例えばウエハ200の表面に存在するO−H結合に、触媒ガスとし
てのピリジンガスが作用してO−H間の結合力を弱める。結合力の弱まったHとHCDS
ガスのClとが反応することで塩化水素(HCl)ガスが生成されて脱離し、Clを失っ
たHCDS分子(ハロゲン化物)がウエハ200の表面に化学吸着する。すなわち、ウエ
ハ200の表面に、HCDSガスの化学吸着層が形成される。このように、触媒ガスとし
てのピリジンガスは、HCDSガスに対しても、上述のBTCSMガス等のSi源および
C源となる原料ガスの場合と同様の触媒作用を示す。
The pyridine gas as the catalyst gas weakens the bonding force of the O—H bond existing on the surface of the wafer 200, promotes the decomposition of the HCDS gas, and promotes the formation of the first layer by chemical adsorption of HCDS molecules. That is, for example, pyridine gas as a catalyst gas acts on O—H bonds existing on the surface of the wafer 200 to weaken the bonding force between O—H. H and HCDS with weak binding
By reacting with the gas Cl, hydrogen chloride (HCl) gas is generated and desorbed, and HCDS molecules (halides) that have lost Cl are chemically adsorbed on the surface of the wafer 200. That is, an HCDS gas chemical adsorption layer is formed on the surface of the wafer 200. Thus, the pyridine gas as the catalyst gas also exhibits the same catalytic action as the catalyst gas for the HCDS gas as in the case of the raw material gas serving as the Si source and the C source such as the BTCSM gas described above.

なお、このときの処理室201内の圧力、原料ガス、触媒ガス、Nガス等の各ガスの
供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の図4、
図5(a)のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができる
Note that the processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as a raw material gas, a catalyst gas, and N 2 gas, the supply time, the temperature of the wafer 200, etc.
The processing conditions within the same range as the processing conditions in the sequence of FIG.

(残留ガス除去)
第1の層としてのClを含むSi含有層がウエハ200上に形成された後、バルブ24
3eを閉じ、HCDSガスの供給を停止する。また、上述の実施形態と同様の手順にて、
ピリジンガスの供給を停止し、処理室201内から残留ガスの除去を行う。
(Residual gas removal)
After the Si-containing layer containing Cl as the first layer is formed on the wafer 200, the valve 24
3e is closed and supply of HCDS gas is stopped. In the same procedure as the above embodiment,
The supply of pyridine gas is stopped, and residual gas is removed from the processing chamber 201.

Si源となるがC源とはならない原料ガスとしては、Siおよびハロゲン元素を含む原
料ガスとして、HCDSガスの他、シリコンテトラクロライド(SiCl、略称:ST
C)ガス、トリクロロシラン(SiHCl、略称:TCS)ガス、ジクロロシラン(S
iHCl、略称:DCS)ガス、およびモノクロロシラン(SiHCl、略称:M
CS)ガス等の、ガス分子中にCを含まないクロロシラン系原料ガス等を用いてもよい。
触媒ガスとしては、ピリジンガスの他、上記に挙げた各種のアミン系触媒ガスを用いても
よい。不活性ガスとしては、Nガスの他、上記に挙げた各種の希ガスを用いてもよい。
As a source gas which becomes a Si source but does not become a C source, as a source gas containing Si and a halogen element, in addition to HCDS gas, silicon tetrachloride (SiCl 4 , abbreviation: ST)
C) gas, trichlorosilane (SiHCl 3 , abbreviation: TCS) gas, dichlorosilane (S
iH 2 Cl 2 , abbreviation: DCS) gas, and monochlorosilane (SiH 3 Cl, abbreviation: M)
A chlorosilane-based source gas that does not contain C in gas molecules, such as CS) gas, may be used.
As the catalyst gas, in addition to pyridine gas, various amine-based catalyst gases listed above may be used. As the inert gas, various rare gases listed above may be used in addition to N 2 gas.

[ステップ2d]
(HOガス+ピリジンガス供給)
ステップ1dが終了し処理室201内の残留ガスを除去した後、上述の実施形態と同様
の手順にて、ウエハ200に対してHOガス及びピリジンガスを供給する。また、H
Oガスやピリジンガスを供給する際は、上述の実施形態と同様、不使用となっているノズ
ル249a,249d内やバッファ室237内への各ガスの侵入を防止するNガス供給
を行う。
[Step 2d]
(H 2 O gas + pyridine gas supply)
After step 1d is completed and residual gas in the processing chamber 201 is removed, H 2 O gas and pyridine gas are supplied to the wafer 200 in the same procedure as in the above-described embodiment. H 2
When supplying O gas or pyridine gas, as in the above-described embodiment, N 2 gas is supplied to prevent the entry of each gas into the nozzles 249a and 249d and the buffer chamber 237 which are not used.

ウエハ200に対して、熱で活性化されたHOガスが供給されることで、ステップ1
dでウエハ200上に形成された第1の層(Clを含むSi含有層)の少なくとも一部と
反応し、第1の層は、ノンプラズマで熱的に酸化されて、SiおよびOを含む第2の層、
すなわち、SiO層へと変化させられる。
By supplying heat activated H 2 O gas to the wafer 200, step 1 is performed.
It reacts with at least a part of the first layer (Si-containing layer containing Cl) formed on the wafer 200 in d, and the first layer is thermally oxidized by non-plasma and contains Si and O. The second layer,
That is, it is changed to the SiO layer.

触媒ガスとしてのピリジンガスは、HOガスが有するO−H結合に作用し、O−H間
の結合力を弱める。結合力の弱まったHと、ウエハ200上に形成された第1の層が有す
るClとが反応することで、HClガスが生成されて脱離し、Hを失ったHOガスのO
が、Clが脱離した第1の層のSiと結合する。このように、触媒ガスとしてのピリジン
ガスは、Clを含むSi含有層を改質する場合においても、上述のCおよびClを含むS
i含有層を改質する場合と同様の触媒作用を示す。
The pyridine gas as the catalyst gas acts on the O—H bond of the H 2 O gas and weakens the bonding force between O—H. A weakened cohesive H, by a Cl having the first layer formed on the wafer 200 is reacted, HCl gas is generated desorbed, of the H 2 O gas lost H O
Bonds to Si in the first layer from which Cl is eliminated. As described above, the pyridine gas as the catalyst gas is used for the above-described S containing C and Cl even when the Si-containing layer containing Cl is modified.
The same catalytic action as when the i-containing layer is modified is shown.

なお、このときの処理室201内の圧力、酸化ガス、触媒ガス、Nガス等の各ガスの
供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の図4、
図5(a)のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができる
Note that the processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as the oxidizing gas, the catalyst gas, and the N 2 gas, the supply time, the temperature of the wafer 200, and the like are illustrated in FIG.
The processing conditions within the same range as the processing conditions in the sequence of FIG.

例えば150℃以下の低温条件下では、水分(HO)を比較的多く含んだSiO層や
、このようなSiO層が積層されてなるSiO膜が形成され易い。SiO層やSiO膜中
に含まれる水分は、例えば、酸化ガスとして用いたHOガス等に由来する。
For example, under a low temperature condition of 150 ° C. or lower, an SiO layer containing a relatively large amount of moisture (H 2 O) and an SiO film formed by laminating such an SiO layer are easily formed. The moisture contained in the SiO layer and the SiO film is derived from, for example, H 2 O gas used as an oxidizing gas.

(残留ガス除去)
第2の層がウエハ200上に形成された後、上述の実施形態と同様の手順にて、HCD
Sガスおよびピリジンガスの供給を停止し、処理室201内から残留ガスの除去を行う。
(Residual gas removal)
After the second layer is formed on the wafer 200, the HCD is performed in the same procedure as in the above-described embodiment.
The supply of S gas and pyridine gas is stopped, and residual gas is removed from the processing chamber 201.

酸化ガスとしては、HOガスの他、上記に挙げた各種のガスを用いてもよい。触媒ガ
スとしては、ピリジンガスの他、上記に挙げた各種のアミン系触媒ガスを用いてもよい。
不活性ガスとしては、Nガスの他、上記に挙げた各種の希ガスを用いてもよい。
As the oxidizing gas, in addition to H 2 O gas, various gases mentioned above may be used. As the catalyst gas, in addition to pyridine gas, various amine-based catalyst gases listed above may be used.
As the inert gas, various rare gases listed above may be used in addition to N 2 gas.

(所定回数実施)
上述したステップ1d,2dを1セットとして、このセットを1回以上、つまり、所定
回数(n回)行うことにより、ウエハ200上に、第1の薄膜として、所定組成及び所定
膜厚のSiO膜を成膜することができる。上述のセットは、複数回繰り返すのが好ましい
。すなわち、1セットあたりに形成するSiO層の厚さを所望の膜厚よりも小さくして、
上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
(Performed times)
The above-described steps 1d and 2d are set as one set, and this set is performed at least once, that is, a predetermined number of times (n times), whereby a SiO film having a predetermined composition and a predetermined film thickness is formed on the wafer 200 as a first thin film. Can be formed. The above set is preferably repeated multiple times. That is, the thickness of the SiO layer formed per set is made smaller than the desired film thickness,
It is preferable to repeat the above set a plurality of times until a desired film thickness is obtained.

このとき、各ステップにおける処理室201内の圧力やガス供給時間等の処理条件を制
御することで、SiO層における各元素成分、すなわち、Si成分およびO成分の割合、
すなわち、Si濃度およびO濃度を調整することができ、SiO膜の組成比を制御するこ
とができる。
At this time, by controlling the processing conditions such as the pressure in the processing chamber 201 and the gas supply time in each step, each element component in the SiO layer, that is, the ratio of the Si component and the O component,
That is, the Si concentration and the O concentration can be adjusted, and the composition ratio of the SiO film can be controlled.

(SiO膜改質工程)
以上のように、ガス分子中にCを含まないHCDSガスを原料ガスとして用いることで
、少なくとも原料ガス由来のCが膜中に含まれることはなく、上記のようなSiO膜が形
成される。SiO膜改質工程においては、例えばCおよびNを含む改質ガスとして、C含
有ガスであるCガス、およびN含有ガスであるNHガスを用いてSiO膜の改質
を行う。
(SiO film modification process)
As described above, by using the HCDS gas that does not contain C in the gas molecules as the source gas, at least C derived from the source gas is not included in the film, and the SiO film as described above is formed. In the SiO film reforming step, for example, the SiO film is reformed using C 3 H 6 gas as a C-containing gas and NH 3 gas as an N-containing gas as a reformed gas containing C and N.

(Cガス+NHガス供給)
上述の第1実施形態と同様の手順にて圧力調整及び温度調整を行った後、上述のC
ガスの供給、およびNHガスの供給と同様の手順にてウエハ200に対してC
ガス及びNHガスを供給する。
(C 3 H 6 gas + NH 3 gas supply)
After performing pressure adjustment and temperature adjustment in the same procedure as the above-mentioned first embodiment, the above-mentioned C 3 H
The C 3 H 6 is supplied to the wafer 200 in the same procedure as the supply of the 6 gas and the supply of the NH 3 gas.
Gas and NH 3 gas are supplied.

ウエハ200に対して熱で活性化されたCガス及びNHガスを供給することで
、ステップ1d,2dを所定回数行うことによりウエハ200上に形成された第1の薄膜
(SiO膜)の少なくとも一部と、Cガス及びNHガスとが反応する。これによ
り、SiO膜は、ノンプラズマで熱的に改質されて、Si,O,CおよびNを含む第2の
薄膜、すなわち、SiOCN膜へと変化させられる。
By supplying heat activated C 3 H 6 gas and NH 3 gas to the wafer 200, the first thin film (SiO film) formed on the wafer 200 by performing steps 1d and 2d a predetermined number of times. ) React with C 3 H 6 gas and NH 3 gas. As a result, the SiO film is thermally modified by non-plasma and changed into a second thin film containing Si, O, C, and N, that is, a SiOCN film.

このとき、ウエハ200の温度を比較的高い温度とすることで、Cガス及びNH
ガスとSiO膜との反応が促進され、C成分およびN成分をSiO膜中にまで入り込ま
せることができる。また、ウエハ200の温度を、上述のSiO膜を形成する工程におけ
るウエハ200の温度よりも高い温度とすることで、上述のように、SiO膜が水分を多
く含む場合には、膜中から水分が脱離し易くなる。SiO膜の水分の抜けた部分には微小
な孔(ポア)、すなわち、微小な空間が生じ、SiO膜はポーラス状の膜となる。このよ
うな水分の抜けた孔にCやNが入り込むことで、C成分およびN成分がよりいっそうSi
O膜中に取り込まれ易くなり、SiO膜の改質が膜の略全体に及ぶこととなる。このとき
、SiO膜中に取り込まれたCやNの少なくとも一部が、膜中の成分、例えばSi等とS
i−C結合やSi−N結合等を形成していてもよい。
At this time, by setting the temperature of the wafer 200 to a relatively high temperature, C 3 H 6 gas and NH
The reaction between the three gases and the SiO film is promoted, and the C component and the N component can penetrate into the SiO film. Further, by setting the temperature of the wafer 200 to be higher than the temperature of the wafer 200 in the step of forming the above-described SiO film, as described above, when the SiO film contains a lot of moisture, the moisture from the film is Is easily detached. A minute hole (pore), that is, a minute space is generated in a portion where the moisture of the SiO film is removed, and the SiO film becomes a porous film. When C or N enters such a hole from which moisture has been removed, the C component and the N component are further improved.
It becomes easy to be taken into the O film, and the modification of the SiO film reaches almost the entire film. At this time, at least a part of C and N taken into the SiO film is made up of components in the film such as Si and S.
An i-C bond, Si-N bond, or the like may be formed.

なお、このときの処理室201内の圧力、改質ガス、Nガス等の各ガスの供給流量、
供給時間、ウエハ200の温度等の処理条件については、例えば上述の図4、図5のシー
ケンスにおける処理条件と同様の範囲内の処理条件とすることができる。また、C
ガス及びNHガスを供給する際は、上述の実施形態と同様、不使用となっているノズル
249a,249b内への各ガスの侵入を防止するNガス供給を行う。
Note that the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as a reformed gas and N 2 gas,
The processing conditions such as the supply time and the temperature of the wafer 200 can be set within the same ranges as the processing conditions in the above-described sequences of FIGS. In addition, C 3 H 6
When supplying the gas and NH 3 gas, as in the above-described embodiment, N 2 gas is supplied to prevent the intrusion of each gas into the nozzles 249a and 249b that are not used.

(残留ガス除去及びパージ)
第2の薄膜としてのSiOCN膜がウエハ200上に形成された後、上述の図4、図5
のシーケンスと同様の手順で、Cガス及びNHガスの供給を停止する。このとき
、上述の実施形態と同様の手順にて、処理室201内から残留ガスの除去、および処理室
201内のパージを行う。
(Residual gas removal and purging)
After the SiOCN film as the second thin film is formed on the wafer 200, the above-described FIGS.
The supply of the C 3 H 6 gas and the NH 3 gas is stopped in the same procedure as in the above sequence. At this time, the residual gas is removed from the processing chamber 201 and the processing chamber 201 is purged in the same procedure as in the above-described embodiment.

その後、上述の図4、図5(a)のシーケンスと同様の手順にて、大気圧復帰、ボート
アンロード及びウエハディスチャージを行って、本実施形態の成膜処理を終了する。
Thereafter, atmospheric pressure return, boat unloading, and wafer discharge are performed in the same procedure as the sequence of FIGS. 4 and 5A described above, and the film forming process of this embodiment is completed.

CおよびNを含む改質ガスとしては、Cガス及びNHガスの他、上記に挙げた
各種のC含有ガスおよびN含有ガスを用いてもよい。CおよびNを含む改質ガスとして、
上記に挙げた各種のアミン系ガスを用いてもよい。
As the reformed gas containing C and N, various C-containing gases and N-containing gases mentioned above may be used in addition to the C 3 H 6 gas and the NH 3 gas. As a reformed gas containing C and N,
Various amine-based gases listed above may be used.

上記においては、CおよびNを含む改質ガスを用いてSiOCN膜を形成することとし
たが、例えば図10(b)に示す変形例のように、CおよびNを含む改質ガスの代わりに
、Cガス等のCを含む改質ガスを熱的に活性化して用いてもよい。これにより、S
iO膜を改質して、Si,OおよびCを含む薄膜としてSiOC膜を形成することができ
る。または、CおよびNを含む改質ガスの代わりに、NHガス等のNを含む改質ガスを
熱的に活性化して用いてもよい。このように、Nを含む改質ガスによりSiO膜を改質し
て、Si,OおよびNを含む薄膜としてSiON膜を形成することもできる。SiON膜
を、Nを含むSiO膜、Nがドープ(添加)されたSiO膜等ということもできる。これ
らの場合においても、例えば図9、図10(a)のシーケンスにおける処理条件と同様の
範囲内の処理条件とすることができる。
In the above description, the SiOCN film is formed using the reformed gas containing C and N. For example, instead of the reformed gas containing C and N as in the modification shown in FIG. A reformed gas containing C, such as C 3 H 6 gas, may be used after being thermally activated. As a result, S
The iO film can be modified to form a SiOC film as a thin film containing Si, O, and C. Alternatively, instead of the reformed gas containing C and N, a reformed gas containing N such as NH 3 gas may be thermally activated and used. In this way, the SiON film can be formed as a thin film containing Si, O, and N by modifying the SiO film with a reforming gas containing N. The SiON film can also be referred to as an SiO film containing N, an SiO film doped with (added to) N, or the like. Even in these cases, for example, the processing conditions within the same range as the processing conditions in the sequences of FIGS. 9 and 10A can be set.

(2)本実施形態に係る効果
本実施形態によれば、上述の実施形態と同様の効果を奏する他、以下に示す1つまたは
複数の効果を奏する。
(2) Effects according to the present embodiment According to the present embodiment, in addition to the same effects as those of the above-described embodiments, the following one or more effects are achieved.

(a)本実施形態の成膜シーケンスによれば、ステップ1d,2dでSiO膜を形成した
後、CおよびNを含む改質ガスによりSiO膜を改質してSiOCN膜を形成する。これ
により、ガス分子中にCを含まないクロロシラン系原料ガス等を用いても、SiOCN膜
を得ることができる。つまり、よりシンプルで安価なガス系により、高エッチング耐性お
よび高アッシング耐性を有する薄膜を得ることが可能となる。
(A) According to the film forming sequence of the present embodiment, after the SiO film is formed in steps 1d and 2d, the SiO film is modified with a modifying gas containing C and N to form the SiOCN film. As a result, the SiOCN film can be obtained even using a chlorosilane-based source gas that does not contain C in the gas molecules. That is, it is possible to obtain a thin film having high etching resistance and high ashing resistance by a simpler and cheaper gas system.

(b)本実施形態の成膜シーケンスによれば、ステップ1d,2dでSiO膜を形成した
後、Cを含む改質ガスによりSiO膜を改質してSiOC膜を形成する。このとき、例え
ばSiO膜改質工程の時間を長くしていくことで、上述のSi源およびC源となる原料ガ
スを用いて形成されるSiOC膜よりもC濃度の高いSiOC膜を得ることも可能である
。このように、膜中のC濃度を高めておくことで、例えばアッシング後であっても膜中の
C濃度を高いままに維持することができ、アッシング耐性の高い薄膜とすることができる
。よって、よりシンプルで安価なガス系により、高エッチング耐性および高アッシング耐
性を有する薄膜を得ることが可能となる。
(B) According to the film forming sequence of the present embodiment, after the SiO film is formed in steps 1d and 2d, the SiO film is modified with a modifying gas containing C to form the SiOC film. At this time, for example, by increasing the time of the SiO film modification step, it is possible to obtain a SiOC film having a C concentration higher than that of the SiOC film formed using the above-described source gas serving as the Si source and the C source. Is possible. Thus, by increasing the C concentration in the film, for example, the C concentration in the film can be maintained high even after ashing, and a thin film having high ashing resistance can be obtained. Therefore, it is possible to obtain a thin film having high etching resistance and high ashing resistance by a simpler and cheaper gas system.

(3)本実施形態の変形例
次に、本実施形態の変形例について説明する。
(3) Modification of this embodiment Next, a modification of this embodiment will be described.

図10(b)に示す変形例のほか、図11および図12(a)に示すように、本実施形
態の他の変形例では、Cガス及びNHガスをプラズマ状態に励起してSiO層の
改質を行う。
In addition to the modification shown in FIG. 10 (b), as shown in FIGS. 11 and 12 (a), in another modification of the present embodiment, C 3 H 6 gas and NH 3 gas are excited to a plasma state. Then, the SiO layer is modified.

すなわち、
ウエハ200に対して原料ガスとしてのHCDSガスと、触媒ガスとしてのピリジンガ
スと、を供給する工程と(ステップ1e)、
ウエハ200に対して酸化ガスとしてのHOガスと、触媒ガスとしてのピリジンガス
と、を供給する工程と(ステップ2e)、
ウエハ200に対してCおよびNを含む改質ガスとしてCガス及びNHガスを
プラズマ状態に励起して供給する工程と(ステップ3e)、
をこの順に行うサイクルを所定回数行うことにより、ウエハ200上に、Si,O,C
およびNを含む薄膜としてのSiOCN膜を形成してもよい。
That is,
A step of supplying an HCDS gas as a raw material gas and a pyridine gas as a catalyst gas to the wafer 200 (step 1e);
A step of supplying H 2 O gas as an oxidizing gas and pyridine gas as a catalyst gas to the wafer 200 (step 2e);
A step of exciting and supplying a C 3 H 6 gas and an NH 3 gas as a reformed gas containing C and N to the wafer 200 in a plasma state (step 3e);
Are performed in this order in a predetermined number of times, so that Si, O, C are formed on the wafer 200.
Alternatively, a SiOCN film as a thin film containing N and N may be formed.

NHガスは、それ自体がプラズマ励起され易く、プラズマ状態となって活性化された
状態となる。Cガスは、単独ではプラズマ励起され難いガスであるが、処理室20
1内に供給されたNHガスのプラズマで、処理室201内において間接的に励起される
ことで、プラズマ状態となって活性化された状態となる。このように、活性化されたC
ガス及びNHガスにより、ステップ1e,2eによりウエハ200上に形成された
SiO層を改質してSiOCN層を形成することができる。
The NH 3 gas itself is easily plasma-excited and becomes a plasma state and is activated. The C 3 H 6 gas is a gas that is hardly plasma-excited by itself, but the processing chamber 20
By being indirectly excited in the processing chamber 201 by the NH 3 gas plasma supplied into the plasma 1, the plasma state is activated. Thus, activated C 3
By using H 6 gas and NH 3 gas, the SiO layer formed on the wafer 200 in steps 1e and 2e can be modified to form a SiOCN layer.

CおよびNを含む改質ガスとしては、Cガス及びNHガスの他、上記に挙げた
各種のC含有ガスおよびN含有ガスを用いてもよい。CおよびNを含む改質ガスとして、
上記に挙げた各種のアミン系ガスを用いてもよい。選択したアミン系ガスが単独でプラズ
マ励起され難いガスであるときは、アシストガスを用いた方法により、アミン系ガスをプ
ラズマ状態に励起してもよい。
As the reformed gas containing C and N, various C-containing gases and N-containing gases mentioned above may be used in addition to the C 3 H 6 gas and the NH 3 gas. As a reformed gas containing C and N,
Various amine-based gases listed above may be used. When the selected amine-based gas is a gas that is hardly plasma-excited by itself, the amine-based gas may be excited to a plasma state by a method using an assist gas.

また、図12(b)に示すように、本実施形態の更に他の変形例では、Cガス及
びNHガスの代わりに、Cガスをプラズマ状態に励起してSiO層をSiOC層
に改質してもよい。このとき、以下に述べるように、アシストガスを用いることができる
。また、本実施形態の更に他の変形例として、Cガス及びNHガスの代わりに、
NHガスをプラズマ状態に励起してSiO層をSiON層に改質してもよい。このよう
に、Nを含む改質ガスを用いることにより、Si,OおよびNを含む薄膜としてSiON
膜を形成することもできる。
In addition, as shown in FIG. 12B, in still another modification of the present embodiment, instead of C 3 H 6 gas and NH 3 gas, C 3 H 6 gas is excited into a plasma state to generate a SiO layer. May be modified into a SiOC layer. At this time, as described below, an assist gas can be used. As still another modification of the present embodiment, instead of C 3 H 6 gas and NH 3 gas,
NH 3 gas may be excited to a plasma state to modify the SiO layer into a SiON layer. Thus, by using a reformed gas containing N, a thin film containing Si, O and N is used as SiON.
A film can also be formed.

上記のように、Cガスは、単独ではプラズマ励起され難いガスである。一方で、
例えばNガスは電離エネルギーが比較的低く、それ自体がプラズマ励起され易いガスで
ある。このNガスを、プラズマの着火をアシストするアシストガスとして用いる。つま
り、Cガスの供給を開始すると同時に、バルブ243jを開き、ガス供給管232
j内にNガスを流す。Nガスは、MFC241jにより流量調整され、ガス供給孔2
50dからバッファ室237内に供給され、高周波(RF)電力が棒状電極269,27
0に印加されることでNガスがプラズマ状態となる。処理室201内に供給されたN
ガスのプラズマで、処理室201内に供給されたCガスが間接励起されることで、
ガスもプラズマ状態となって活性化された状態となる。このとき、例えばN
スの供給をCガスの供給より先に開始してもよい。つまり、まず、プラズマ状態と
なったNガスを単独で処理室201内に供給し、そこへ、Cガスを供給してもよ
い。これにより、プラズマ状態となったNガス雰囲気中にCガスが供給されるこ
ととなり、Cガスがよりいっそうプラズマで励起され易くなる。なお、Nガスは
、プラズマ中でのCガスの解離をアシストするアシストガスとして作用してもよい
As described above, C 3 H 6 gas is a gas that is difficult to be plasma-excited by itself. On the other hand,
For example, N 2 gas has a relatively low ionization energy, and is itself a gas that is easily plasma-excited. This N 2 gas is used as an assist gas for assisting plasma ignition. That is, at the same time as the supply of the C 3 H 6 gas is started, the valve 243j is opened and the gas supply pipe 232 is opened.
N 2 gas is allowed to flow into j. The flow rate of N 2 gas is adjusted by the MFC 241j, and the gas supply hole 2
50d is supplied into the buffer chamber 237, and high frequency (RF) power is supplied to the rod-shaped electrodes 269, 27.
By being applied to 0, the N 2 gas enters a plasma state. N 2 supplied into the processing chamber 201
The C 3 H 6 gas supplied into the processing chamber 201 is indirectly excited by the gas plasma,
C 3 H 6 gas is also in a plasma state and activated. At this time, for example, the supply of N 2 gas may be started before the supply of C 3 H 6 gas. That is, first, the N 2 gas in a plasma state may be supplied alone into the processing chamber 201, and the C 3 H 6 gas may be supplied thereto. As a result, the C 3 H 6 gas is supplied into the N 2 gas atmosphere in the plasma state, and the C 3 H 6 gas is more easily excited by the plasma. Note that the N 2 gas may act as an assist gas that assists the dissociation of the C 3 H 6 gas in the plasma.

このように活性化されたCガスにより、SiO層を改質してSiOC層を形成す
ることができる。プラズマ状態に活性化されたCガスによりSiO層を改質するこ
とで、例えば、上述の熱的に活性化されたCガスにより得られるSiOC膜よりも
、いっそうC濃度の高いSiOC層やSiOC膜を得ることができる。
With the activated C 3 H 6 gas, the SiO layer can be modified to form the SiOC layer. By modifying the SiO layer with the C 3 H 6 gas activated in the plasma state, for example, the C concentration is higher than that of the SiOC film obtained by the above-described thermally activated C 3 H 6 gas. A high SiOC layer or SiOC film can be obtained.

プラズマの着火をアシストするアシストガスとしては、Nガスの他、Arガス、He
ガス、Neガス、Xeガス等の希ガスを用いてもよい。
As assist gas for assisting the ignition of plasma, in addition to N 2 gas, Ar gas, He
A rare gas such as gas, Ne gas, or Xe gas may be used.

また、本実施形態の更に他の変形例として、Cガスを触媒ガスとしてのピリジン
ガスと共にウエハ200に対して供給し、SiO層を改質してもよい。ピリジンガスによ
って活性化されたCガスにより、SiO層を改質してSiOC層を形成することが
できる。
As still another modification of the present embodiment, the SiO layer may be modified by supplying C 3 H 6 gas to the wafer 200 together with pyridine gas as a catalyst gas. The SiO layer can be modified with a C 3 H 6 gas activated by pyridine gas to form a SiOC layer.

これらの変形例における処理室201内の圧力、改質ガス、Nガス等の各ガスの供給
流量、供給時間、ウエハ200の温度等の処理条件については、例えば図7、図8のシー
ケンスにおける処理条件と同様の範囲内の処理条件とすることができる。アシストガスと
してのNガスの供給流量は、例えば100〜10000sccmの範囲内の流量とする
ことができる。各ガスを供給する際は、上述の実施形態と同様、不使用となっているノズ
ル249a〜249dやバッファ室237等への各ガスの侵入を防止するNガス供給を
行う。
Regarding the processing conditions such as the pressure in the processing chamber 201, the supply flow rate of each gas such as a reformed gas and N 2 gas, the supply time, the temperature of the wafer 200 in these modifications, for example, in the sequence of FIGS. The processing conditions can be set within the same range as the processing conditions. The supply flow rate of N 2 gas as the assist gas can be set to a flow rate in the range of 100 to 10,000 sccm, for example. When supplying each gas, as in the above-described embodiment, N 2 gas is supplied to prevent the intrusion of each gas into the nozzles 249a to 249d and the buffer chamber 237 that are not used.

これらの変形例では、ウエハ200に対して各ガスを供給する工程を順次行うサイクル
を所定回数行うこととした。しかし、上述の図9,図10(a)に示すシーケンスと同様
、ステップ1d,2dと同様に行うステップを含むセットを所定回数行うことにより、ま
ずは、ウエハ200上にSiO膜を形成することとしてもよい。そのうえで、改質ガスを
プラズマ状態に励起して、或いは、改質ガスとしてのCガスをピリジンガスと共に
、ウエハ200に対して供給してもよい。これにより、係るSiO膜を改質して、C,N
等を更に含むSiOC膜やSiOCN膜としてもよい。プラズマ状態に励起した改質ガス
によりSiO膜を改質するときは、温度条件を上述のステップ1d,2dにおける温度条
件と等しくすることができるほか、ウエハ200の温度を例えば500℃以下の温度とす
ることができる。触媒ガスで活性化したCガスによりSiO膜を改質するときは、
温度条件を上述の図9,図10に示すシーケンスの改質工程における温度条件と等しくす
ることができる。
In these modified examples, a cycle for sequentially supplying each gas to the wafer 200 is performed a predetermined number of times. However, similar to the sequence shown in FIG. 9 and FIG. 10A described above, a SiO film is first formed on the wafer 200 by performing a set including steps performed in the same manner as steps 1d and 2d. Also good. In addition, the reformed gas may be excited to a plasma state, or C 3 H 6 gas as the reformed gas may be supplied to the wafer 200 together with the pyridine gas. As a result, the SiO film is modified so that C, N
It is good also as the SiOC film | membrane and SiOCN film | membrane which further contain etc. When the SiO film is modified by the reformed gas excited to the plasma state, the temperature condition can be made equal to the temperature condition in the above steps 1d and 2d, and the temperature of the wafer 200 is set to a temperature of 500 ° C. or less, for example. can do. When modifying the SiO film with C 3 H 6 gas activated with a catalyst gas,
The temperature condition can be made equal to the temperature condition in the reforming step of the sequence shown in FIGS.

<他の実施形態>
以上、本発明の実施の形態を具体的に説明したが、本発明は上述の実施形態や変形例に
限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
<Other embodiments>
Although the embodiments of the present invention have been specifically described above, the present invention is not limited to the above-described embodiments and modifications, and various modifications can be made without departing from the scope of the present invention.

例えば、上述の第1実施形態では、ステップ1a,2aを所定回数行い、SiOC膜改
質工程を行うサイクルを1回のみ行う例について説明したが、係るサイクルを複数回繰り
返してもよい。また、上述の第2実施形態では、ステップ1c,2c,3cをこの順に1
回ずつ行うサイクルを所定回数行う例について説明したが、例えばステップ1c,2cを
複数回繰り返し、ステップ3cを行うサイクルを所定回数行ってもよい。この点は、上述
の第3実施形態においてステップ1d,2dを所定回数行い、SiOC膜改質工程を行う
サイクルを1回のみ行う例や、その変形例においてステップ1e,2e,3eをこの順に
1回ずつ行うサイクルを所定回数行う例にも適用可能である。
For example, in the above-described first embodiment, the example in which the steps 1a and 2a are performed a predetermined number of times and the cycle for performing the SiOC film modification process is performed only once has been described, but the cycle may be repeated a plurality of times. In the second embodiment described above, steps 1c, 2c, and 3c are set to 1 in this order.
Although an example in which the cycle of performing each time is performed a predetermined number of times has been described, for example, steps 1c and 2c may be repeated a plurality of times, and the cycle of performing step 3c may be performed a predetermined number of times. This is because the steps 1d and 2d are performed a predetermined number of times in the above-described third embodiment, and the cycle of performing the SiOC film modification process is performed only once, and in the modified example, the steps 1e, 2e, and 3e are 1 in this order. The present invention is also applicable to an example in which a cycle that is performed once is performed a predetermined number of times.

上述の実施形態等では、改質ガスを供給する工程を、原料ガスや酸化ガスを供給する工
程とは別個に行う例について説明した。しかし、改質ガスを供給する工程を、原料ガスと
触媒ガスとを供給する工程の実施中や、酸化ガスと触媒ガスとを供給する工程の実施中に
行ってもよい。また、原料ガスと触媒ガスとを供給する工程および酸化ガスと触媒ガスと
を供給する工程の間に改質ガスを供給する工程を挿入してもよい。この場合、例えば図7
、図8(a)のシーケンスにおける処理条件と同様の範囲内の処理条件とすることができ
る。
In the above-described embodiment and the like, the example in which the step of supplying the reformed gas is performed separately from the step of supplying the raw material gas and the oxidizing gas has been described. However, the step of supplying the reformed gas may be performed during the step of supplying the raw material gas and the catalyst gas or during the step of supplying the oxidizing gas and the catalyst gas. Further, a step of supplying the reformed gas may be inserted between the step of supplying the source gas and the catalyst gas and the step of supplying the oxidizing gas and the catalyst gas. In this case, for example, FIG.
The processing conditions within the same range as the processing conditions in the sequence of FIG.

上述の実施形態では、Cガスを、バッファ室237外に設けられたノズル249
cから、バッファ室237を介さずに処理室201内に供給する例について説明した。し
かし、Cガスを、バッファ室237内に設けられたノズルから、バッファ室237
を介して処理室201内に供給してもよい。この場合であっても、Nガス等のアシスト
により、バッファ室237内に供給されたCガスをNガスのプラズマで間接的に
励起してもよい。
In the above embodiment, C 3 H 6 gas is supplied to the nozzle 249 provided outside the buffer chamber 237.
The example in which the process chamber 201 is supplied into the processing chamber 201 without passing through the buffer chamber 237 has been described. However, the C 3 H 6 gas is supplied from the nozzle provided in the buffer chamber 237 to the buffer chamber 237.
May be supplied into the processing chamber 201 via Even in this case, the C 3 H 6 gas supplied into the buffer chamber 237 may be indirectly excited by N 2 gas plasma with the assistance of N 2 gas or the like.

上述の実施形態等では、Si源となるがC源とはならない原料ガスとして、Siおよび
ハロゲン元素を含む原料ガスであるHCDSガス等の、ガス分子中にCを含まないクロロ
シラン系原料ガスを用いる例について説明した。しかし、Si源となるがC源とはならな
い原料ガスはこれらに限られない。例えば、Si,CおよびNを含みSi−N結合を有す
る原料ガスとして、Siおよびアミノ基(アミン基)を含む原料ガスであるアミノシラン
系原料ガスを用いてもよい。アミノシラン系原料ガスとは、アミノ基を含むシラン系原料
ガスのことであり、少なくともSiと、CおよびNを含んだアミノ基と、を含む有機系原
料ガスのことである。アミノシラン系原料ガスは、ガス分子中にCを含むがSi−C結合
を有さず、このタイプの原料ガスを用いても、形成する薄膜中に原料ガス由来のCが、薄
膜を構成する成分として取り込まれることはほとんどない。アミノシラン系原料ガスとし
ては、例えば、ビスターシャリーブチルアミノシラン(SiH[NH(C)]
、略称:BTBAS)ガス、テトラキスジメチルアミノシラン(Si[N(CH
、略称:4DMAS)ガス、トリスジメチルアミノシラン(Si[N(CH
H、略称:3DMAS)ガス、ビスエチルメチルアミノシラン(Si[N(C)(
CH)]、略称:BEMAS)ガス、およびビスジエチルアミノシラン(Si[
N(C、略称:BDEAS)ガス等を用いることができる。
In the above-described embodiments and the like, a chlorosilane-based source gas that does not contain C in gas molecules, such as HCDS gas that is a source gas containing Si and a halogen element, is used as a source gas that becomes a Si source but not a C source. An example was described. However, the source gas that becomes the Si source but not the C source is not limited thereto. For example, an aminosilane-based source gas that is a source gas containing Si and an amino group (amine group) may be used as a source gas containing Si, C, and N and having a Si—N bond. The aminosilane-based source gas is a silane-based source gas containing an amino group, and is an organic source gas containing at least Si and an amino group containing C and N. The aminosilane-based source gas contains C in the gas molecules but does not have a Si-C bond, and even if this type of source gas is used, C derived from the source gas constitutes the thin film in the thin film to be formed. Is rarely captured as As the aminosilane-based source gas, for example, bistally butylaminosilane (SiH 2 [NH (C 4 H 9 )] 2
, Abbreviation: BTBAS) gas, tetrakisdimethylaminosilane (Si [N (CH 3 ) 2 ])
4 , abbreviation: 4DMAS) gas, trisdimethylaminosilane (Si [N (CH 3 ) 2 ] 3
H, abbreviation: 3DMAS) gas, bisethylmethylaminosilane (Si [N (C 2 H 5 ) (
CH 3 )] 2 H 2 , abbreviation: BEMAS) gas, and bisdiethylaminosilane (Si [
N (C 2 H 5 ) 2 ] 2 H 2 , abbreviation: BDEAS) gas, or the like can be used.

これらのようなアミノシラン系原料ガスを原料ガスとして用いることで、SiO層やS
iO膜を形成し、その後、改質工程を行うことによりCやNを更に含むSiOC膜やSi
OCN膜を形成することができる。アミノシラン系原料ガスは、例えばピリジンガス等の
触媒ガスを供給せずにウエハ200に対して供給する。これにより、第1の層として、S
i含有層が形成される。その後、酸化ガスとして、プラズマ状態に励起されたOガス等
の酸素含有ガス(O含有ガス)を用いて第1の層を酸化して第2の層としてのSiO層を
得る。このように、酸化ガスとしてO含有ガス等をプラズマ状態に励起して用いる方法は
、上述のアルキレンハロシラン系原料ガスやアルキルハロシラン系原料ガスやハロシラン
系原料ガス(クロロシラン系ガス)を原料ガスとして用いる場合にも適用することができ
る。ただし、アルキレンハロシラン系原料ガスやアルキルハロシラン系原料ガスを原料ガ
スとして用いた場合には、例えば酸化ガス供給時の高周波電力を低く設定する等の留意が
必要である。酸化反応を比較的穏やかに進行させ、SiOC層やSiOC膜中からCが脱
離するのを抑制するためである。これらのアミノシラン系原料ガスを用いる場合において
も、例えば上述の実施形態等のいずれかのシーケンスにおける処理条件と同様の範囲内の
処理条件とすることができる。
By using such an aminosilane-based source gas as a source gas, an SiO layer or S
An iO film is formed, and then a modification process is performed, so that an SiOC film further containing C or N or Si
An OCN film can be formed. The aminosilane-based source gas is supplied to the wafer 200 without supplying a catalyst gas such as pyridine gas. This allows S as the first layer.
An i-containing layer is formed. Thereafter, the first layer is oxidized using an oxygen-containing gas (O-containing gas) such as O 2 gas excited to a plasma state as an oxidizing gas to obtain a SiO layer as the second layer. As described above, the method of exciting and using an O-containing gas or the like as an oxidizing gas in a plasma state uses the above-described alkylene halosilane-based source gas, alkylhalosilane-based source gas, or halosilane-based source gas (chlorosilane-based gas) as a source gas. It can be applied to the case of using as. However, when an alkylenehalosilane-based source gas or an alkylhalosilane-based source gas is used as a source gas, attention must be paid to setting the high-frequency power at the time of supplying an oxidizing gas, for example. This is because the oxidation reaction is allowed to proceed relatively gently and C is prevented from desorbing from the SiOC layer or the SiOC film. Even when these aminosilane-based source gases are used, for example, the processing conditions can be set within the same range as the processing conditions in any of the sequences of the above-described embodiments.

上述の実施形態等では、薄膜としてSiOC膜、SiOCN膜等を形成する例について
説明したが、係る薄膜の中から異なる組成の薄膜同士を積層した積層膜や、上記薄膜と、
上記薄膜とは異なる組成の薄膜と、を積層した積層膜を形成してもよい。積層膜としては
、例えばSiOC膜とSiOCN膜との積層膜や、SiO膜とSiOC膜との積層膜や、
SiO膜とSiOCN膜との積層膜等が挙げられる。このように、エッチング耐性や誘電
率やアッシング耐性の異なる複数の膜の積層膜を形成することで、積層膜におけるこれら
諸特性の制御性をよりいっそう向上させることができる。
In the above-described embodiment, etc., an example of forming a SiOC film, a SiOCN film, etc. as a thin film has been described. However, a laminated film in which thin films having different compositions are laminated from the thin films, the thin film,
You may form the laminated film which laminated | stacked the thin film of a composition different from the said thin film. As the laminated film, for example, a laminated film of a SiOC film and a SiOCN film, a laminated film of a SiO film and a SiOC film,
Examples include a laminated film of a SiO film and a SiOCN film. Thus, by forming a laminated film of a plurality of films having different etching resistance, dielectric constant, and ashing resistance, the controllability of these characteristics in the laminated film can be further improved.

上述の実施形態等では、薄膜としてSiO膜やSiOC膜等のシリコン酸化膜系の膜に
対して改質処理を行う例について説明したが、本発明はこれに限られず、例えば、SiN
膜等のシリコン窒化膜系の膜に適用してもよい。低温で成膜されたSiN膜は、不純物と
して酸素を含んでおり、改質処理を行うことで膜質を改善することができる。
In the above-described embodiments and the like, the example in which the modification process is performed on the silicon oxide film such as the SiO film or the SiOC film as the thin film has been described. However, the present invention is not limited thereto, and for example, SiN
The present invention may be applied to a silicon nitride film such as a film. The SiN film formed at a low temperature contains oxygen as an impurity, and the film quality can be improved by performing the modification treatment.

上述の各実施形態や各変形例の手法により形成した薄膜を、SWSとして使用すること
により、リーク電流が少なく、加工性に優れたデバイス形成技術を提供することが可能と
なる。
By using the thin film formed by the method of each of the above-described embodiments and modifications as a SWS, it is possible to provide a device forming technique with less leakage current and excellent workability.

また、上述の各実施形態や各変形例の手法により形成した薄膜を、エッチストッパとし
て使用することにより、加工性に優れたデバイス形成技術を提供することが可能となる。
Further, by using a thin film formed by the method of each of the above-described embodiments and modifications as an etch stopper, it is possible to provide a device forming technique with excellent workability.

上述の第2実施形態とその変形例、第3実施形態の変形例を除く各実施形態や各変形例
によれば、プラズマを用いずに理想的量論比の薄膜を形成することができる。また、プラ
ズマを用いずに薄膜を形成できることから、例えばDPTのSADP膜等、プラズマダメ
ージを懸念する工程への適応も可能となる。
According to each embodiment and each modification excluding the above-described second embodiment and its modification, and the modification of the third embodiment, a thin film having an ideal stoichiometric ratio can be formed without using plasma. In addition, since a thin film can be formed without using plasma, it is possible to adapt to a process that is concerned about plasma damage, such as a SAPT film of DPT.

上述の実施形態等の改質工程は、上述のSiOC膜やSiOCN膜のようなSi系薄膜
を成膜する場合に限らず、例えばチタン(Ti)、ジルコニウム(Zr)、ハフニウム(
Hf)、タンタル(Ta)、アルミニウム(Al)、モリブデン(Mo)等の金属元素と
、Cと、を含む金属炭化膜(メタルカーバイド)、金属酸炭化膜(メタルオキシカーバイ
ド)等の金属系薄膜を成膜する場合にも適用することができる。
The modification process of the above-described embodiment or the like is not limited to the case where a Si-based thin film such as the above-described SiOC film or SiOCN film is formed, and for example, titanium (Ti), zirconium (Zr), hafnium (
Metal-based thin films such as metal carbide films (metal carbide) and metal oxycarbide films (metal oxycarbide) containing metal elements such as Hf), tantalum (Ta), aluminum (Al), molybdenum (Mo), and C. This can also be applied to the case of forming a film.

これらの各種薄膜の成膜に用いられるプロセスレシピ(処理手順や処理条件が記載され
たプログラム)は、基板処理の内容(形成する薄膜の膜種、組成比、膜質、膜厚等)に応
じて、それぞれ個別に用意する(複数用意する)ことが好ましい。そして、基板処理を開
始する際、基板処理の内容に応じて、複数のプロセスレシピの中から、適正なプロセスレ
シピを適宜選択することが好ましい。具体的には、基板処理の内容に応じて個別に用意さ
れた複数のプロセスレシピを、電気通信回線や当該プロセスレシピを記録した記録媒体(
外部記憶装置123)を介して、基板処理装置が備える記憶装置121c内に予め格納(
インストール)しておくことが好ましい。そして、基板処理を開始する際、基板処理装置
が備えるCPU121aが、記憶装置121c内に格納された複数のプロセスレシピの中
から、基板処理の内容に応じて、適正なプロセスレシピを適宜選択することが好ましい。
このように構成することで、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の薄
膜を汎用的に、かつ、再現性よく形成できるようになる。また、オペレータの操作負担(
処理手順や処理条件の入力負担等)を低減でき、操作ミスを回避しつつ、基板処理を迅速
に開始できるようになる。
Process recipes (programs describing processing procedures and processing conditions) used to form these various thin films depend on the contents of the substrate processing (film type, composition ratio, film quality, film thickness, etc. of the thin film to be formed). These are preferably prepared individually (preparing a plurality). And when starting a substrate processing, it is preferable to select a suitable process recipe suitably from several process recipes according to the content of a substrate processing. Specifically, a plurality of process recipes individually prepared in accordance with the contents of the substrate processing are recorded on an electric communication line or a recording medium recording the process recipe (
Stored in advance in the storage device 121c included in the substrate processing apparatus via the external storage device 123).
It is preferable to install). When starting the substrate processing, the CPU 121a included in the substrate processing apparatus appropriately selects an appropriate process recipe from a plurality of process recipes stored in the storage device 121c according to the content of the substrate processing. Is preferred.
With this configuration, thin films with various film types, composition ratios, film qualities, and film thicknesses can be formed for general use with good reproducibility using a single substrate processing apparatus. Also, the operator's operational burden (
It is possible to reduce the burden of input of processing procedures and processing conditions, etc., and to quickly start substrate processing while avoiding operation errors.

上述のプロセスレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既に
インストールされていた既存のプロセスレシピを変更することで用意してもよい。プロセ
スレシピを変更する場合は、変更後のプロセスレシピを、電気通信回線や当該プロセスレ
シピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。既存の基板
処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされてい
た既存のプロセスレシピを直接変更するようにしてもよい。
The above-described process recipe is not limited to the case of creating a new process. For example, an existing process recipe already installed in the substrate processing apparatus may be changed. When changing the process recipe, the changed process recipe may be installed in the substrate processing apparatus via an electric communication line or a recording medium recording the process recipe. The input / output device 122 provided in the existing substrate processing apparatus may be operated to directly change the existing process recipe already installed in the substrate processing apparatus.

上述の実施形態等の成膜シーケンスにおいては、SiOC膜やSiO膜等の形成や、こ
れらの膜の改質を室温にて行う例についても説明した。この場合、ヒータ207による処
理室201内の加熱を行う必要はなく、基板処理装置にヒータを設けなくともよい。これ
により、基板処理装置の加熱系の構成を簡素化することができ、基板処理装置をより安価
で単純な構造とすることができる。この場合において、SiOC膜やSiO膜等の改質工
程を高温で行うときには、SiOC膜やSiO膜等の形成工程を行う処理室とは異なる処
理室で、Ex−Situにて改質工程を行うこととなる。
In the film forming sequence of the above-described embodiment and the like, the example in which the formation of the SiOC film, the SiO film and the like and the modification of these films are performed at room temperature has been described. In this case, it is not necessary to heat the processing chamber 201 with the heater 207, and the substrate processing apparatus may not be provided with a heater. Thereby, the structure of the heating system of a substrate processing apparatus can be simplified, and a substrate processing apparatus can be made cheaper and a simple structure. In this case, when the modification process for the SiOC film, the SiO film, or the like is performed at a high temperature, the modification process is performed in Ex-Situ in a process chamber different from the process chamber for performing the formation process for the SiOC film, the SiO film, or the like. It will be.

また、上述の実施形態等では、一度に複数枚の基板を処理するバッチ式の基板処理装置
を用いて薄膜を成膜する例について説明したが、本発明はこれに限定されず、一度に1枚
または数枚の基板を処理する枚葉式の基板処理装置を用いて薄膜を成膜する場合にも、好
適に適用できる。また、上述の実施形態では、ホットウォール型の処理炉を有する基板処
理装置を用いて薄膜を成膜する例について説明したが、本発明はこれに限定されず、コー
ルドウォール型の処理炉を有する基板処理装置を用いて薄膜を成膜する場合にも、好適に
適用できる。これらの基板処理装置においても、例えば上述の実施形態等のいずれかのシ
ーケンスにおける処理条件と同様の範囲内の処理条件を用いることができる。
Further, in the above-described embodiment and the like, an example in which a thin film is formed using a batch-type substrate processing apparatus that processes a plurality of substrates at one time has been described, but the present invention is not limited to this, and one at a time. The present invention can also be suitably applied to the case where a thin film is formed using a single-wafer type substrate processing apparatus that processes one or several substrates. In the above-described embodiment, an example in which a thin film is formed using a substrate processing apparatus having a hot wall type processing furnace has been described. However, the present invention is not limited to this, and a cold wall type processing furnace is provided. The present invention can also be suitably applied when forming a thin film using a substrate processing apparatus. In these substrate processing apparatuses, for example, processing conditions within the same range as the processing conditions in any of the above-described embodiments and the like can be used.

また、上述の各実施形態および各変形例は、適宜組み合わせて用いることができる。   Moreover, the above-described embodiments and modifications can be used in appropriate combination.

本発明の実施例および比較例として、上述の実施形態における基板処理装置を用いてウ
エハ上に所定の薄膜を形成し、これらの薄膜のアッシング耐性を評価した。上述の図5(
b)に示す成膜シーケンスにより形成し、熱的に改質した薄膜(SiOC膜)を実施例1
とした。上述の図4、図5(a)に示す成膜シーケンスにより形成し、熱的に改質した薄
膜(SiOCN膜)を実施例2とした。上述の図7,図8(a)に示す成膜シーケンスに
より形成し、プラズマを用いて改質した薄膜(SiOCN膜)を実施例3とした。上述の
図4、図5(a)に示す成膜シーケンスのステップ1a,2aのみを所定回数行って改質
工程を行わなかった薄膜(SiOC膜)を比較例とした。これらの薄膜について、O
ラズマを用いたアッシング後に、1%HF水溶液によるウエットエッチングレート(WE
R)を測定した。比較例については、アッシング前のWERも測定した。
As examples and comparative examples of the present invention, a predetermined thin film was formed on a wafer using the substrate processing apparatus in the above-described embodiment, and the ashing resistance of these thin films was evaluated. Figure 5 above
A thin film (SiOC film) formed by the film forming sequence shown in b) and thermally modified is shown in Example 1.
It was. A thin film (SiOCN film) formed by the film forming sequence shown in FIG. 4 and FIG. A thin film (SiOCN film) formed by the film forming sequence shown in FIG. 7 and FIG. A thin film (SiOC film) in which only the steps 1a and 2a of the film forming sequence shown in FIG. 4 and FIG. About these thin films, after ashing using O 2 plasma, a wet etching rate (WE) with a 1% HF aqueous solution is used.
R) was measured. About the comparative example, WER before ashing was also measured.

図16は、実施例および比較例の各薄膜のWERを示すグラフである。グラフの縦軸は
WER(a.u.)を示している。グラフの横軸はそれぞれの評価例を示しており、左か
ら順に、比較例(アッシング前)、比較例(アッシング後)、実施例1、実施例2、およ
び実施例3(実施例は全てアッシング後)を示している。図16によれば、改質処理を行
っていない比較例の薄膜であっても、アッシング前であればWERが低く抑えられている
ことが分かる。しかし、アッシング後の比較例の薄膜では、WERが顕著に高まっており
、エッチング耐性の著しい悪化が認められる。一方で、何らかの改質処理を行った実施例
1〜3の薄膜については、WERは低いままに抑えられており、良好なエッチング耐性を
維持できていることが分かる。熱的に改質を行った実施例1,2では、Cを更に含むよう
改質された実施例1の薄膜の方が、Nを更に含むよう改質された実施例2の薄膜よりも、
いっそうWERが低く、高いエッチング耐性を示した。プラズマにより改質を行った実施
例3は、熱的に改質を行った実施例1,2よりも更にいっそうWERが低く、高いエッチ
ング耐性を示した。以上のことから、SiOC膜等の薄膜を形成する途中や形成した後に
、薄膜の改質処理を行うと、高エッチング耐性および高アッシング耐性を有する薄膜とな
ることが分かった。特に、プラズマを用いた改質処理により、いっそう高エッチング耐性
および高アッシング耐性を有する薄膜が得られることが分かった。
FIG. 16 is a graph showing the WER of each thin film of the example and the comparative example. The vertical axis of the graph represents WER (au). The horizontal axis of the graph shows each evaluation example. From left to right, the comparative example (before ashing), the comparative example (after ashing), the example 1, the example 2, and the example 3 (all examples are ashing). After). According to FIG. 16, it can be seen that the WER is kept low even before the ashing even in the comparative example thin film not subjected to the modification treatment. However, in the thin film of the comparative example after ashing, the WER is remarkably increased and the etching resistance is remarkably deteriorated. On the other hand, about the thin film of Examples 1-3 which performed some modification | reformation processes, it turns out that WER is restrained with low and can maintain favorable etching tolerance. In Examples 1 and 2 that were thermally modified, the thin film of Example 1 that was modified to further contain C was less than the thin film of Example 2 that was further modified to further contain N.
The WER was even lower and the etching resistance was high. In Example 3 in which the modification was performed by plasma, the WER was much lower than in Examples 1 and 2 in which the modification was performed thermally, and the etching resistance was high. From the above, it has been found that when a thin film modification process is performed during or after the formation of a thin film such as a SiOC film, the thin film has high etching resistance and high ashing resistance. In particular, it has been found that a thin film having higher etching resistance and higher ashing resistance can be obtained by the modification treatment using plasma.

<本発明の好ましい態様>
以下、本発明の好ましい態様について付記する。
<Preferred embodiment of the present invention>
Hereinafter, preferred embodiments of the present invention will be additionally described.

(付記1)
本発明の一態様によれば、
基板に対してシリコン源および炭素源となる原料ガスまたはシリコン源となるが炭素源
とはならない原料ガスと、触媒ガスとを供給する工程と、
前記基板に対して酸化ガスと触媒ガスとを供給する工程と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する
工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する工程を有
する半導体装置の製造方法が提供される。
(Appendix 1)
According to one aspect of the invention,
Supplying a source gas that is a silicon source and a carbon source to the substrate or a source gas that is a silicon source but not a carbon source, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A semiconductor device manufacturing method including a step of forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including Is done.

(付記2)
付記1の半導体装置の製造方法であって、好ましくは、
前記原料ガスと触媒ガスとを供給する工程と、
前記酸化ガスと触媒ガスとを供給する工程とは、ノンプラズマの雰囲気下で行われる。
(Appendix 2)
A method of manufacturing a semiconductor device according to appendix 1, preferably,
Supplying the source gas and the catalyst gas;
The step of supplying the oxidizing gas and the catalyst gas is performed in a non-plasma atmosphere.

(付記3)
付記1または2の半導体装置の製造方法であって、好ましくは、
前記原料ガスと触媒ガスとを供給する工程と、
前記酸化ガスと触媒ガスとを供給する工程とでは、
前記基板の温度を室温以上150℃以下、好ましくは室温以上100℃以下、より好ま
しくは50℃以上100℃以下の温度とする。
(Appendix 3)
A method for manufacturing a semiconductor device according to appendix 1 or 2, preferably,
Supplying the source gas and the catalyst gas;
In the step of supplying the oxidizing gas and the catalyst gas,
The temperature of the substrate is set to room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C.

(付記4)
付記1〜3のいずれかの半導体装置の製造方法であって、好ましくは、
前記サイクルは、
前記原料ガスと触媒ガスとを供給する工程と、
前記酸化ガスと触媒ガスとを供給する工程と、
を含むセットを所定回数行うことにより、前記基板上に、少なくともシリコンおよび酸
素を含む第1の薄膜(シリコン、酸素、および炭素を含む第1の薄膜、またはシリコンお
よび酸素を含む第1の薄膜)を形成する工程と、
前記改質ガスを供給する工程を行うことにより、前記第1の薄膜を、炭素を更に含む第
2の薄膜、窒素を更に含む第2の薄膜、または炭素と窒素とを更に含む第2の薄膜に改質
する工程と、を含む。
(Appendix 4)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 3, preferably,
The cycle is
Supplying the source gas and the catalyst gas;
Supplying the oxidizing gas and the catalyst gas;
The first thin film containing at least silicon and oxygen (the first thin film containing silicon, oxygen, and carbon, or the first thin film containing silicon and oxygen) is formed on the substrate by performing a set including a predetermined number of times. Forming a step;
By performing the step of supplying the reformed gas, the first thin film is changed into a second thin film further containing carbon, a second thin film further containing nitrogen, or a second thin film further containing carbon and nitrogen. And a step of reforming.

(付記5)
付記4の半導体装置の製造方法であって、好ましくは、
前記第1の薄膜を形成する工程および前記第1の薄膜を改質する工程は、前記基板を同
一の処理室内に収容した状態で行われる。
(Appendix 5)
A method for manufacturing a semiconductor device according to appendix 4, preferably,
The step of forming the first thin film and the step of modifying the first thin film are performed in a state where the substrate is accommodated in the same processing chamber.

(付記6)
付記4の半導体装置の製造方法であって、好ましくは、
前記第1の薄膜を形成する工程および前記第1の薄膜を改質する工程は、前記基板をそ
れぞれ異なる処理室内に収容した状態で行われる。
(Appendix 6)
A method for manufacturing a semiconductor device according to appendix 4, preferably,
The step of forming the first thin film and the step of modifying the first thin film are performed in a state where the substrates are accommodated in different processing chambers.

(付記7)
付記1〜3のいずれかの半導体装置の製造方法であって、好ましくは、
前記サイクルは、
前記原料ガスと触媒ガスとを供給する工程と、
前記酸化ガスと触媒ガスとを供給する工程と、
前記改質ガスを供給する工程と、をこの順に行う工程を含む。
(Appendix 7)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 3, preferably,
The cycle is
Supplying the source gas and the catalyst gas;
Supplying the oxidizing gas and the catalyst gas;
And a step of supplying the reformed gas in this order.

(付記8)
付記1〜7のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスを供給する工程では、前記基板の温度を、
前記原料ガスと触媒ガスとを供給する工程と、
前記酸化ガスと触媒ガスとを供給する工程と、における前記基板の温度と等しい温度と
する。
(Appendix 8)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 7, preferably,
In the step of supplying the reformed gas, the temperature of the substrate is
Supplying the source gas and the catalyst gas;
The temperature is set equal to the temperature of the substrate in the step of supplying the oxidizing gas and the catalyst gas.

(付記9)
付記1〜6のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスを供給する工程では、前記基板の温度を室温以上500℃以下の温度とす
る。
(Appendix 9)
A method of manufacturing a semiconductor device according to any one of appendices 1 to 6, preferably,
In the step of supplying the reformed gas, the temperature of the substrate is set to a temperature of room temperature to 500 ° C.

(付記10)
付記1〜6のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスを供給する工程では、前記基板の温度を200℃以上900℃以下、好ま
しくは200℃以上700℃以下、より好ましくは200℃以上600℃以下の温度とす
る。
(Appendix 10)
A method of manufacturing a semiconductor device according to any one of appendices 1 to 6, preferably,
In the step of supplying the reformed gas, the temperature of the substrate is set to 200 ° C. or higher and 900 ° C. or lower, preferably 200 ° C. or higher and 700 ° C. or lower, more preferably 200 ° C. or higher and 600 ° C. or lower.

(付記11)
付記1〜6,10の半導体装置の製造方法であって、好ましくは、
前記改質ガスを供給する工程は、ノンプラズマの雰囲気下で行われる。
(Appendix 11)
A method for manufacturing a semiconductor device according to appendices 1 to 6, 10, preferably,
The step of supplying the reformed gas is performed in a non-plasma atmosphere.

(付記12)
付記1〜9のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスを供給する工程では、プラズマ状態に励起された前記改質ガスを前記基板
に対して供給する。
(Appendix 12)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 9, preferably,
In the step of supplying the reformed gas, the reformed gas excited to a plasma state is supplied to the substrate.

(付記13)
本発明の他の態様によれば、
基板に対してシリコン、炭素およびハロゲン元素を含みSi−C結合を有する原料ガス
と、触媒ガスとを供給する工程と、
前記基板に対して酸化ガスと触媒ガスとを供給する工程と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する
工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する工程を有
する半導体装置の製造方法が提供される。
(Appendix 13)
According to another aspect of the invention,
Supplying a source gas containing silicon, carbon and halogen elements and having a Si-C bond to the substrate, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A semiconductor device manufacturing method including a step of forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including Is done.

(付記14)
付記13の半導体装置の製造方法であって、好ましくは、
前記原料ガスは、アルキル基およびアルキレン基のうち少なくともいずれかを含む。
(Appendix 14)
The method for manufacturing a semiconductor device according to appendix 13, preferably,
The source gas includes at least one of an alkyl group and an alkylene group.

(付記15)
付記14の半導体装置の製造方法であって、好ましくは、
前記アルキレン基を含む前記原料ガスは、Si−C−Si結合およびSi−C−C−S
i結合のうち少なくともいずれかを有する。
(Appendix 15)
The method for manufacturing a semiconductor device according to appendix 14, preferably,
The source gas containing the alkylene group includes Si—C—Si bond and Si—C—C—S.
at least one of i-bonds.

(付記16)
付記13〜15のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスは、炭素含有ガス、窒素含有ガス、および1分子中に炭素と窒素とを含有
するガスのうち少なくともいずれかを含む。
(Appendix 16)
A method for manufacturing a semiconductor device according to any one of appendices 13 to 15, preferably,
The reformed gas includes at least one of a carbon-containing gas, a nitrogen-containing gas, and a gas containing carbon and nitrogen in one molecule.

(付記17)
本発明のさらに他の態様によれば、
基板に対してシリコンおよびハロゲン元素を含む原料ガスと、触媒ガスとを供給する工
程と、
前記基板に対して酸化ガスと触媒ガスとを供給する工程と、
前記基板に対して炭素および窒素のうち少なくとも炭素を含む改質ガスを供給する工程
と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する工程を有
する半導体装置の製造方法が提供される。
(Appendix 17)
According to yet another aspect of the invention,
Supplying a source gas containing silicon and a halogen element to the substrate and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate;
Supplying a reformed gas containing at least carbon of carbon and nitrogen to the substrate;
A semiconductor device manufacturing method including a step of forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including Is done.

(付記18)
付記17の半導体装置の製造方法であって、好ましくは、
前記原料ガスは、シリコンおよび塩素(クロロ基)を含むクロロシラン系原料ガスを含
む。
(Appendix 18)
The method for manufacturing a semiconductor device according to appendix 17, preferably,
The source gas includes a chlorosilane-based source gas containing silicon and chlorine (chloro group).

(付記19)
付記17または18の半導体装置の製造方法であって、好ましくは、
前記改質ガスは、炭素含有ガス、炭素含有ガスと窒素含有ガスとの両方のガス、および
1分子中に炭素と窒素とを含有するガスのうち少なくともいずれかを含む。
(Appendix 19)
A method for manufacturing a semiconductor device according to appendix 17 or 18, preferably,
The reformed gas includes at least one of a carbon-containing gas, a gas containing both a carbon-containing gas and a nitrogen-containing gas, and a gas containing carbon and nitrogen in one molecule.

(付記20)
付記1〜19のいずれかの半導体装置の製造方法であって、好ましくは、
前記改質ガスは、炭化水素系ガス、アミン系ガス、および非アミン系ガスのうち少なく
ともいずれかを含む。
(Appendix 20)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 19, preferably,
The reformed gas includes at least one of a hydrocarbon gas, an amine gas, and a non-amine gas.

(付記21)
付記1〜20のいずれかの半導体装置の製造方法であって、好ましくは、
前記触媒ガスは、アミン系触媒ガスを含む。
(Appendix 21)
A method for manufacturing a semiconductor device according to any one of appendices 1 to 20, preferably,
The catalyst gas includes an amine catalyst gas.

(付記22)
本発明のさらに他の態様によれば、
基板に対してシリコン源および炭素源となる原料ガスまたはシリコン源となるが炭素源
とはならない原料ガスと、触媒ガスとを供給する工程と、
前記基板に対して酸化ガスと触媒ガスとを供給する工程と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する
工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する工程を有
する基板処理方法が提供される。
(Appendix 22)
According to yet another aspect of the invention,
Supplying a source gas that is a silicon source and a carbon source to the substrate or a source gas that is a silicon source but not a carbon source, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A substrate processing method including a step of forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate is provided by performing a cycle including a predetermined number of times. .

(付記23)
本発明のさらに他の態様によれば、
基板を収容する処理室と、
前記処理室内へシリコン源および炭素源となる原料ガスまたはシリコン源となるが炭素
源とはならない原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内へ炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する改
質ガス供給系と、
前記処理室内の基板に対して前記原料ガスと触媒ガスとを供給する処理と、前記処理室
内の前記基板に対して前記酸化ガスと触媒ガスとを供給する処理と、前記処理室内の前記
基板に対して前記改質ガスを供給する処理と、を含むサイクルを所定回数行うことにより
、前記基板上に、シリコン、酸素、および炭素を含む薄膜、またはシリコン、酸素、炭素
、および窒素を含む薄膜を形成する処理を行うように前記原料ガス供給系、前記酸化ガス
供給系、前記触媒ガス供給系、および前記改質ガス供給系を制御する制御部と、
を有する基板処理装置が提供される。
(Appendix 23)
According to yet another aspect of the invention,
A processing chamber for accommodating the substrate;
A raw material gas supply system for supplying a raw material gas that becomes a silicon source and a carbon source or a silicon source but does not become a carbon source into the processing chamber;
An oxidizing gas supply system for supplying an oxidizing gas into the processing chamber;
A catalyst gas supply system for supplying a catalyst gas into the processing chamber;
A reformed gas supply system for supplying a reformed gas containing at least one of carbon and nitrogen into the processing chamber;
A process for supplying the source gas and the catalyst gas to the substrate in the process chamber; a process for supplying the oxidizing gas and the catalyst gas to the substrate in the process chamber; and the substrate in the process chamber. A thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate A control unit for controlling the raw material gas supply system, the oxidizing gas supply system, the catalyst gas supply system, and the reformed gas supply system so as to perform the forming process;
A substrate processing apparatus is provided.

(付記24)
本発明のさらに他の態様によれば、
基板上に第1の薄膜を形成する第1基板処理部と、前記第1の薄膜を改質する第2基板
処理部と、を有する基板処理システムであって、
前記第1基板処理部は、
基板を収容する第1処理室と、
前記第1処理室内へシリコン源および炭素源となる原料ガスまたはシリコン源となるが
炭素源とはならない原料ガス供給系と、
前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記第1処理室内の基板に対して前記原料ガスと触媒ガスとを供給する処理と、前記第
1処理室内の前記基板に対して前記酸化ガスと触媒ガスとを供給する処理と、を含むサイ
クルを所定回数行うことにより、前記基板上に、シリコン、酸素および炭素を含む第1の
薄膜、またはシリコンおよび酸素を含む第1の薄膜を形成する処理を行うように前記原料
ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を
有し、
前記第2基板処理部は、
前記第1の薄膜が形成された基板を収容する第2処理室と、
前記第2処理室内へ炭素および窒素のうち少なくともいずれかを含む改質ガスを供給す
る改質ガス供給系と、
前記第2処理室内の前記基板に対して前記改質ガスを供給する処理を行うことにより、
前記第1の薄膜を、シリコン、酸素、および炭素を含む第2の薄膜、またはシリコン、酸
素、炭素、および窒素を含む第2の薄膜に改質する処理を行うように前記改質ガス供給系
を制御する第2制御部と、を有する
基板処理システムが提供される。
(Appendix 24)
According to yet another aspect of the invention,
A substrate processing system comprising: a first substrate processing unit that forms a first thin film on a substrate; and a second substrate processing unit that modifies the first thin film,
The first substrate processing unit includes:
A first processing chamber for accommodating a substrate;
A source gas supply system that becomes a silicon source and a carbon source or a silicon source but does not become a carbon source into the first processing chamber;
An oxidizing gas supply system for supplying an oxidizing gas into the first processing chamber;
A catalyst gas supply system for supplying a catalyst gas into the first processing chamber;
A cycle including a process of supplying the source gas and the catalyst gas to the substrate in the first processing chamber, and a process of supplying the oxidizing gas and the catalyst gas to the substrate in the first processing chamber. Is performed a predetermined number of times, the source gas supply system, the oxidation so as to perform a process of forming a first thin film containing silicon, oxygen and carbon, or a first thin film containing silicon and oxygen on the substrate. A first control unit that controls the gas supply system and the catalyst gas supply system,
The second substrate processing unit includes:
A second processing chamber for accommodating a substrate on which the first thin film is formed;
A reformed gas supply system for supplying a reformed gas containing at least one of carbon and nitrogen into the second processing chamber;
By performing the process of supplying the reformed gas to the substrate in the second processing chamber,
The reformed gas supply system so as to perform a process of modifying the first thin film into a second thin film containing silicon, oxygen, and carbon, or a second thin film containing silicon, oxygen, carbon, and nitrogen. And a second control unit that controls the substrate processing system.

(付記25)
本発明のさらに他の態様によれば、
処理室内の基板に対してシリコン源および炭素源となる原料ガスまたはシリコン源とな
るが炭素源とはならない原料ガスと、触媒ガスとを供給する手順と、
前記処理室内の前記基板に対して酸化ガスと触媒ガスとを供給する手順と、
前記処理室内の前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質
ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭
素を含む薄膜、またはシリコン、酸素、炭素、および窒素を含む薄膜を形成する手順をコ
ンピュータに実行させるプログラム、及び該プログラムを記録したコンピュータ読み取り
可能な記録媒体が提供される。
(Appendix 25)
According to yet another aspect of the invention,
A procedure for supplying a source gas that is a silicon source and a carbon source or a silicon source but not a carbon source to the substrate in the processing chamber, and a catalyst gas;
Supplying an oxidizing gas and a catalyst gas to the substrate in the processing chamber;
Supplying a reformed gas containing at least one of carbon and nitrogen to the substrate in the processing chamber;
A program for causing a computer to execute a procedure for forming a thin film containing silicon, oxygen, and carbon or a thin film containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including A computer-readable recording medium recording the program is provided.

121 コントローラ(制御部)
200 ウエハ(基板)
201 処理室
202 処理炉
203 反応管
207 ヒータ
209 マニホールド
231 排気管
232a〜232j ガス供給管
244 APCバルブ(圧力調整部)
121 Controller (control unit)
200 wafer (substrate)
201 processing chamber 202 processing furnace 203 reaction tube 207 heater 209 manifold 231 exhaust pipes 232a to 232j gas supply pipe 244 APC valve (pressure adjusting unit)

Claims (12)

基板に対して、シリコン、炭素およびハロゲン元素を含むか、もしくは、シリコンおよびハロゲン元素を含む原料ガスと、触媒ガスとを供給する第1工程と、前記基板に対して酸化ガスと触媒ガスとを供給する第2工程と、を含むセットを所定回数行う工程と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する第3工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭素を含むか、もしくは、シリコン、酸素、炭素、および窒素を含む膜を形成する工程を有する半導体装置の製造方法。
A first step of supplying a substrate gas containing silicon, carbon and a halogen element or a source gas containing silicon and a halogen element and a catalyst gas to the substrate; and an oxidizing gas and a catalyst gas for the substrate. A step of performing a set including a second step of supplying a predetermined number of times,
A third step of supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A method of manufacturing a semiconductor device comprising a step of forming a film containing silicon, oxygen, and carbon or containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including the predetermined number of times.
前記原料ガスは、シリコンと炭素との化学結合およびシリコンとハロゲン元素との化学結合を有するか、もしくは、シリコンとハロゲン元素との化学結合を有する請求項1に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the source gas has a chemical bond between silicon and carbon and a chemical bond between silicon and a halogen element, or has a chemical bond between silicon and a halogen element. 前記第1工程と前記第2工程とを含むセットを所定回数行うことにより、シリコン、酸素、および炭素を含むか、もしくは、シリコンおよび酸素を含む第1の層を形成し、
前記第3工程を行うことにより、前記第1の層を、炭素を更に含むか、窒素を更に含むか、もしくは、炭素と窒素とを更に含む第2の層に改質する請求項1または2に記載の半導体装置の製造方法。
By performing a set including the first step and the second step a predetermined number of times, silicon, oxygen and carbon are included, or a first layer containing silicon and oxygen is formed,
3. The first layer is modified into a second layer further containing carbon, further containing nitrogen, or further containing carbon and nitrogen by performing the third step. The manufacturing method of the semiconductor device as described in any one of Claims 1-3.
前記セットを複数回行う請求項1乃至3のいずれかに記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the setting is performed a plurality of times. 前記サイクルを複数回行う請求項1乃至4のいずれかに記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the cycle is performed a plurality of times. 前記第1工程、前記第2工程、および前記第3工程は、ノンプラズマの雰囲気下で行われる請求項1乃至5のいずれかに記載の半導体装置の製造方法。   6. The method of manufacturing a semiconductor device according to claim 1, wherein the first step, the second step, and the third step are performed in a non-plasma atmosphere. 前記第1工程および前記第2工程は、ノンプラズマの雰囲気下で行われ、
前記第3工程では、プラズマ励起させた前記改質ガスを前記基板に対して供給する請求項1乃至5のいずれかに記載の半導体装置の製造方法。
The first step and the second step are performed in a non-plasma atmosphere,
6. The method of manufacturing a semiconductor device according to claim 1, wherein in the third step, the plasma-excited reformed gas is supplied to the substrate.
前記第3工程では、前記基板の温度を、前記第1工程および前記第2工程における前記基板の温度と等しい温度とする請求項1乃至7のいずれかに記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein, in the third step, the temperature of the substrate is equal to the temperature of the substrate in the first step and the second step. 前記第3工程では、前記基板の温度を、前記第1工程および前記第2工程における前記基板の温度よりも高い温度とする請求項1乃至7のいずれかに記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein, in the third step, the temperature of the substrate is higher than the temperature of the substrate in the first step and the second step. 基板を収容する処理室と、
前記処理室内へシリコン、炭素およびハロゲン元素を含むか、もしくは、シリコンおよびハロゲン元素を含む原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内へ炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する改質ガス供給系と、
前記処理室内において、基板に対して前記原料ガスと触媒ガスとを供給する第1処理と、前記基板に対して前記酸化ガスと触媒ガスとを供給する第2処理と、を含むセットを所定回数行う処理と、前記基板に対して前記改質ガスを供給する第3処理と、を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭素を含むか、もしくは、シリコン、酸素、炭素、および窒素を含む膜を形成する処理を行わせるように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系、および前記改質ガス供給系を制御するよう構成される制御部と、
を有する基板処理装置。
A processing chamber for accommodating the substrate;
A source gas supply system that supplies silicon, carbon and a halogen element into the processing chamber or supplies a source gas containing silicon and a halogen element;
An oxidizing gas supply system for supplying an oxidizing gas into the processing chamber;
A catalyst gas supply system for supplying a catalyst gas into the processing chamber;
A reformed gas supply system for supplying a reformed gas containing at least one of carbon and nitrogen into the processing chamber;
In the processing chamber, a set including a first process for supplying the source gas and the catalyst gas to the substrate and a second process for supplying the oxidizing gas and the catalyst gas to the substrate is performed a predetermined number of times. The substrate includes silicon, oxygen, and carbon on the substrate by performing a predetermined number of cycles including a process to be performed and a third process for supplying the reformed gas to the substrate, or silicon, The raw material gas supply system, the oxidizing gas supply system, the catalyst gas supply system, and the reformed gas supply system are controlled so as to perform a process of forming a film containing oxygen, carbon, and nitrogen. A control unit,
A substrate processing apparatus.
基板に対して、シリコン、炭素およびハロゲン元素を含むか、もしくは、シリコンおよびハロゲン元素を含む原料ガスと、触媒ガスとを供給する第1手順と、前記基板に対して酸化ガスと触媒ガスとを供給する第2手順と、を含むセットを所定回数行う手順と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する第3手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭素を含むか、もしくは、シリコン、酸素、炭素、および窒素を含む膜を形成する手順をコンピュータに実行させるプログラム。
A first procedure for supplying a substrate gas containing silicon, carbon and a halogen element or a source gas containing silicon and a halogen element and a catalyst gas to the substrate, and an oxidizing gas and a catalyst gas for the substrate. A second procedure of supplying, a procedure of performing a set including a predetermined number of times,
A third procedure for supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A program that causes a computer to execute a procedure of forming a film containing silicon, oxygen, and carbon or containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including the above.
基板に対して、シリコン、炭素およびハロゲン元素を含むか、もしくは、シリコンおよびハロゲン元素を含む原料ガスと、触媒ガスとを供給する第1手順と、前記基板に対して酸化ガスと触媒ガスとを供給する第2手順と、を含むセットを所定回数行う手順と、
前記基板に対して炭素および窒素のうち少なくともいずれかを含む改質ガスを供給する第3手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、シリコン、酸素、および炭素を含むか、もしくは、シリコン、酸素、炭素、および窒素を含む膜を形成する手順をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。
A first procedure for supplying a substrate gas containing silicon, carbon and a halogen element or a source gas containing silicon and a halogen element and a catalyst gas to the substrate, and an oxidizing gas and a catalyst gas for the substrate. A second procedure of supplying, a procedure of performing a set including a predetermined number of times,
A third procedure for supplying a reformed gas containing at least one of carbon and nitrogen to the substrate;
A program that causes a computer to execute a procedure for forming a film containing silicon, oxygen, and carbon or containing silicon, oxygen, carbon, and nitrogen on the substrate by performing a cycle including Computer-readable recording medium.
JP2015149822A 2015-07-29 2015-07-29 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium Active JP5957128B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015149822A JP5957128B2 (en) 2015-07-29 2015-07-29 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015149822A JP5957128B2 (en) 2015-07-29 2015-07-29 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013186482A Division JP5788448B2 (en) 2013-09-09 2013-09-09 Semiconductor device manufacturing method, substrate processing apparatus, and program

Publications (2)

Publication Number Publication Date
JP2015195410A true JP2015195410A (en) 2015-11-05
JP5957128B2 JP5957128B2 (en) 2016-07-27

Family

ID=54434124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015149822A Active JP5957128B2 (en) 2015-07-29 2015-07-29 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Country Status (1)

Country Link
JP (1) JP5957128B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110896023A (en) * 2018-09-13 2020-03-20 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
JPWO2019064434A1 (en) * 2017-09-28 2020-05-28 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2020519007A (en) * 2017-05-05 2020-06-25 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
CN113243042A (en) * 2019-03-05 2021-08-10 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN113316836A (en) * 2019-03-20 2021-08-27 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
US11728164B2 (en) 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
JP7437362B2 (en) 2021-09-28 2024-02-22 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing equipment, substrate processing method and program
KR20240038981A (en) 2021-09-21 2024-03-26 가부시키가이샤 코쿠사이 엘렉트릭 Semiconductor device manufacturing method, gas supply method, substrate processing device and program

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004260192A (en) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane compound
JP2009272558A (en) * 2008-05-09 2009-11-19 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, semiconductor device, and semiconductor manufacturing device
JP2010219500A (en) * 2009-02-17 2010-09-30 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
JP2013140945A (en) * 2011-12-09 2013-07-18 Hitachi Kokusai Electric Inc Manufacturing method for semiconductor device, substrate processing method, substrate processing device, and program

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004260192A (en) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane compound
JP2009272558A (en) * 2008-05-09 2009-11-19 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, semiconductor device, and semiconductor manufacturing device
JP2010219500A (en) * 2009-02-17 2010-09-30 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
JP2013140945A (en) * 2011-12-09 2013-07-18 Hitachi Kokusai Electric Inc Manufacturing method for semiconductor device, substrate processing method, substrate processing device, and program

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020519007A (en) * 2017-05-05 2020-06-25 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11728164B2 (en) 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
JPWO2019064434A1 (en) * 2017-09-28 2020-05-28 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
CN110896023A (en) * 2018-09-13 2020-03-20 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN113243042A (en) * 2019-03-05 2021-08-10 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN113243042B (en) * 2019-03-05 2024-04-09 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
CN113316836A (en) * 2019-03-20 2021-08-27 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN113316836B (en) * 2019-03-20 2024-04-09 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR20240038981A (en) 2021-09-21 2024-03-26 가부시키가이샤 코쿠사이 엘렉트릭 Semiconductor device manufacturing method, gas supply method, substrate processing device and program
JP7437362B2 (en) 2021-09-28 2024-02-22 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing equipment, substrate processing method and program

Also Published As

Publication number Publication date
JP5957128B2 (en) 2016-07-27

Similar Documents

Publication Publication Date Title
JP5788448B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6155063B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US9673043B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium
JP5957128B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
JP5864637B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
JP6154215B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6125946B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6022274B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102319147B1 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
JP6009870B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6129573B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6022276B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6111097B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JPWO2013054655A1 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and recording medium
JP6111317B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, program, and recording medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160614

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160617

R150 Certificate of patent or registration of utility model

Ref document number: 5957128

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250