JP2015185806A - substrate processing apparatus - Google Patents

substrate processing apparatus Download PDF

Info

Publication number
JP2015185806A
JP2015185806A JP2014063697A JP2014063697A JP2015185806A JP 2015185806 A JP2015185806 A JP 2015185806A JP 2014063697 A JP2014063697 A JP 2014063697A JP 2014063697 A JP2014063697 A JP 2014063697A JP 2015185806 A JP2015185806 A JP 2015185806A
Authority
JP
Japan
Prior art keywords
substrate
liquid
liquid film
processing
ipa
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014063697A
Other languages
Japanese (ja)
Other versions
JP6376554B2 (en
Inventor
小林 健司
Kenji Kobayashi
健司 小林
学 奥谷
Manabu Okutani
学 奥谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Priority to JP2014063697A priority Critical patent/JP6376554B2/en
Priority to KR1020150027578A priority patent/KR102308587B1/en
Priority to TW104107699A priority patent/TWI682477B/en
Priority to US14/662,294 priority patent/US20150270146A1/en
Publication of JP2015185806A publication Critical patent/JP2015185806A/en
Priority to US16/021,640 priority patent/US10475670B2/en
Application granted granted Critical
Publication of JP6376554B2 publication Critical patent/JP6376554B2/en
Priority to US16/592,146 priority patent/US11139180B2/en
Priority to KR1020210126734A priority patent/KR102390749B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To excellently dry a substrate surface while suppressing or preventing pattern collapse.SOLUTION: The substrate processing apparatus includes: a heater that, by heating a substrate W held on a hot plate at a temperature equal to or higher than a boiling point of a process liquid in a state where the whole top face area of the substrate W is covered by a liquid film of the process liquid, causes the process liquid to evaporate and forms a gas phase between the liquid film of the process liquid and a top face of the substrate W; and a control device that controls a plurality of heaters. The control device includes: an information acceptance part to which substrate information containing a surface state of the substrate is input; and a temperature setting part that sets temperatures of a plurality of heaters for each heater on the basis of the substrate information input to the information acceptance part.

Description

本発明は、基板を処理する基板処理装置に関する。処理対象となる基板には、たとえば、半導体ウエハ、液晶表示装置用基板、プラズマディスプレイ用基板、FED(Field Emission Display)用基板、光ディスク用基板、磁気ディスク用基板、光磁気ディスク用基板、フォトマスク用基板、セラミック基板、太陽電池用基板などが含まれる。   The present invention relates to a substrate processing apparatus for processing a substrate. Examples of substrates to be processed include semiconductor wafers, liquid crystal display substrates, plasma display substrates, FED (Field Emission Display) substrates, optical disk substrates, magnetic disk substrates, magneto-optical disk substrates, and photomasks. Substrate, ceramic substrate, solar cell substrate and the like.

半導体装置の製造工程では、半導体ウエハ等の基板の表面が処理液で処理される。基板を一枚ずつ処理する枚葉式の基板処理装置は、基板をほぼ水平に保持しつつ、その基板を回転させるスピンチャックと、このスピンチャックによって回転される基板の表面に処理液を供給するためのノズルとを備えている。
スピンチャックに保持された基板に対して薬液が供給される。その後、リンス液が基板に供給される。これにより、基板上の薬液がリンス液に置換される。その後、基板上のリンス液を排除するためのスピンドライ工程が行われる。スピンドライ工程では、基板が高速回転されることにより、基板に付着しているリンス液が振り切られて除去(乾燥)される。
In the manufacturing process of a semiconductor device, the surface of a substrate such as a semiconductor wafer is processed with a processing liquid. A single-wafer type substrate processing apparatus that processes substrates one by one supplies a processing liquid to a spin chuck that rotates the substrate while holding the substrate substantially horizontal, and a surface of the substrate that is rotated by the spin chuck. And a nozzle for the purpose.
A chemical is supplied to the substrate held by the spin chuck. Thereafter, a rinse liquid is supplied to the substrate. Thereby, the chemical | medical solution on a board | substrate is substituted by the rinse liquid. Thereafter, a spin dry process for removing the rinse liquid on the substrate is performed. In the spin dry process, when the substrate is rotated at a high speed, the rinse liquid adhering to the substrate is shaken off and removed (dried).

このようなスピンドライ工程では、基板に形成されたパターンの内部に入り込んだリンス液を十分に除去できない結果、乾燥不良が生じるおそれがある。そのため、たとえば特許文献1に記載されているように、リンス処理後の基板の表面に、イソプロピルアルコール(isopropyl alcohol:IPA)液等の有機溶剤の液体を供給して、パターンの内部に入り込んだリンス液を有機溶剤の液体に置換し、基板の表面を乾燥させる手法が提案されている。   In such a spin dry process, the rinsing liquid that has entered the pattern formed on the substrate cannot be sufficiently removed, resulting in poor drying. Therefore, as described in, for example, Patent Document 1, an organic solvent liquid such as isopropyl alcohol (IPA) liquid is supplied to the surface of the substrate after the rinsing process, and the rinsing enters the pattern. A technique for replacing the liquid with an organic solvent liquid and drying the surface of the substrate has been proposed.

特開平9−38595号公報JP-A-9-38595

図21に示すように、基板の高速回転により基板を乾燥させるスピンドライ工程では、液面(気液の界面)がパターン内に形成される。表面張力は、液面とパターンとの接触位置(気体、液体、および固体の界面)に働く。表面張力は、パターン倒壊を発生させる原因の一つである。
特許文献1のように、スピンドライ工程の前に有機溶剤の液体を基板に供給する場合には、表面張力の低い有機溶剤の液体がパターン間に存在するので、基板の乾燥時にパターンに加わる力が低下する結果、パターン倒壊を防止できると考えられている。
As shown in FIG. 21, in a spin dry process in which a substrate is dried by high-speed rotation of the substrate, a liquid level (gas-liquid interface) is formed in the pattern. The surface tension acts on the contact position (gas / liquid / solid interface) between the liquid surface and the pattern. Surface tension is one of the causes of pattern collapse.
When the organic solvent liquid is supplied to the substrate before the spin-drying process as in Patent Document 1, since the organic solvent liquid having a low surface tension exists between the patterns, the force applied to the pattern when the substrate is dried As a result, the pattern collapse can be prevented.

しかしながら、近年、高集積化のために、微細で高アスペクト比の微細パターン(凸状パターン、ライン状のパターンなど)が基板に表面に形成されている。微細で高アスペクト比の微細パターンは強度が低いので、スピンドライ工程の前に有機溶剤の液体を基板の表面に供給するだけでは、このような微細パターンの倒壊を十分に抑制できないおそれがある。   However, in recent years, for high integration, fine and high aspect ratio fine patterns (convex patterns, line patterns, etc.) are formed on the surface of the substrate. Since the fine pattern with a high aspect ratio is low in strength, there is a possibility that such collapse of the fine pattern cannot be sufficiently suppressed only by supplying an organic solvent liquid to the surface of the substrate before the spin drying process.

そこで、本発明の目的は、パターンの倒壊を抑制または防止しつつ、基板の表面を良好に乾燥させることができる、基板処理装置を提供することである。   SUMMARY OF THE INVENTION An object of the present invention is to provide a substrate processing apparatus that can satisfactorily dry the surface of a substrate while suppressing or preventing pattern collapse.

前記目的を達成するための請求項1に記載の発明は、基板を水平に保持する基板保持手段と、前記基板保持手段に保持されている基板の上面に処理液を供給することにより、基板の上面全域を覆う処理液の液膜を形成する処理液供給手段と、前記基板保持手段に保持されている基板の上面全域をそれぞれ独立した温度で加熱する複数のヒータを含み、前記基板保持手段に保持されている基板を、基板の上面全域が処理液の液膜で覆われている状態で、処理液の沸点以上の温度で加熱することにより、処理液を蒸発させて、処理液の液膜と基板の上面との間に気相を形成する加熱手段と、処理液の液膜と基板の上面との間に気相が介在している状態で、前記基板保持手段に保持されている基板に対して処理液の液膜を移動させることにより、基板から処理液の液膜を排除する液膜排除手段と、基板の表面状態を含む基板情報が入力される情報受付部と、前記情報受付部に入力された前記基板情報に基づいて前記ヒータごとに前記複数のヒータの温度を設定する温度設定部とを含み、前記基板保持手段に保持されている基板を、基板の上面全域が処理液の液膜で覆われている状態で、処理液の沸点以上の温度で均一に加熱することにより、処理液を蒸発させて、処理液の液膜と基板の上面との間に気相を形成する均一加熱工程を実行する制御装置と、を含む、基板処理装置である。基板の上面にパターンが形成されている場合、基板の上面は、母材(たとえば、シリコンウエハ)の上面と、パターンの表面とを含む。   In order to achieve the above object, the invention according to claim 1 is directed to a substrate holding means for horizontally holding the substrate, and a processing liquid is supplied to the upper surface of the substrate held by the substrate holding means, thereby A processing liquid supply means for forming a liquid film of a processing liquid covering the entire upper surface; and a plurality of heaters for heating the entire upper surface of the substrate held by the substrate holding means at independent temperatures. By heating the substrate being held at a temperature equal to or higher than the boiling point of the processing liquid in a state where the entire upper surface of the substrate is covered with the processing liquid film, the processing liquid is evaporated and the processing liquid film Heating means for forming a gas phase between the substrate and the upper surface of the substrate, and a substrate held by the substrate holding means in a state where the gas phase is interposed between the liquid film of the processing solution and the upper surface of the substrate By moving the liquid film of the treatment liquid against For each heater based on the substrate information input to the information receiving unit, a liquid film removing unit for removing the liquid film of the processing liquid from the substrate, an information receiving unit to which the substrate information including the surface state of the substrate is input A temperature setting unit for setting the temperature of the plurality of heaters, and the substrate held by the substrate holding means, in a state where the entire upper surface of the substrate is covered with a liquid film of the processing solution, A control device that performs a uniform heating step of evaporating the processing liquid by uniformly heating at the above temperature to form a gas phase between the liquid film of the processing liquid and the upper surface of the substrate, It is a processing device. When the pattern is formed on the upper surface of the substrate, the upper surface of the substrate includes the upper surface of a base material (for example, a silicon wafer) and the surface of the pattern.

この構成によれば、水平に保持されている基板の上面に処理液が供給され、基板の上面全域を覆う処理液の液膜が形成される。その後、処理液の沸点以上の温度で基板が加熱され、基板の温度が処理液の沸点以上の値に達する。これにより、処理液と基板の上面との界面で処理液が蒸発し、処理液の液膜と基板の上面との間に気相が形成される。このとき、処理液の液膜は基板の上面から浮上するので、基板上の処理液の液膜に働く摩擦抵抗は、零と見なせるほど小さい。そのため、処理液の液膜は、基板の上面に沿って滑り易い状態にある。液膜排除手段は、基板の上面から浮上している処理液の液膜を排除する。   According to this configuration, the processing liquid is supplied to the upper surface of the substrate held horizontally, and a liquid film of the processing liquid covering the entire upper surface of the substrate is formed. Thereafter, the substrate is heated at a temperature equal to or higher than the boiling point of the processing liquid, and the temperature of the substrate reaches a value equal to or higher than the boiling point of the processing liquid. As a result, the processing liquid evaporates at the interface between the processing liquid and the upper surface of the substrate, and a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. At this time, since the liquid film of the processing liquid floats from the upper surface of the substrate, the frictional resistance acting on the liquid film of the processing liquid on the substrate is small enough to be regarded as zero. For this reason, the liquid film of the processing liquid is in a state of being easily slid along the upper surface of the substrate. The liquid film removing means removes the liquid film of the processing liquid that has floated from the upper surface of the substrate.

高速回転により基板を乾燥させるスピンドライ工程では、隣接する2つの構造物に跨る液面(気液の界面)が形成される。パターンを倒壊させる表面張力は、液面とパターンとの接触位置(気体、液体、および固体の界面)に働く。これに対して、本発明では、基板の温度が処理液の沸点以上であるので、処理液が基板の上面に接触したとしても、この液体は直ぐに蒸発する。そのため、スピンドライ工程のときのような液面が形成されず、パターンを倒壊させる表面張力がパターンに加わらない。したがって、パターン倒壊の発生を低減できる。   In the spin dry process of drying the substrate by high-speed rotation, a liquid surface (gas-liquid interface) straddling two adjacent structures is formed. The surface tension that collapses the pattern acts on the contact position (gas, liquid, and solid interface) between the liquid surface and the pattern. In contrast, in the present invention, since the temperature of the substrate is equal to or higher than the boiling point of the processing liquid, even if the processing liquid comes into contact with the upper surface of the substrate, the liquid evaporates immediately. Therefore, the liquid level as in the spin drying process is not formed, and the surface tension that collapses the pattern is not applied to the pattern. Therefore, occurrence of pattern collapse can be reduced.

さらに、基板上で液膜を蒸発させると、ウォーターマークやパーティクル等の欠陥が発生するおそれがある。これに対して、本発明では、液膜を基板に対して移動させることにより排除する。したがって、ウォーターマークやパーティクル等の発生を低減できる。特に、処理液の液膜と基板の上面との間に気相が介在しており、処理液の液膜が基板の上面に沿って滑り易い状態にあるので、素早く短時間で液膜を排除できる。これにより、基板の上面が処理液の液膜から部分的に露出している時間を低減できるので、より均一な処理を基板に施すことができる。   Furthermore, when the liquid film is evaporated on the substrate, defects such as watermarks and particles may occur. On the other hand, in the present invention, the liquid film is eliminated by moving it with respect to the substrate. Therefore, the generation of watermarks and particles can be reduced. In particular, a gas phase is interposed between the liquid film of the processing liquid and the upper surface of the substrate, and the liquid film of the processing liquid is easily slipped along the upper surface of the substrate. it can. Accordingly, the time during which the upper surface of the substrate is partially exposed from the liquid film of the processing liquid can be reduced, so that more uniform processing can be performed on the substrate.

制御装置の温度設定部は、制御装置の情報受付部に入力された基板情報に基づいてヒータごとに複数のヒータの温度を設定する。より具体的には、温度設定部は、パターンの形状、パターンの大きさ、およびパターンの材質の少なくとも一つに基づいて、ヒータごとに複数のヒータの温度を設定する。たとえば、基板の上面周縁部に形成されたパターンのアスペクト比が相対的に大きい場合には、基板の上面中央部よりも高い温度で基板の上面周縁部が加熱されるように、制御装置が複数のヒータの温度を設定する。これにより、基板の表面状態に拘わらず、基板上の処理液の液膜を均一に加熱することができるので、処理液の液膜と基板の上面との間に介在する気相を基板の上面全域に形成できる。   The temperature setting unit of the control device sets the temperature of the plurality of heaters for each heater based on the substrate information input to the information receiving unit of the control device. More specifically, the temperature setting unit sets the temperature of the plurality of heaters for each heater based on at least one of the shape of the pattern, the size of the pattern, and the material of the pattern. For example, when the aspect ratio of the pattern formed on the upper surface peripheral portion of the substrate is relatively large, a plurality of control devices are provided so that the upper surface peripheral portion of the substrate is heated at a temperature higher than the central portion of the upper surface of the substrate. Set the heater temperature. Accordingly, since the liquid film of the processing liquid on the substrate can be heated uniformly regardless of the surface state of the substrate, the gas phase interposed between the liquid film of the processing liquid and the upper surface of the substrate is changed to the upper surface of the substrate. Can be formed over the entire area.

請求項2に記載の発明は、前記基板情報は、パターンの形状、パターンの大きさ、およびパターンの材質の少なくとも一つを含む、請求項1に記載の基板処理装置である。
請求項3に記載の発明は、前記液膜排除手段は、処理液の液膜と基板の上面との間に気相が介在している状態で前記基板保持手段に保持されている基板上の処理液の液膜の周縁部に接触する外方誘導面を含み、前記外方誘導面と処理液の液膜との接触により、処理液を基板の上面から基板の周囲に誘導する誘導部材を含む、請求項1または2に記載の基板処理装置である。
The invention according to claim 2 is the substrate processing apparatus according to claim 1, wherein the substrate information includes at least one of a pattern shape, a pattern size, and a pattern material.
According to a third aspect of the present invention, the liquid film removing means is disposed on the substrate held by the substrate holding means in a state where a gas phase is interposed between the liquid film of the processing liquid and the upper surface of the substrate. A guide member that includes an outer guide surface that contacts a peripheral portion of the liquid film of the processing liquid, and guides the processing liquid from the upper surface of the substrate to the periphery of the substrate by contact between the outer guide surface and the liquid film of the processing liquid. It is a substrate processing apparatus of Claim 1 or 2 containing.

この構成によれば、誘導部材の外方誘導面は、処理液の液膜と基板の上面との間に気相が介在している状態で基板上の処理液の液膜の周縁部に接触する。外方誘導面に接触した処理液は、誘導部材を伝って基板の周囲に排出される。この誘導部材と液膜との接触をきっかけに、基板の周縁部に向かう外向きの流れが処理液の液膜に形成される。したがって、基板上の処理液の液膜は、この流れによって、多数の小滴に分裂することなく、塊のまま基板から排除される。これにより、処理液の液膜を基板から素早く短時間で排除できる。   According to this configuration, the outer guide surface of the guide member contacts the peripheral portion of the liquid film of the processing liquid on the substrate in a state where the gas phase is interposed between the liquid film of the processing liquid and the upper surface of the substrate. To do. The processing liquid that has contacted the outer guide surface is discharged to the periphery of the substrate through the guide member. As a result of the contact between the guide member and the liquid film, an outward flow toward the peripheral edge of the substrate is formed in the liquid film of the processing liquid. Therefore, the liquid film of the processing liquid on the substrate is removed from the substrate as a lump without being broken into a large number of droplets by this flow. Thereby, the liquid film of the processing liquid can be quickly removed from the substrate in a short time.

請求項4に記載の発明は、前記液膜排除手段は、処理液の液膜と基板の上面との間に気相が形成されている状態で、前記基板保持手段に保持されている基板の上面に向けて気体を吐出することにより、処理液が排除された乾燥領域を基板の上面の一部の領域に形成する気体吐出手段を含む、請求項1〜3のいずれか一項に記載の基板処理装置である。
この構成によれば、処理液の液膜と基板の上面との間に気相が形成されている状態で、基板の上面の一部の領域である吹き付け位置に気体が吹き付けられる。吹き付け位置にある処理液は、気体の供給によってその周囲に押し退けられる。これにより、吹き付け位置に乾燥領域が形成される。さらに、処理液が気体の供給によって吹き付け位置からその周囲に移動するので、基板の周縁部に向かう外向きの流れが処理液の液膜に形成される。したがって、基板上の処理液の液膜は、この流れによって、多数の小滴に分裂することなく、塊のまま基板から排除される。これにより、処理液の液膜を基板から素早く短時間で排除できる。
According to a fourth aspect of the present invention, the liquid film removing means includes a substrate that is held by the substrate holding means in a state where a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. 4. The gas discharge unit according to claim 1, further comprising a gas discharge unit that discharges a gas toward the upper surface to form a dry region from which the processing liquid has been removed in a partial region of the upper surface of the substrate. A substrate processing apparatus.
According to this configuration, the gas is blown to the blowing position, which is a partial region of the upper surface of the substrate, in a state where a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. The treatment liquid in the spray position is pushed away to the surroundings by supplying gas. Thereby, a dry region is formed at the spray position. Furthermore, since the processing liquid moves from the spray position to the periphery by supplying gas, an outward flow toward the peripheral edge of the substrate is formed in the liquid film of the processing liquid. Therefore, the liquid film of the processing liquid on the substrate is removed from the substrate as a lump without being broken into a large number of droplets by this flow. Thereby, the liquid film of the processing liquid can be quickly removed from the substrate in a short time.

請求項5に記載の発明は、前記制御装置は、前記均一加熱工程の後に、処理液の液膜と基板の上面との間に気相が形成されている状態で、処理液の沸点以上の低温領域と前記低温領域よりも高温の高温領域とを基板の上面に形成する温度差発生工程をさらに実行する、請求項1〜4のいずれか一項に記載の基板処理装置である。
この構成によれば、処理液の沸点以上の温度で基板が均一に加熱される。これにより、処理液の液膜と基板の上面との間に気相が形成される。その後、互いに温度が異なる高温領域および低温領域が、基板の上面に形成される。そのため、処理液の液膜内に温度差が発生し、低温の方に移動する流れが処理液の液膜に形成される。したがって、基板上の処理液の液膜は、この流れによって、多数の小滴に分裂することなく、塊のまま基板から排除される。これにより、処理液の液膜を基板から素早く短時間で排除できる。
According to a fifth aspect of the present invention, in the state in which the control device has a gas phase formed between the liquid film of the processing liquid and the upper surface of the substrate after the uniform heating step, 5. The substrate processing apparatus according to claim 1, further executing a temperature difference generating step of forming a low temperature region and a high temperature region higher in temperature than the low temperature region on an upper surface of the substrate.
According to this configuration, the substrate is uniformly heated at a temperature equal to or higher than the boiling point of the processing liquid. Thereby, a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. Thereafter, a high temperature region and a low temperature region having different temperatures are formed on the upper surface of the substrate. Therefore, a temperature difference is generated in the liquid film of the processing liquid, and a flow moving toward the lower temperature is formed in the liquid film of the processing liquid. Therefore, the liquid film of the processing liquid on the substrate is removed from the substrate as a lump without being broken into a large number of droplets by this flow. Thereby, the liquid film of the processing liquid can be quickly removed from the substrate in a short time.

請求項6に記載の発明は、前記液膜排除手段は、前記加熱手段と基板との間隔を一定に維持しつつ、前記基板保持手段に水平に保持されている基板を斜めに傾ける姿勢変更手段を含む、請求項1〜5のいずれか一項に記載の基板処理装置である。
この構成によれば、処理液の液膜と基板の上面との間に気相が形成されている状態で、基板の上面が斜めに傾けられる。これにより、基板上の処理液の液膜は、基板の上面に沿って下方に流れ落ちる。したがって、基板上の処理液の液膜を基板から素早く短時間で排除できる。しかも、基板の上面に垂直な方向における加熱手段と基板との間隔が一定に維持されるので、基板だけを傾ける場合に比べて加熱のむらが発生し難く、安定した基板の加熱を継続できる。
The invention according to claim 6 is characterized in that the liquid film removing means maintains the distance between the heating means and the substrate constant, and tilts the substrate held horizontally by the substrate holding means. It is a substrate processing apparatus as described in any one of Claims 1-5 containing these.
According to this configuration, the upper surface of the substrate is inclined obliquely in a state where a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. Thereby, the liquid film of the processing liquid on the substrate flows down along the upper surface of the substrate. Therefore, the liquid film of the processing liquid on the substrate can be quickly removed from the substrate in a short time. In addition, since the distance between the heating means and the substrate in the direction perpendicular to the upper surface of the substrate is maintained constant, uneven heating is less likely to occur than when only the substrate is tilted, and stable substrate heating can be continued.

本発明の第1実施形態に係る基板処理装置を上から見た模式図である。It is the schematic diagram which looked at the substrate processing apparatus which concerns on 1st Embodiment of this invention from the top. 処理ユニットの内部を水平に見た模式図である。It is the schematic diagram which looked at the inside of a processing unit horizontally. 第1保持ユニットおよび第2保持ユニットを示す平面図である。It is a top view which shows a 1st holding | maintenance unit and a 2nd holding | maintenance unit. 可動ピンを示す平面図である。It is a top view which shows a movable pin. 誘導部材の断面を示す処理ユニットの一部の模式図である。It is a schematic diagram of a part of the processing unit showing a cross section of the guide member. 複数の誘導部材と基板との位置関係を示す平面図である。It is a top view which shows the positional relationship of a some guide member and a board | substrate. 固定ピンを水平に見た模式図である。It is the schematic diagram which looked at the fixing pin horizontally. 可動ピンおよびチャック開閉ユニットを水平に見た模式図である。It is the schematic diagram which looked at the movable pin and the chuck opening / closing unit horizontally. 図8に示すIX−IX線に沿う断面図であり、可動ピンが閉位置に位置している状態を示している。It is sectional drawing which follows the IX-IX line | wire shown in FIG. 8, and has shown the state in which a movable pin is located in a closed position. 図8に示すIX−IX線に沿う断面図であり、可動ピンが開位置に位置している状態を示している。It is sectional drawing which follows the IX-IX line | wire shown in FIG. 8, and has shown the state in which a movable pin is located in an open position. 処理ユニットによって行われる基板の処理の一例について説明するための工程図である。It is process drawing for demonstrating an example of the process of the board | substrate performed by the process unit. IPAの液膜が浮上する前の状態を示す模式図である。It is a schematic diagram which shows the state before the liquid film of IPA floats. IPAの液膜が浮上した後の状態を示す模式図である。It is a schematic diagram which shows the state after the liquid film of IPA surfaced. IPAの液膜に割れまたは亀裂が発生した状態を示す模式図である。It is a schematic diagram which shows the state which the crack or crack generate | occur | produced in the liquid film of IPA. IPAの液膜が基板の上面から浮上している状態を示す模式図である。It is a schematic diagram which shows the state which the liquid film of IPA has floated from the upper surface of the board | substrate. 基板上のIPAの液膜が誘導部材によって誘導されている状態を示す模式図である。It is a schematic diagram which shows the state by which the liquid film of IPA on a board | substrate is induced | guided | derived by the induction | guidance | derivation member. 基板の表面状態とこれに対応するヒータの温度設定との関係の第1の例を示す模式図である。It is a schematic diagram which shows the 1st example of the relationship between the surface state of a board | substrate, and the temperature setting of the heater corresponding to this. 基板の表面状態とこれに対応するヒータの温度設定との関係の第2の例を示す模式図である。It is a schematic diagram which shows the 2nd example of the relationship between the surface state of a board | substrate, and the temperature setting of the heater corresponding to this. 基板の表面状態とこれに対応するヒータの温度設定との関係の第3の例を示す模式図である。It is a schematic diagram which shows the 3rd example of the relationship between the surface state of a board | substrate, and the temperature setting of the heater corresponding to this. 基板処理装置の電気的構成を説明するためのブロック図である。It is a block diagram for demonstrating the electrical structure of a substrate processing apparatus. 基板情報が基板処理装置に入力されてから基板の処理が実行されるまので流れの一例を示す工程図である。It is process drawing which shows an example of a flow until the process of a board | substrate is performed after board | substrate information is input into a substrate processing apparatus. 本発明の第2実施形態に係る有機溶剤排除工程を示す模式図である。It is a schematic diagram which shows the organic solvent exclusion process which concerns on 2nd Embodiment of this invention. 本発明の第3実施形態に係る有機溶剤排除工程を示す模式図であり、低温領域と高温領域とが基板の上面に形成されている状態を示している。It is a schematic diagram which shows the organic solvent exclusion process which concerns on 3rd Embodiment of this invention, and has shown the state in which the low temperature area | region and the high temperature area | region are formed in the upper surface of a board | substrate. 本発明の第3実施形態に係る有機溶剤排除工程において、高温領域を外方に拡大させている状態を示す模式図である。In the organic solvent exclusion process which concerns on 3rd Embodiment of this invention, it is a schematic diagram which shows the state which is expanding the high temperature area | region outward. 本発明の第4実施形態に係る姿勢変更ユニットを水平に見た模式図である。It is the schematic diagram which looked at the attitude | position change unit which concerns on 4th Embodiment of this invention horizontally. 姿勢変更ユニットによって基板およびホットプレートが傾けられている状態を示す模式図である。It is a schematic diagram which shows the state in which the board | substrate and the hot plate were inclined by the attitude | position change unit. スピンドライ工程においてパターン内に形成される液面を示す基板の断面図である。It is sectional drawing of the board | substrate which shows the liquid level formed in a pattern in a spin dry process.

以下では、本発明の実施形態を、添付図面を参照して詳細に説明する。
基板処理装置1は、シリコンウエハなどの円板状の基板Wを一枚ずつ処理する枚葉式の装置である。図1に示すように、基板処理装置1は、処理液で基板Wを処理する複数の処理ユニット2と、処理ユニット2で処理される複数枚の基板Wを収容するキャリアCが載置されるロードポートLPと、ロードポートLPと処理ユニット2との間で基板Wを搬送する搬送ロボットIRおよび搬送ロボットCRと、基板処理装置1を制御する制御装置3とを含む。
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
The substrate processing apparatus 1 is a single-wafer type apparatus that processes a disk-shaped substrate W such as a silicon wafer one by one. As shown in FIG. 1, a substrate processing apparatus 1 is mounted with a plurality of processing units 2 that process a substrate W with a processing liquid and a carrier C that stores a plurality of substrates W processed by the processing unit 2. A load port LP, a transfer robot IR and a transfer robot CR that transfer the substrate W between the load port LP and the processing unit 2, and a control device 3 that controls the substrate processing apparatus 1 are included.

図2に示すように、処理ユニット2は、一枚の基板Wを水平な姿勢で保持しながら、基板Wの中央部を通る鉛直な回転軸線A1まわりに基板Wを回転させる第1保持ユニット15と、一枚の基板Wを水平な姿勢で保持しながら加熱する第2保持ユニット29とを含む。第1保持ユニット15および第2保持ユニット29は、基板保持手段の一例である。
図2に示すように、処理ユニット2は、さらに、第1保持ユニット15および第2保持ユニット29を収容する開閉可能なインナーチャンバー7と、回転軸線A1まわりにインナーチャンバー7を取り囲む筒状のカップ38と、インナーチャンバー7およびカップ38を収容するアウターチャンバー4とを含む。
As shown in FIG. 2, the processing unit 2 holds the single substrate W in a horizontal posture, and rotates the substrate W around a vertical rotation axis A <b> 1 that passes through the center of the substrate W. And a second holding unit 29 that heats the single substrate W while holding it in a horizontal posture. The first holding unit 15 and the second holding unit 29 are an example of a substrate holding unit.
As shown in FIG. 2, the processing unit 2 further includes an openable / closable inner chamber 7 that houses the first holding unit 15 and the second holding unit 29, and a cylindrical cup that surrounds the inner chamber 7 around the rotation axis A1. 38 and an outer chamber 4 that houses the inner chamber 7 and the cup 38.

図2に示すように、アウターチャンバー4は、第1保持ユニット15および第2保持ユニット29等を収容する箱形のチャンバー本体5と、チャンバー本体5に設けられた搬入・搬出口を開閉するシャッター6とを含む。図示はしないが、アウターチャンバー4は、さらに、搬入・搬出口が開かれる開位置と搬入・搬出口が閉じられる閉位置との間でシャッター6を移動させるシャッター開閉ユニットを含む。   As shown in FIG. 2, the outer chamber 4 includes a box-shaped chamber body 5 that houses the first holding unit 15 and the second holding unit 29, and a shutter that opens and closes a loading / unloading port provided in the chamber body 5. 6 and so on. Although not shown, the outer chamber 4 further includes a shutter opening / closing unit that moves the shutter 6 between an open position where the loading / unloading port is opened and a closed position where the loading / unloading port is closed.

図2に示すように、インナーチャンバー7は、第1保持ユニット15および第2保持ユニット29を収容する有底筒状のチャンバー本体8と、チャンバー本体8の上端に設けられた開口を開閉する上蓋11と、チャンバー本体8の開口が開かれる上位置とチャンバー本体8の開口が上蓋11で閉じられる下位置との間で、上蓋11をアウターチャンバー4内で鉛直方向に昇降させる蓋昇降ユニット14とを含む。   As shown in FIG. 2, the inner chamber 7 includes a bottomed cylindrical chamber body 8 that houses the first holding unit 15 and the second holding unit 29, and an upper lid that opens and closes an opening provided at the upper end of the chamber body 8. 11 and a lid lifting / lowering unit 14 that lifts and lowers the upper lid 11 vertically in the outer chamber 4 between an upper position where the opening of the chamber body 8 is opened and a lower position where the opening of the chamber body 8 is closed by the upper lid 11. including.

図2に示すように、チャンバー本体8は、アウターチャンバー4の床面に沿って配置された円板状の底壁部9と、底壁部9の外周部から上方に延びる円筒状の下周壁部10とを含む。上蓋11は、チャンバー本体8の上方で水平な姿勢に保持された円板状の上壁部12と、上壁部12の外周部から下方に延びる円筒状の上周壁部13とを含む。上蓋11の上壁部12は、第1保持ユニット15および第2保持ユニット29の上方に配置されている。チャンバー本体8の下周壁部10は、第1保持ユニット15および第2保持ユニット29を取り囲んでいる。上蓋11の上周壁部13は、チャンバー本体8の下周壁部10の上方に配置されている。チャンバー本体8は、チャンバー本体8内から排出された液体を案内する排液配管(図示せず)に接続されている。   As shown in FIG. 2, the chamber body 8 includes a disk-shaped bottom wall portion 9 disposed along the floor surface of the outer chamber 4, and a cylindrical lower peripheral wall extending upward from the outer peripheral portion of the bottom wall portion 9. Part 10. The upper lid 11 includes a disk-shaped upper wall portion 12 held in a horizontal posture above the chamber body 8 and a cylindrical upper peripheral wall portion 13 extending downward from the outer peripheral portion of the upper wall portion 12. The upper wall portion 12 of the upper lid 11 is disposed above the first holding unit 15 and the second holding unit 29. The lower peripheral wall portion 10 of the chamber body 8 surrounds the first holding unit 15 and the second holding unit 29. The upper peripheral wall portion 13 of the upper lid 11 is disposed above the lower peripheral wall portion 10 of the chamber body 8. The chamber body 8 is connected to a drainage pipe (not shown) for guiding the liquid discharged from the chamber body 8.

図2および図5に示すように、蓋昇降ユニット14は、上位置(図2に示す位置)と下位置(図5に示す位置)との間で上蓋11を鉛直方向に昇降させる。下位置は、チャンバー本体8の開口が閉じられる密閉位置である。上位置は、上蓋11がチャンバー本体8から上方に退避した退避位置である。蓋昇降ユニット14が上蓋11を下位置に移動させると、上周壁部13の環状の下面が、下周壁部10の環状の上面に近づき、上周壁部13と下周壁部10との間の隙間が、上周壁部13に保持された環状のシール部材SL1によって密閉される。これにより、インナーチャンバー7の内部の密閉度が高まる。その一方で、蓋昇降ユニット14が上蓋11を上位置に移動させると、上周壁部13の環状の下面が、下周壁部10の環状の上面から上方に遠ざかり、上周壁部13の下面と下周壁部10の上面との間隔が、上周壁部13と下周壁部10との間にスキャンノズルが進入可能な大きさまで広がる。   As shown in FIGS. 2 and 5, the lid lifting / lowering unit 14 raises and lowers the upper lid 11 in the vertical direction between an upper position (position shown in FIG. 2) and a lower position (position shown in FIG. 5). The lower position is a sealed position where the opening of the chamber body 8 is closed. The upper position is a retracted position where the upper lid 11 is retracted upward from the chamber body 8. When the lid lifting / lowering unit 14 moves the upper lid 11 to the lower position, the annular lower surface of the upper peripheral wall portion 13 approaches the annular upper surface of the lower peripheral wall portion 10, and the gap between the upper peripheral wall portion 13 and the lower peripheral wall portion 10 is It is sealed by an annular seal member SL1 held on the upper peripheral wall portion 13. Thereby, the sealing degree inside the inner chamber 7 increases. On the other hand, when the lid lifting / lowering unit 14 moves the upper lid 11 to the upper position, the annular lower surface of the upper peripheral wall portion 13 moves away from the annular upper surface of the lower peripheral wall portion 10, and the lower surface and lower peripheral wall portion of the upper peripheral wall portion 13. The distance between the upper peripheral wall 10 and the lower peripheral wall 10 is wide enough to allow the scan nozzle to enter.

図2に示すように、第1保持ユニット15は、基板Wを水平な姿勢で支持する複数本(たとえば、6本)の固定ピン16と、複数本の固定ピン16と協働して基板Wを水平な姿勢で把持する複数本(たとえば、3本)の可動ピン19とを含む。第1保持ユニット15は、さらに、複数本の固定ピン16と複数本の可動ピン19とを保持する支持リング23と、支持リング23に対して複数の可動ピン19を移動させるチャック開閉ユニット25と、回転軸線A1まわりに支持リング23を回転させるリング回転ユニット24とを含む。図示はしないが、リング回転ユニット24は、支持リング23と共に回転軸線A1まわりに回転するロータと、インナーチャンバー7のチャンバー本体8に保持されたステータとを含む。   As shown in FIG. 2, the first holding unit 15 cooperates with a plurality of (for example, six) fixing pins 16 that support the substrate W in a horizontal posture and the plurality of fixing pins 16. And a plurality of (for example, three) movable pins 19 that hold the device in a horizontal posture. The first holding unit 15 further includes a support ring 23 that holds the plurality of fixed pins 16 and the plurality of movable pins 19, and a chuck opening / closing unit 25 that moves the plurality of movable pins 19 relative to the support ring 23. And a ring rotation unit 24 for rotating the support ring 23 around the rotation axis A1. Although not shown, the ring rotation unit 24 includes a rotor that rotates around the rotation axis A <b> 1 together with the support ring 23, and a stator that is held by the chamber body 8 of the inner chamber 7.

図2に示すように、固定ピン16および可動ピン19は、支持リング23から上方に突出している。固定ピン16および可動ピン19は、支持リング23に保持されている。図3に示すように、6本の固定ピン16は、等間隔で周方向に配列されている。3本の可動ピン19は、周方向に連続して並ぶ3本の固定ピン16の近傍にそれぞれ配置されている。平面視で3本の可動ピン19を通る円弧の中心角は180度未満であり、3本の可動ピン19は、周方向に偏って配置されている。固定ピン16は、支持リング23に対して移動不能であり、可動ピン19は、支持リング23に対して移動可能である。支持リング23は、基板Wの外径よりも大きい外径を有している。支持リング23は、インナーチャンバー7のチャンバー本体8内に保持されている。   As shown in FIG. 2, the fixed pin 16 and the movable pin 19 protrude upward from the support ring 23. The fixed pin 16 and the movable pin 19 are held by the support ring 23. As shown in FIG. 3, the six fixing pins 16 are arranged in the circumferential direction at equal intervals. The three movable pins 19 are respectively arranged in the vicinity of the three fixed pins 16 arranged continuously in the circumferential direction. The central angle of the arc passing through the three movable pins 19 in a plan view is less than 180 degrees, and the three movable pins 19 are arranged in a circumferential direction. The fixed pin 16 cannot move with respect to the support ring 23, and the movable pin 19 can move with respect to the support ring 23. The support ring 23 has an outer diameter larger than the outer diameter of the substrate W. The support ring 23 is held in the chamber body 8 of the inner chamber 7.

図7に示すように、固定ピン16は、基板Wの下面周縁部に接触することにより基板Wを水平な姿勢で支持する固定支持部17と、固定支持部17に支持されている基板Wの周縁部に押し付けられる固定把持部18とを含む。固定支持部17は、斜め下に内方に延びる支持面を含む。複数の固定ピン16は、固定支持部17と基板Wの下面周縁部との接触により、基板Wを水平な姿勢で保持する。複数の固定ピン16による基板Wの支持位置は、インナーチャンバー7の下周壁部10の上端よりも上方の位置である。   As shown in FIG. 7, the fixing pin 16 contacts the lower surface peripheral portion of the substrate W to support the substrate W in a horizontal posture, and the substrate W supported by the fixed support portion 17. And a fixed grip 18 that is pressed against the peripheral edge. The fixed support portion 17 includes a support surface extending inwardly obliquely downward. The plurality of fixing pins 16 hold the substrate W in a horizontal posture by contact between the fixing support portion 17 and the lower surface peripheral edge portion of the substrate W. The support position of the substrate W by the plurality of fixing pins 16 is a position above the upper end of the lower peripheral wall portion 10 of the inner chamber 7.

図4に示すように、可動ピン19は、上下方向に延びるシャフト部20と、シャフト部20に支持されたベース部21と、ベース部21から上方に突出する円柱状の可動把持部22とを含む。可動ピン19は、可動把持部22が基板Wの周縁部に押し付けられる閉位置(実線で示す位置)と、可動把持部22が基板Wから離れる開位置(二点鎖線で示す位置)との間で、鉛直なピン回動軸線A2(シャフト部20の中心線)まわりに支持リング23に対して移動可能である。複数の可動ピン19は、複数の固定ピン16の固定把持部18と協働して、基板Wを把持する。固定ピン16および可動ピン19による基板Wの把持位置は、複数の固定ピン16による基板Wの支持位置と同一の位置である。   As shown in FIG. 4, the movable pin 19 includes a shaft portion 20 extending in the vertical direction, a base portion 21 supported by the shaft portion 20, and a columnar movable gripping portion 22 protruding upward from the base portion 21. Including. The movable pin 19 is between a closed position (a position indicated by a solid line) where the movable grip 22 is pressed against the peripheral edge of the substrate W and an open position (a position indicated by a two-dot chain line) where the movable grip 22 is separated from the substrate W. Thus, it can move with respect to the support ring 23 around a vertical pin rotation axis A2 (center line of the shaft portion 20). The plurality of movable pins 19 grip the substrate W in cooperation with the fixed gripping portions 18 of the plurality of fixed pins 16. The holding position of the substrate W by the fixed pins 16 and the movable pins 19 is the same position as the support position of the substrate W by the plurality of fixed pins 16.

チャック開閉ユニット25は、必要な場合だけ可動ピン19を閉位置から移動させる、常時閉のユニットである。図9Aおよび図9Bに示すように、チャック開閉ユニット25は、可動ピン19と共にピン回動軸線A2まわりに回動する可動磁石M1と、可動ピン19を閉位置に移動させる磁力を可動磁石M1に与える固定磁石M2とを含む。可動磁石M1および固定磁石M2は、いずれも永久磁石である。可動磁石M1および固定磁石M2は、可動ピン19を閉位置に移動させるクローズユニットに相当する。   The chuck opening / closing unit 25 is a normally closed unit that moves the movable pin 19 from the closed position only when necessary. As shown in FIGS. 9A and 9B, the chuck opening / closing unit 25 applies to the movable magnet M1 the movable magnet M1 that rotates together with the movable pin 19 around the pin rotation axis A2, and the magnetic force that moves the movable pin 19 to the closed position. And a fixed magnet M2 to be provided. Both the movable magnet M1 and the fixed magnet M2 are permanent magnets. The movable magnet M1 and the fixed magnet M2 correspond to a closing unit that moves the movable pin 19 to the closed position.

可動磁石M1は、可動ピン19に保持されており、支持リング23に対して移動可能である。固定磁石M2は、支持リング23に固定されており、支持リング23に対して移動不能である。可動ピン19は、可動磁石M1および固定磁石M2の間で働く斥力または引力によって、閉位置の方向に付勢されている。したがって、可動磁石M1および固定磁石M2の間で働く磁力以外の力が、可動ピン19に加わらない場合、可動ピン19は閉位置に配置される。   The movable magnet M <b> 1 is held by the movable pin 19 and is movable with respect to the support ring 23. The fixed magnet M <b> 2 is fixed to the support ring 23 and cannot move with respect to the support ring 23. The movable pin 19 is biased in the direction of the closed position by a repulsive force or an attractive force acting between the movable magnet M1 and the fixed magnet M2. Therefore, when no force other than the magnetic force acting between the movable magnet M1 and the fixed magnet M2 is applied to the movable pin 19, the movable pin 19 is disposed at the closed position.

図9Aおよび図9Bに示すように、チャック開閉ユニット25は、可動ピン19と共にピン回動軸線A2まわりに回動する2つの被操作片26と、可動ピン19を開位置に移動させる動力を発生するレバー操作ユニット27と、レバー操作ユニット27の動力を2つの被操作片26の一方に伝達する操作レバー28とを含む。被操作片26、レバー操作ユニット27、および操作レバー28は、可動ピン19を開位置に移動させるオープンユニットに相当する。   As shown in FIGS. 9A and 9B, the chuck opening / closing unit 25 generates two operated pieces 26 that rotate around the pin rotation axis A2 together with the movable pin 19, and power that moves the movable pin 19 to the open position. A lever operating unit 27 that transmits the power of the lever operating unit 27 to one of the two operated pieces 26. The operated piece 26, the lever operation unit 27, and the operation lever 28 correspond to an open unit that moves the movable pin 19 to the open position.

図9Aおよび図9Bに示すように、2つの被操作片26は、重量の偏りを低減するために、ピン回動軸線A2に関して180度反対側に配置されている。図示はしないが、レバー操作ユニット27は、たとえば、ホットプレート30に保持されたシリンダ本体と、シリンダ本体に対して移動可能なロッドとを含む、エアシリンダである。操作レバー28は、ロッドに取り付けられている。レバー操作ユニット27および操作レバー28は、ホットプレート30と共に鉛直方向に昇降する。   As shown in FIGS. 9A and 9B, the two operated pieces 26 are disposed 180 degrees opposite to the pin rotation axis A2 in order to reduce the weight deviation. Although not shown, the lever operation unit 27 is an air cylinder including, for example, a cylinder main body held by the hot plate 30 and a rod movable with respect to the cylinder main body. The operation lever 28 is attached to the rod. The lever operation unit 27 and the operation lever 28 move up and down together with the hot plate 30 in the vertical direction.

図8に示すように、操作レバー28の先端部は、ホットプレート30から外方(回転軸線A1から離れる方向)に延びている。操作レバー28の先端部は、被操作片26に水平に対向した状態で被操作片26を押圧することにより被操作片26を回動させて、可動ピン19を閉位置から開位置に移動させる。後述するように、ホットプレート30は第1基板受け渡し工程(ステップS7)から第2基板受け渡し工程(ステップS10)にかけて上下方向に移動し、それに伴って操作レバー28の先端部分も上下方向に移動する。操作レバー28の先端部分がこのように上下方向に移動しても、操作レバー28の先端部が被操作片26と常に当接可能となるように、操作レバー28の先端部と被操作片26とは上下方向に十分な厚みを有している。   As shown in FIG. 8, the distal end portion of the operation lever 28 extends outward from the hot plate 30 (in a direction away from the rotation axis A1). The distal end portion of the operation lever 28 rotates the operated piece 26 by pressing the operated piece 26 while facing the operated piece 26 horizontally, and moves the movable pin 19 from the closed position to the open position. . As will be described later, the hot plate 30 moves in the vertical direction from the first substrate transfer process (step S7) to the second substrate transfer process (step S10), and accordingly, the tip portion of the operation lever 28 also moves in the vertical direction. . Even if the distal end portion of the operation lever 28 moves in the vertical direction in this way, the distal end portion of the operation lever 28 and the operated piece 26 are arranged so that the distal end portion of the operation lever 28 can always come into contact with the operated piece 26. Has a sufficient thickness in the vertical direction.

可動ピン19を開位置に移動させる場合、図9Bに示すように、制御装置3は、操作レバー28の先端部が一方の被操作片26に水平に対向するように、支持リング23の回転角とホットプレート30の高さとを制御する。操作レバー28の先端部が一方の被操作片26に水平に対向している状態で、操作レバー28が外方に移動すると、図9Bに示すように、一方の被操作片26が操作レバー28に押され、可動ピン19が開位置の方に移動する。これにより、可動ピン19が閉位置から開位置に移動する。   When the movable pin 19 is moved to the open position, as shown in FIG. 9B, the control device 3 rotates the rotation angle of the support ring 23 so that the tip of the operation lever 28 faces one of the operated pieces 26 horizontally. And the height of the hot plate 30 are controlled. When the operating lever 28 moves outward with the tip of the operating lever 28 facing the one operated piece 26 horizontally, as shown in FIG. 9B, one operated piece 26 is moved to the operating lever 28. The movable pin 19 moves toward the open position. As a result, the movable pin 19 moves from the closed position to the open position.

搬送ロボットCRは、ハンドH(図1参照)によって下から支持している基板Wを複数の固定ピン16の固定支持部17に置き、複数の固定ピン16の固定支持部17に支持されている基板WをハンドHで下からすくい上げる。基板Wが複数の固定ピン16に支持されている状態で、可動ピン19が開位置から閉位置に移動すると、可動ピン19の可動把持部22が基板Wの周縁部に押し付けられ、可動ピン19とは反対側に基板Wが水平に移動する。これにより、可動ピン19とは反対側の位置において基板Wの周縁部が固定ピン16の固定把持部18に押し付けられ、基板Wが、固定ピン16と可動ピン19とによって把持される。そのため、基板Wが水平な姿勢で強固に保持される。   The transport robot CR places the substrate W supported from below by the hand H (see FIG. 1) on the fixed support portions 17 of the plurality of fixing pins 16 and is supported by the fixed support portions 17 of the plurality of fixing pins 16. The substrate W is scooped from below with the hand H. When the movable pin 19 moves from the open position to the closed position while the substrate W is supported by the plurality of fixed pins 16, the movable grip portion 22 of the movable pin 19 is pressed against the peripheral edge of the substrate W, and the movable pin 19. The substrate W moves horizontally on the opposite side. As a result, the peripheral edge of the substrate W is pressed against the fixed holding portion 18 of the fixed pin 16 at a position opposite to the movable pin 19, and the substrate W is held by the fixed pin 16 and the movable pin 19. Therefore, the substrate W is firmly held in a horizontal posture.

図2に示すように、第2保持ユニット29は、基板Wを水平な姿勢で支持する支持プレートとしてのホットプレート30と、ホットプレート30を支持する支持テーブル34と、支持テーブル34を鉛直方向に移動させることによりホットプレート30を鉛直方向に昇降させるプレート昇降ユニット37とを含む。
図2に示すように、ホットプレート30は、水平で平坦な円形の上面31aを有するプレート本体31と、基板Wの下面がプレート本体31の上面31aに近接した状態で基板Wをプレート本体31の上方で支持する複数の支持ピン32と、複数の支持ピン32に保持されている基板Wをその下方から室温(たとえば、20〜30℃)よりも高い温度で加熱する複数のヒータ33とを含む。複数のヒータ33は、加熱手段の一例である。
As shown in FIG. 2, the second holding unit 29 includes a hot plate 30 as a support plate that supports the substrate W in a horizontal posture, a support table 34 that supports the hot plate 30, and the support table 34 in the vertical direction. And a plate lifting / lowering unit 37 that moves the hot plate 30 up and down in the vertical direction.
As shown in FIG. 2, the hot plate 30 includes a plate body 31 having a horizontal and flat circular upper surface 31 a and the substrate W placed on the plate body 31 with the lower surface of the substrate W being close to the upper surface 31 a of the plate body 31. A plurality of support pins 32 supported above and a plurality of heaters 33 that heat the substrate W held by the plurality of support pins 32 from below the room temperature (for example, 20 to 30 ° C.). . The plurality of heaters 33 are an example of a heating unit.

図3に示すように、プレート本体31は、基板Wの外径よりも小さい(たとえば、6mm小さい)外径を有している。プレート本体31は、支持リング23の内側の空間を上下方向に通過可能である。支持ピン32は、プレート本体31の上面31aから上方に突出する半球状の突出部を含む。複数の支持ピン32は、各突出部と基板Wの下面との点接触により、基板Wの下面とプレート本体31の上面31aとが平行または略平行な状態で、基板Wをプレート本体31の上方で支持する。   As shown in FIG. 3, the plate body 31 has an outer diameter that is smaller than the outer diameter of the substrate W (for example, 6 mm smaller). The plate body 31 can pass through the space inside the support ring 23 in the vertical direction. The support pin 32 includes a hemispherical protrusion that protrudes upward from the upper surface 31 a of the plate body 31. The plurality of support pins 32 are configured so that the bottom surface of the substrate W and the top surface 31a of the plate main body 31 are parallel or substantially parallel to each other by point contact between each protrusion and the bottom surface of the substrate W. Support with.

支持ピン32は、プレート本体31と一体であってもよいし、プレート本体31とは別の部材であってもよい。また、各支持ピン32の高さは、一定であってもよいし、異なっていてもよい。基板Wに反りが生じるとき、その反り方(中央部が上に凸か下に凸か)は、既に行われた基板Wの処理に基づいてある程度予想できる場合がある。そのため、基板Wが複数の支持ピン32に均一に支持されるように、基板Wの反りに合わせて各支持ピン32の高さが予め調整されてもよい。   The support pin 32 may be integrated with the plate body 31 or may be a member different from the plate body 31. In addition, the height of each support pin 32 may be constant or different. When the substrate W is warped, the way of warping (whether the central portion is convex upward or downward) may be predicted to some extent based on the processing of the substrate W already performed. Therefore, the height of each support pin 32 may be adjusted in advance according to the warp of the substrate W so that the substrate W is uniformly supported by the plurality of support pins 32.

図3に示すように、複数のヒータ33は、プレート本体31の内部に配置されている。複数のヒータ33は、プレート本体31の上面31a全域を加熱する。複数のヒータ33は、基板Wの上面の複数の領域を領域ごとに独立した温度で個別に加熱する。したがって、制御装置3は、複数のヒータ33を制御することにより、プレート本体31の上面31a全域を均一な温度で加熱したり、プレート本体31の上面31aに温度差を発生させたりすることができる。ヒータ33は、プレート本体31の上面31a中央部を加熱する中央ヒータと、プレート本体31の上面31a中央部を取り囲む環状の上面中間部を加熱する中間ヒータと、プレート本体31の上面31a中間部を取り囲む環状の上面周縁部を加熱する周縁ヒータとを含む。   As shown in FIG. 3, the plurality of heaters 33 are arranged inside the plate body 31. The plurality of heaters 33 heat the entire upper surface 31 a of the plate body 31. The plurality of heaters 33 individually heat the plurality of regions on the upper surface of the substrate W at independent temperatures for each region. Therefore, the control device 3 can control the plurality of heaters 33 to heat the entire upper surface 31a of the plate body 31 at a uniform temperature or generate a temperature difference on the upper surface 31a of the plate body 31. . The heater 33 includes a central heater that heats the central portion of the upper surface 31a of the plate body 31, an intermediate heater that heats an annular upper surface surrounding the central portion of the upper surface 31a of the plate body 31, and an intermediate portion of the upper surface 31a of the plate body 31. And a peripheral heater for heating the peripheral upper peripheral edge of the ring.

図2に示すように、複数の支持ピン32は、基板Wの下面がたとえば0.1mm程度の間隔を空けてプレート本体31の上面31aに対向するように、基板Wをプレート本体31の上方で支持する。ヒータ33の熱は、プレート本体31の上面31aに伝達される。ヒータ33の熱は、基板Wとプレート本体31との間の空間を介して基板Wに伝達される。また、ヒータ33の熱は、基板Wの下面に点接触する支持ピン32を介して基板Wに伝達される。基板Wとプレート本体31とが近接しているので、基板Wの加熱効率の低下を抑えることができる。さらに、基板Wと支持ピン32との接触面積が小さいので、基板Wの温度の均一性の低下を抑えることができる。   As shown in FIG. 2, the plurality of support pins 32 allow the substrate W to be placed above the plate body 31 so that the lower surface of the substrate W faces the upper surface 31 a of the plate body 31 with an interval of about 0.1 mm, for example. To support. The heat of the heater 33 is transmitted to the upper surface 31 a of the plate body 31. The heat of the heater 33 is transmitted to the substrate W through the space between the substrate W and the plate body 31. The heat of the heater 33 is transmitted to the substrate W through the support pins 32 that are in point contact with the lower surface of the substrate W. Since the substrate W and the plate body 31 are close to each other, a decrease in the heating efficiency of the substrate W can be suppressed. Furthermore, since the contact area between the substrate W and the support pins 32 is small, it is possible to suppress a decrease in temperature uniformity of the substrate W.

基板Wの下面がプレート本体31の上面31aに面接触している場合、基板Wの下面とプレート本体31の上面31aとが上下方向に離れるときに、両者の間で負圧が発生し、基板Wがプレート本体31に吸着されることがある。この実施形態では、基板Wは、基板Wの下面がプレート本体31の上面31aから離れた状態で、複数の支持ピン32に支持される。したがって、このような現象の発生を抑制または防止できる。さらに、基板Wの下面がプレート本体31の上面31aから離れているので、プレート本体31の上面31aにある異物が基板Wに付着することを抑制または防止できる。   When the lower surface of the substrate W is in surface contact with the upper surface 31a of the plate main body 31, when the lower surface of the substrate W and the upper surface 31a of the plate main body 31 are separated in the vertical direction, a negative pressure is generated between them. W may be adsorbed to the plate body 31. In this embodiment, the substrate W is supported by the plurality of support pins 32 in a state where the lower surface of the substrate W is separated from the upper surface 31 a of the plate body 31. Therefore, occurrence of such a phenomenon can be suppressed or prevented. Furthermore, since the lower surface of the substrate W is separated from the upper surface 31 a of the plate body 31, it is possible to suppress or prevent foreign matter on the upper surface 31 a of the plate body 31 from adhering to the substrate W.

図2に示すように、支持テーブル34は、ホットプレート30を支持する円板状のテーブル部35と、テーブル部35の中央部から回転軸線A1に沿って下方に延びるシャフト部36とを含む。シャフト部36は、インナーチャンバー7の底壁部9を通ってインナーチャンバー7の中からインナーチャンバー7の外に延びている。支持テーブル34のシャフト部36とインナーチャンバー7の底壁部9との間の隙間は、環状のシール部材SL2によって密閉されている。プレート昇降ユニット37は、シャフト部36に接続されている。   As shown in FIG. 2, the support table 34 includes a disk-like table portion 35 that supports the hot plate 30, and a shaft portion 36 that extends downward from the center portion of the table portion 35 along the rotation axis A <b> 1. The shaft portion 36 extends from the inner chamber 7 to the outside of the inner chamber 7 through the bottom wall portion 9 of the inner chamber 7. A gap between the shaft portion 36 of the support table 34 and the bottom wall portion 9 of the inner chamber 7 is sealed by an annular seal member SL2. The plate lifting / lowering unit 37 is connected to the shaft portion 36.

処理ユニット2内部に搬入された基板Wは、最初、第1保持ユニット15の複数の固定ピン16に保持される。このとき、ホットプレート30は第1保持ユニット15よりも下方に退避している。その後、ホットプレート30が上昇する。ホットプレート30が上昇する過程で第1保持ユニット15からホットプレート30に基板Wが受け渡される。ホットプレート30がさらに上昇すると、基板Wは複数の固定ピン16による基板Wの支持位置より上方まで移動する。この状態からホットプレート30を下降させると、ホットプレート30から複数の固定ピン16に基板Wが受け渡される。このように基板Wはホットプレート30の昇降により、複数の固定ピン16とホットプレート30との間で相互に受け渡される。   The substrate W carried into the processing unit 2 is first held by the plurality of fixing pins 16 of the first holding unit 15. At this time, the hot plate 30 is retracted below the first holding unit 15. Thereafter, the hot plate 30 rises. The substrate W is transferred from the first holding unit 15 to the hot plate 30 in the process of raising the hot plate 30. When the hot plate 30 is further raised, the substrate W moves to a position higher than the position where the plurality of fixing pins 16 support the substrate W. When the hot plate 30 is lowered from this state, the substrate W is delivered from the hot plate 30 to the plurality of fixing pins 16. In this way, the substrate W is transferred between the plurality of fixing pins 16 and the hot plate 30 as the hot plate 30 moves up and down.

図2および図5に示すように、プレート昇降ユニット37は、支持テーブル34を移動させることにより、上位置(図5に示す位置)と下位置(図2に示す位置)との間でホットプレート30を鉛直方向に昇降させる。上位置は、複数の固定ピン16による基板Wの支持位置が、ホットプレート30による基板Wの支持位置の上方に位置する高さである。下位置は、複数の固定ピン16による基板Wの支持位置が、ホットプレート30による基板Wの支持位置の下方に位置する高さである。下位置は、ホットプレート30が複数の固定ピン16による基板Wの支持位置よりも下方に退避した退避位置である。プレート昇降ユニット37は、上位置から下位置までの任意の高さにホットプレート30を位置させることができる。   As shown in FIGS. 2 and 5, the plate elevating unit 37 moves the support table 34 to move the hot plate between the upper position (position shown in FIG. 5) and the lower position (position shown in FIG. 2). 30 is moved up and down in the vertical direction. The upper position is a height at which the support position of the substrate W by the plurality of fixing pins 16 is located above the support position of the substrate W by the hot plate 30. The lower position is a height at which the support position of the substrate W by the plurality of fixing pins 16 is located below the support position of the substrate W by the hot plate 30. The lower position is a retracted position where the hot plate 30 is retracted below the position where the substrate W is supported by the plurality of fixing pins 16. The plate lifting / lowering unit 37 can position the hot plate 30 at an arbitrary height from the upper position to the lower position.

図2および図5に示すように、第1保持ユニット15の複数の固定ピン16が基板Wを支持している状態(基板Wの把持が解除されている状態)で、プレート昇降ユニット37がホットプレート30を基板Wの下面よりも上方の高さまで上昇させると、基板Wは複数の固定ピン16からホットプレート30に移動する。これとは反対に、ホットプレート30が基板Wを支持している状態で、プレート昇降ユニット37がホットプレート30を複数の固定ピン16よりも下方の高さまで下降させると、基板Wはホットプレート30から複数の固定ピン16に移動する。   As shown in FIGS. 2 and 5, the plate elevating unit 37 is hot in a state in which the plurality of fixing pins 16 of the first holding unit 15 support the substrate W (in a state where the grip of the substrate W is released). When the plate 30 is raised to a height above the lower surface of the substrate W, the substrate W moves from the plurality of fixing pins 16 to the hot plate 30. On the contrary, when the plate lifting unit 37 lowers the hot plate 30 to a height below the plurality of fixing pins 16 in a state where the hot plate 30 supports the substrate W, the substrate W is moved to the hot plate 30. To a plurality of fixing pins 16.

図2に示すように、処理ユニット2は、ホットプレート30の上面中央部で開口する上向き吐出口40に気体を供給する下気体配管41と、下気体配管41に介装された下気体バルブ42と、下気体配管41から上向き吐出口40に供給される気体を加熱するインラインヒータとを含む。上向き吐出口40に供給される気体は、窒素ガスである。上向き吐出口40に供給される気体は、窒素ガスに限らず、アルゴンガスなどの窒素ガス以外の不活性ガスであってもよいし、乾燥空気または清浄空気であってもよい。上向き吐出口40に供給される気体の温度は、室温であってもよいし、室温よりも高くてもよい。   As shown in FIG. 2, the processing unit 2 includes a lower gas pipe 41 that supplies gas to an upward discharge port 40 that opens at the center of the upper surface of the hot plate 30, and a lower gas valve 42 that is interposed in the lower gas pipe 41. And an in-line heater that heats the gas supplied from the lower gas pipe 41 to the upward discharge port 40. The gas supplied to the upward discharge port 40 is nitrogen gas. The gas supplied to the upward discharge port 40 is not limited to nitrogen gas, and may be an inert gas other than nitrogen gas such as argon gas, or may be dry air or clean air. The temperature of the gas supplied to the upward discharge port 40 may be room temperature or higher than room temperature.

図2に示すように、処理ユニット2は、処理液または処理ガスを下方に吐出するスキャンノズルと、スキャンノズルが先端部に取り付けられたノズルアーム49と、ノズルアーム49を移動させるアーム揺動ユニット50とを含む。図2は、処理ユニット2が2つのスキャンノズル(薬液ノズル43およびリンス液ノズル46)を含む例を示している。薬液ノズル43は、薬液バルブ45が介装された薬液配管44に接続されている。リンス液ノズル46は、リンス液バルブ48が介装されたリンス液配管47に接続されている。   As shown in FIG. 2, the processing unit 2 includes a scan nozzle that discharges a processing liquid or a processing gas downward, a nozzle arm 49 with the scan nozzle attached to the tip, and an arm swing unit that moves the nozzle arm 49. 50. FIG. 2 shows an example in which the processing unit 2 includes two scan nozzles (chemical solution nozzle 43 and rinse solution nozzle 46). The chemical liquid nozzle 43 is connected to a chemical liquid pipe 44 in which a chemical liquid valve 45 is interposed. The rinse liquid nozzle 46 is connected to a rinse liquid pipe 47 in which a rinse liquid valve 48 is interposed.

薬液ノズル43から吐出される薬液の例は、硫酸、酢酸、硝酸、塩酸、フッ酸、アンモニア水、過酸化水素水、有機酸(たとえばクエン酸、蓚酸など)、有機アルカリ(たとえば、TMAH:テトラメチルアンモニウムハイドロオキサイドなど)、界面活性剤、腐食防止剤のうちの少なくとも1つを含む液である。
リンス液ノズル46から吐出されるリンス液は、純水(脱イオン水:Deionzied Water)である。リンス液ノズル46から吐出されるリンス液は、純水に限らず、炭酸水、電解イオン水、水素水、オゾン水、および希釈濃度(たとえば、10〜100ppm程度)の塩酸水のいずれかであってもよい。
Examples of the chemical liquid discharged from the chemical liquid nozzle 43 are sulfuric acid, acetic acid, nitric acid, hydrochloric acid, hydrofluoric acid, aqueous ammonia, hydrogen peroxide, organic acid (for example, citric acid and oxalic acid), organic alkali (for example, TMAH: tetra A liquid containing at least one of methylammonium hydroxide and the like, a surfactant, and a corrosion inhibitor.
The rinse liquid discharged from the rinse liquid nozzle 46 is pure water (deionized water). The rinse liquid discharged from the rinse liquid nozzle 46 is not limited to pure water but may be any of carbonated water, electrolytic ion water, hydrogen water, ozone water, and hydrochloric acid water having a diluted concentration (for example, about 10 to 100 ppm). May be.

アーム揺動ユニット50は、インナーチャンバー7のチャンバー本体8とインナーチャンバー7の上蓋11との間の空間を通じて、ノズルアーム49の先端部をインナーチャンバー7の内部とインナーチャンバー7の外部との間で移動させる。これにより、スキャンノズルから吐出された処理液が基板Wの上面に着液する処理位置と、スキャンノズルが基板Wの周囲に退避した退避位置との間で、スキャンノズルが水平に移動する。処理位置は、処理液が基板Wの上面中央部に着液する中央位置と、処理液が基板Wの上面周縁部に着液する周縁位置とを含む。   The arm swinging unit 50 passes the tip of the nozzle arm 49 between the inside of the inner chamber 7 and the outside of the inner chamber 7 through the space between the chamber body 8 of the inner chamber 7 and the upper lid 11 of the inner chamber 7. Move. Accordingly, the scan nozzle moves horizontally between the processing position where the processing liquid discharged from the scan nozzle is deposited on the upper surface of the substrate W and the retreat position where the scan nozzle is retreated around the substrate W. The processing position includes a central position where the processing liquid is deposited on the center of the upper surface of the substrate W and a peripheral position where the processing liquid is deposited on the peripheral edge of the upper surface of the substrate W.

図2に示すように、処理ユニット2は、処理液または処理ガスを下方に吐出する固定ノズルを含む。図2は、処理ユニット2が3つの固定ノズル(上リンス液ノズル51、上溶剤ノズル54、および上気体ノズル57)を含む例を示している。上リンス液ノズル51、上溶剤ノズル54、および上気体ノズル57は、上蓋11に保持されており、上蓋11と共に鉛直方向に昇降する。上リンス液ノズル51、上溶剤ノズル54、および上気体ノズル57は、上蓋11の中央部を上下方向に貫通する貫通穴内に配置されている。上リンス液ノズル51は、上リンス液バルブ53が介装された上リンス液配管52に接続されている。上溶剤ノズル54は、上溶剤バルブ56が介装された上溶剤配管55に接続されている。上気体ノズル57は、上気体バルブ59が介装された上気体配管58に接続されている。   As shown in FIG. 2, the processing unit 2 includes a fixed nozzle that discharges a processing liquid or a processing gas downward. FIG. 2 shows an example in which the processing unit 2 includes three fixed nozzles (an upper rinse liquid nozzle 51, an upper solvent nozzle 54, and an upper gas nozzle 57). The upper rinse liquid nozzle 51, the upper solvent nozzle 54, and the upper gas nozzle 57 are held by the upper lid 11 and move up and down together with the upper lid 11. The upper rinse liquid nozzle 51, the upper solvent nozzle 54, and the upper gas nozzle 57 are disposed in a through hole that penetrates the central portion of the upper lid 11 in the vertical direction. The upper rinse liquid nozzle 51 is connected to an upper rinse liquid pipe 52 in which an upper rinse liquid valve 53 is interposed. The upper solvent nozzle 54 is connected to an upper solvent pipe 55 in which an upper solvent valve 56 is interposed. The upper gas nozzle 57 is connected to an upper gas pipe 58 in which an upper gas valve 59 is interposed.

上リンス液ノズル51から吐出されるリンス液は、純水である。上リンス液ノズル51から吐出されるリンス液は、純水に限らず、前述の他のリンス液であってもよい。
上溶剤ノズル54から吐出される溶剤の液体は、室温のIPAの液体である。IPAの液体は、水よりも表面張力が小さく、水よりも沸点が低い低表面張力液の一例である。低表面張力液は、IPAに限らず、HFE(ハイドロフロロエーテル)の液体であってもよい。
The rinse liquid discharged from the upper rinse liquid nozzle 51 is pure water. The rinse liquid discharged from the upper rinse liquid nozzle 51 is not limited to pure water, and may be the other rinse liquid described above.
The solvent liquid discharged from the upper solvent nozzle 54 is an IPA liquid at room temperature. The IPA liquid is an example of a low surface tension liquid having a lower surface tension than water and a lower boiling point than water. The low surface tension liquid is not limited to IPA, but may be a liquid of HFE (hydrofluoroether).

上気体ノズル57に供給される気体は、室温の窒素ガスである。上気体ノズル57に供給される気体は、窒素ガスに限らず、アルゴンガスなどの窒素ガス以外の不活性ガスであってもよいし、乾燥空気または清浄空気であってもよい。また、上気体ノズル57に供給される気体の温度は、室温より高くてもよい。
図2に示すように、カップ38は、上位置(図2に示す位置)と下位置との間で鉛直方向に昇降可能である。上位置は、カップ38の上端がインナーチャンバー7の下周壁部10の上端とノズルアーム49との間の高さに位置する処理位置である。下位置は、カップ38の上端がインナーチャンバー7の下周壁部10の上端よりも下方に位置する退避位置である。処理ユニット2は、カップ38を上位置と下位置との間で鉛直方向に昇降させるカップ昇降ユニット(図示せず)を含む。上蓋11およびカップ38が上位置に位置している状態では、第1保持ユニット15に保持されている基板Wからその周囲に排出された処理液が、カップ38によって受け止められ、カップ38内に集められる。そして、カップ38内に集められた処理液は、図示しない回収装置または排液装置に送られる。
The gas supplied to the upper gas nozzle 57 is nitrogen gas at room temperature. The gas supplied to the upper gas nozzle 57 is not limited to nitrogen gas, and may be an inert gas other than nitrogen gas such as argon gas, or may be dry air or clean air. Further, the temperature of the gas supplied to the upper gas nozzle 57 may be higher than room temperature.
As shown in FIG. 2, the cup 38 can be moved up and down in the vertical direction between an upper position (position shown in FIG. 2) and a lower position. The upper position is a processing position where the upper end of the cup 38 is located at a height between the upper end of the lower peripheral wall portion 10 of the inner chamber 7 and the nozzle arm 49. The lower position is a retreat position where the upper end of the cup 38 is positioned below the upper end of the lower peripheral wall portion 10 of the inner chamber 7. The processing unit 2 includes a cup elevating unit (not shown) that elevates and lowers the cup 38 in the vertical direction between the upper position and the lower position. In a state where the upper lid 11 and the cup 38 are located at the upper position, the processing liquid discharged around the substrate W held by the first holding unit 15 is received by the cup 38 and collected in the cup 38. It is done. Then, the processing liquid collected in the cup 38 is sent to a collecting device or a draining device (not shown).

図6に示すように、処理ユニット2は、基板W上の液体を外方に誘導する複数(3つ以上。たとえば、4つ)の誘導部材60を含む。図5に示すように、誘導部材60は、上下方向に延びる第1部分61と、第1部分61から内方(回転軸線A1に向かう方向)に延びる第2部分62とを有する。誘導部材60は、上蓋11に保持されており、上蓋11と共に鉛直方向に昇降する。図6に示すように、複数の誘導部材60は、等間隔で基板Wの周方向に配列されている。誘導部材60の内端60iは、平面視で基板Wに重なる位置に配置されている。誘導部材60の外端60oは、平面視で基板Wに重ならない位置(基板Wの周囲)に配置されている。   As shown in FIG. 6, the processing unit 2 includes a plurality of (three or more, for example, four) guiding members 60 that guide the liquid on the substrate W outward. As illustrated in FIG. 5, the guide member 60 includes a first portion 61 that extends in the up-down direction and a second portion 62 that extends inward from the first portion 61 (a direction toward the rotation axis A <b> 1). The guide member 60 is held by the upper lid 11 and moves up and down with the upper lid 11 in the vertical direction. As shown in FIG. 6, the plurality of guide members 60 are arranged in the circumferential direction of the substrate W at equal intervals. The inner end 60i of the guide member 60 is disposed at a position overlapping the substrate W in plan view. The outer end 60o of the guide member 60 is disposed at a position (around the substrate W) that does not overlap the substrate W in plan view.

以下、上蓋11が下位置にある状態を基準にして誘導部材60の説明を続ける。図5に示すように、誘導部材60は、間隔を空けて基板Wの上面および周縁部に対向する内面を有する。誘導部材60の内面は、斜め下に外方に延びる外方誘導面63と、外方誘導面63の外端63o(下端)から下方に鉛直に延びる下方誘導面64とを有する。外方誘導面63の内端63iの高さは、後述する有機溶剤加熱工程および有機溶剤排除工程時における基板Wの上面の平坦部分よりも上方に位置するような高さに設定されている。外方誘導面63の外端63oは、基板Wよりも外方に配置されている。外方誘導面63の外端63oの高さは、有機溶剤加熱工程および有機溶剤排除工程時における基板Wの上面よりも下方で、かつ、基板Wの下面よりも上方に配置されるような高さに設定されている。下方誘導面64の下端は、有機溶剤加熱工程および有機溶剤排除工程時における基板Wよりも下方に配置されている。   Hereinafter, the description of the guide member 60 will be continued based on the state in which the upper lid 11 is in the lower position. As shown in FIG. 5, the guide member 60 has an inner surface that faces the upper surface and the peripheral edge of the substrate W with a space therebetween. The inner surface of the guide member 60 includes an outer guide surface 63 that extends obliquely downward and a lower guide surface 64 that extends vertically downward from an outer end 63o (lower end) of the outer guide surface 63. The height of the inner end 63i of the outer guide surface 63 is set to be higher than the flat portion of the upper surface of the substrate W in the organic solvent heating step and the organic solvent removal step described later. The outer end 63 o of the outer guide surface 63 is disposed outward from the substrate W. The height of the outer end 63o of the outer guide surface 63 is such that it is disposed below the upper surface of the substrate W and above the lower surface of the substrate W during the organic solvent heating step and the organic solvent removal step. Is set. The lower end of the lower guide surface 64 is disposed below the substrate W during the organic solvent heating step and the organic solvent removal step.

図11Aに示すように、処理ユニット2によって処理される基板Wは、たとえば、パターン形成面であるその表面(上面100)にパターン101が形成されたシリコンウエハである。
図11Aに示すように、パターン101は、凸形状(柱状)を有する構造物102が行列状に配置されたものであってもよい。この場合、構造物102の線幅W1はたとえば10nm〜45nm程度であり、隣接する構造物102間の隙間W2はたとえば10nm〜数μm程度である。
As shown in FIG. 11A, the substrate W processed by the processing unit 2 is, for example, a silicon wafer in which a pattern 101 is formed on the surface (upper surface 100) which is a pattern forming surface.
As shown in FIG. 11A, the pattern 101 may be a structure in which structures 102 having convex shapes (columnar shapes) are arranged in a matrix. In this case, the line width W1 of the structure 102 is, for example, about 10 nm to 45 nm, and the gap W2 between the adjacent structures 102 is, for example, about 10 nm to several μm.

また、パターン101は、微細なトレンチにより形成されたライン状のパターンが、繰り返し並ぶものであってもよい。
また、パターン101は、薄膜に、複数の微細孔(ボイド(void)またはポア(pore))を設けることにより形成されていてもよい。
パターン101は、たとえば絶縁膜を含む。また、パターン101は、導体膜を含んでいてもよい。より具体的には、パターン101は、複数の膜を積層した積層膜により形成されていてもよい。積層膜は、絶縁膜と導体膜とを含んでいてもよい。パターン101は、単層膜で構成されるパターンであってもよい。絶縁膜は、シリコン酸化膜(SiO膜)やシリコン窒化膜(SiN膜)であってもよい。また、導体膜は、低抵抗化のための不純物を導入したアモルファスシリコン膜であってもよいし、金属膜(たとえば金属配線膜)であってもよい。
The pattern 101 may be a pattern in which line-shaped patterns formed by fine trenches are repeatedly arranged.
The pattern 101 may be formed by providing a plurality of fine holes (voids or pores) in the thin film.
The pattern 101 includes an insulating film, for example. The pattern 101 may include a conductor film. More specifically, the pattern 101 may be formed of a laminated film in which a plurality of films are laminated. The laminated film may include an insulating film and a conductor film. The pattern 101 may be a pattern composed of a single layer film. The insulating film may be a silicon oxide film (SiO 2 film) or a silicon nitride film (SiN film). The conductor film may be an amorphous silicon film into which impurities for reducing resistance are introduced, or may be a metal film (for example, a metal wiring film).

また、パターン101の膜厚T(図11A参照)は、たとえば、50nm〜5μm程度である。また、パターン101は、たとえば、アスペクト比(線幅W1に対する膜厚Tの比)が、たとえば、5〜500程度であってもよい(典型的には、5〜50程度である)。
次に、処理ユニット2によって行われる基板Wの処理の一例について説明する。以下では、図2および図10を参照する。処理ユニット2によって基板Wが処理されるときには、アウターチャンバー4内に基板Wを搬入する搬入工程(図10のステップS1)が行われる。
The film thickness T (see FIG. 11A) of the pattern 101 is, for example, about 50 nm to 5 μm. The pattern 101 may have an aspect ratio (ratio of the film thickness T to the line width W1) of, for example, about 5 to 500 (typically about 5 to 50).
Next, an example of processing of the substrate W performed by the processing unit 2 will be described. In the following, reference is made to FIGS. When the substrate W is processed by the processing unit 2, a loading process (step S <b> 1 in FIG. 10) for loading the substrate W into the outer chamber 4 is performed.

具体的には、制御装置3は、上蓋11、ノズルアーム49、およびカップ38を退避させた状態で、基板Wを保持している搬送ロボットCRのハンドHをアウターチャンバー4内に進入させる。そして、制御装置3は、ハンドHの上の基板Wが複数の固定ピン16の上に置かれるように、搬送ロボットCRを制御する。このとき、ホットプレート30は、基板Wの裏面(下面)に接触する高さから下方に離れているものの、チャック開閉ユニット25が可動ピン19を駆動可能な高さに配置されている。さらに、チャック開閉ユニット25は、可動ピン19を開位置に位置させている。制御装置3は、デバイス形成面である表面が上に向けられた状態で基板Wが複数の固定ピン16の上に置かれた後、搬送ロボットCRのハンドHをアウターチャンバー4内から退避させる。   Specifically, the control device 3 causes the hand H of the transfer robot CR holding the substrate W to enter the outer chamber 4 with the upper lid 11, the nozzle arm 49, and the cup 38 retracted. Then, the control device 3 controls the transport robot CR so that the substrate W on the hand H is placed on the plurality of fixing pins 16. At this time, the hot plate 30 is disposed at a height at which the chuck opening / closing unit 25 can drive the movable pin 19, although the hot plate 30 is spaced downward from the height at which it contacts the back surface (lower surface) of the substrate W. Further, the chuck opening / closing unit 25 positions the movable pin 19 in the open position. The control device 3 retracts the hand H of the transport robot CR from the outer chamber 4 after the substrate W is placed on the plurality of fixing pins 16 in a state where the surface that is the device formation surface is directed upward.

制御装置3は、基板Wが複数の固定ピン16の固定支持部17の上に置かれた後、チャック開閉ユニット25によって可動ピン19を開位置から閉位置に移動させる。これにより、可動ピン19の可動把持部22が基板Wの周縁部に押し付けられると共に、固定ピン16の固定把持部18が基板Wの周縁部に押し付けられる。そのため、基板Wが固定ピン16および可動ピン19によって水平な姿勢で把持される。そして、制御装置3は、基板Wが把持された後、リング回転ユニット24に基板Wの回転を開始させる。さらに、制御装置3は、基板Wから排出された処理液をカップ38が受け止める上位置にカップ38を上昇させる。   The control device 3 moves the movable pin 19 from the open position to the closed position by the chuck opening / closing unit 25 after the substrate W is placed on the fixed support portions 17 of the plurality of fixed pins 16. As a result, the movable grip portion 22 of the movable pin 19 is pressed against the peripheral portion of the substrate W, and the fixed grip portion 18 of the fixed pin 16 is pressed against the peripheral portion of the substrate W. Therefore, the substrate W is held in a horizontal posture by the fixed pins 16 and the movable pins 19. Then, after the substrate W is gripped, the control device 3 causes the ring rotation unit 24 to start rotating the substrate W. Furthermore, the control device 3 raises the cup 38 to an upper position where the cup 38 receives the processing liquid discharged from the substrate W.

次に、薬液を基板Wに供給する薬液供給工程(図10のステップS2)が行われる。
具体的には、制御装置3は、アーム揺動ユニット50を制御することにより上蓋11が上位置に退避し、ホットプレート30が基板Wから離れた状態で、ノズルアーム49を退避位置から処理位置に移動させる。これにより、薬液ノズル43は、インナーチャンバー7の下周壁部10とインナーチャンバー7の上周壁部13との間を通って、基板Wの上方に移動する。制御装置3は、薬液ノズル43を基板Wの上方に移動させた後、薬液バルブ45を開いて、回転している基板Wの上面に向けて薬液を薬液ノズル43に吐出させる。制御装置3は、この状態でアーム揺動ユニット50を制御することにより、基板Wの上面に対する薬液の着液位置を中央部と周縁部との間で移動させる。そして、薬液バルブ45が開かれてから所定時間が経過すると、制御装置3は、薬液バルブ45を閉じて、薬液の吐出を停止させる。
Next, a chemical solution supply process (step S2 in FIG. 10) for supplying the chemical solution to the substrate W is performed.
Specifically, the control device 3 controls the arm swinging unit 50 to retract the upper lid 11 to the upper position and move the nozzle arm 49 from the retracted position to the processing position while the hot plate 30 is separated from the substrate W. Move to. Accordingly, the chemical nozzle 43 moves between the lower peripheral wall portion 10 of the inner chamber 7 and the upper peripheral wall portion 13 of the inner chamber 7 and moves above the substrate W. The control device 3 moves the chemical liquid nozzle 43 above the substrate W, then opens the chemical liquid valve 45 and causes the chemical liquid nozzle 43 to discharge the chemical liquid toward the upper surface of the rotating substrate W. In this state, the control device 3 controls the arm swing unit 50 to move the liquid deposition position on the upper surface of the substrate W between the central portion and the peripheral portion. When a predetermined time elapses after the chemical liquid valve 45 is opened, the control device 3 closes the chemical liquid valve 45 and stops the discharge of the chemical liquid.

薬液ノズル43から吐出された薬液は、基板Wの上面に着液した後、遠心力によって基板Wの上面に沿って外方に流れる。そして、基板Wの周縁部からその周囲に飛散した薬液は、インナーチャンバー7の下周壁部10の上方を通過して、カップ38に受け止められる。薬液は、基板Wの上面全域に供給され、基板Wの上面全域を覆う液膜を形成する。さらに、制御装置3は、基板Wが回転している状態で、基板Wの上面に対する薬液の着液位置を中央部と周縁部との間で移動させるので、薬液の着液位置が、基板Wの上面全域を通過する。そのため、基板Wの上面が均一に薬液で処理される。   The chemical liquid discharged from the chemical liquid nozzle 43 lands on the upper surface of the substrate W, and then flows outward along the upper surface of the substrate W by centrifugal force. Then, the chemical solution scattered from the peripheral portion of the substrate W to the periphery thereof passes above the lower peripheral wall portion 10 of the inner chamber 7 and is received by the cup 38. The chemical solution is supplied to the entire upper surface of the substrate W to form a liquid film that covers the entire upper surface of the substrate W. Furthermore, since the control device 3 moves the liquid solution landing position with respect to the upper surface of the substrate W between the central portion and the peripheral portion while the substrate W is rotating, the liquid solution landing position is the substrate W It passes through the entire upper surface of. Therefore, the upper surface of the substrate W is uniformly treated with the chemical solution.

次に、リンス液の一例である純水を基板Wに供給する第1リンス液供給工程(図10のステップS3)が行われる。
具体的には、制御装置3は、ノズルアーム49に保持されているリンス液ノズル46が基板Wの上方に位置しており、ホットプレート30が基板Wから離れた状態で、リンス液バルブ48を開く。これにより、純水が、回転している基板Wの上面中央部に向けてリンス液ノズル46から吐出される。そのため、基板W上の薬液が純水によって洗い流され、基板Wの上面全域を覆う純水の液膜が形成される。そして、リンス液バルブ48が開かれてから所定時間が経過すると、制御装置3は、リンス液バルブ48を閉じて、純水の吐出を停止させる。その後、制御装置3は、アーム揺動ユニット50を制御することにより、ノズルアーム49を処理位置から退避位置に移動させる。
Next, a first rinsing liquid supply step (step S3 in FIG. 10) for supplying pure water, which is an example of a rinsing liquid, to the substrate W is performed.
Specifically, the control device 3 sets the rinse liquid valve 48 in a state where the rinse liquid nozzle 46 held by the nozzle arm 49 is located above the substrate W and the hot plate 30 is separated from the substrate W. open. Thereby, pure water is discharged from the rinse liquid nozzle 46 toward the center of the upper surface of the rotating substrate W. Therefore, the chemical solution on the substrate W is washed away with pure water, and a pure water liquid film covering the entire upper surface of the substrate W is formed. When a predetermined time elapses after the rinsing liquid valve 48 is opened, the control device 3 closes the rinsing liquid valve 48 and stops the discharge of pure water. Thereafter, the control device 3 controls the arm swing unit 50 to move the nozzle arm 49 from the processing position to the retracted position.

次に、インナーチャンバー7を閉じるインナーチャンバー密閉工程(図10のステップS4)が行われる。
具体的には、制御装置3は、蓋昇降ユニット14を制御することにより、ノズルアーム49が退避位置に退避しており、基板Wの上面全域が純水の液膜で覆われている状態で、上蓋11を上位置から下位置に移動させる。これにより、上蓋11の上周壁部13とチャンバー本体8の下周壁部10との間の隙間が密閉される。このとき、基板Wは、固定ピン16および可動ピン19に把持されている。また、ホットプレート30は、仮にヒータ33が発熱していてもヒータ33の熱が基板Wに十分伝わらない高さまで基板Wから離れている。
Next, an inner chamber sealing step (step S4 in FIG. 10) for closing the inner chamber 7 is performed.
Specifically, the control device 3 controls the lid lifting unit 14 so that the nozzle arm 49 is retracted to the retracted position, and the entire upper surface of the substrate W is covered with a pure water liquid film. The upper lid 11 is moved from the upper position to the lower position. Thereby, the clearance gap between the upper peripheral wall part 13 of the upper cover 11 and the lower peripheral wall part 10 of the chamber main body 8 is sealed. At this time, the substrate W is held by the fixed pin 16 and the movable pin 19. The hot plate 30 is separated from the substrate W to a height at which the heat of the heater 33 is not sufficiently transmitted to the substrate W even if the heater 33 generates heat.

次に、リンス液の一例である純水を基板Wに供給する第2リンス液供給工程(図10のステップS5)が行われる。
具体的には、制御装置3は、上蓋11が下位置に移動した後、上リンス液バルブ53を開いて、回転している基板Wの上面中央部に向けて上リンス液ノズル51に純水を吐出させる。これにより、基板Wの上面全域を覆う液膜が、上リンス液ノズル51から吐出された純水によって形成される。また、基板Wの周縁部からその周囲に飛散した純水は、チャンバー本体8の底壁部9から排出される。上リンス液バルブ53が開かれてから所定時間が経過すると、制御装置3は、上リンス液バルブ53を閉じて、純水の吐出を停止させる。
Next, a second rinsing liquid supply step (step S5 in FIG. 10) for supplying pure water, which is an example of the rinsing liquid, to the substrate W is performed.
Specifically, after the upper lid 11 has moved to the lower position, the control device 3 opens the upper rinse liquid valve 53 and supplies pure water to the upper rinse liquid nozzle 51 toward the center of the upper surface of the rotating substrate W. To discharge. Thereby, a liquid film covering the entire upper surface of the substrate W is formed by pure water discharged from the upper rinse liquid nozzle 51. Further, pure water scattered from the periphery of the substrate W to the periphery thereof is discharged from the bottom wall portion 9 of the chamber body 8. When a predetermined time elapses after the upper rinse liquid valve 53 is opened, the control device 3 closes the upper rinse liquid valve 53 and stops the discharge of pure water.

次に、インナーチャンバー7が閉じられた状態で、有機溶剤の一例であるIPAの液体を基板Wに供給する有機溶剤供給工程(図10のステップS6)が行われる。
具体的には、制御装置3は、インナーチャンバー7が閉じられており、基板Wの上面全域が純水の液膜で覆われている状態で、上溶剤バルブ56を開く。このとき、基板Wは、固定ピン16および可動ピン19に把持されており、ホットプレート30は、基板Wから離れている。上溶剤ノズル54から吐出されたIPAの液体は、回転している基板Wの上面中央部に着液し、基板Wの上面に沿って外方に流れる。これにより、基板W上の純水がIPAの液体に置換され、基板Wの上面全域を覆うIPAの液膜が形成される。そして、上溶剤バルブ56が開かれてから所定時間が経過すると、制御装置3は、上溶剤バルブ56を閉じて、IPAの吐出を停止させる。
Next, an organic solvent supply step (step S6 in FIG. 10) for supplying an IPA liquid, which is an example of an organic solvent, to the substrate W is performed in a state where the inner chamber 7 is closed.
Specifically, the control device 3 opens the upper solvent valve 56 in a state where the inner chamber 7 is closed and the entire upper surface of the substrate W is covered with a liquid film of pure water. At this time, the substrate W is held by the fixed pins 16 and the movable pins 19, and the hot plate 30 is separated from the substrate W. The IPA liquid discharged from the upper solvent nozzle 54 is deposited on the center of the upper surface of the rotating substrate W and flows outward along the upper surface of the substrate W. Thus, the pure water on the substrate W is replaced with the IPA liquid, and an IPA liquid film covering the entire upper surface of the substrate W is formed. When a predetermined time elapses after the upper solvent valve 56 is opened, the control device 3 closes the upper solvent valve 56 and stops the discharge of IPA.

上溶剤ノズル54がIPAの液体を吐出している間、基板Wの回転速度は、一定であってもよいし、変更されてもよい。たとえば、純水からIPAへの置換を促進するために、IPAの液体が吐出される期間の初期だけ置換促進速度(たとえば800rpm)で基板Wを回転させた後、置換促進速度よりも小さい置換後速度で基板Wを回転させてもよい。また、IPAへの置換が完了した後、IPAの吐出を停止した状態で、基板Wの上面全域を覆うIPAのパドルを基板W上に保持させてもよい。具体的には、基板Wの回転速度をパドル速度(0を超える50rpm未満の速度。たとえば20rpm)まで低下させた後、もしくは、基板Wの回転を停止させた後、上溶剤ノズル54からのIPAの吐出を停止させてもよい。この場合、遠心力の低下により基板WからのIPAの排出量が減少するので、所定の膜厚を有するIPAのパドルが基板W上に保持される。   While the upper solvent nozzle 54 discharges the IPA liquid, the rotation speed of the substrate W may be constant or may be changed. For example, in order to promote the replacement of pure water with IPA, after the substrate W is rotated at a replacement acceleration speed (for example, 800 rpm) only at the beginning of the period during which the IPA liquid is discharged, after the replacement is smaller than the replacement acceleration speed. The substrate W may be rotated at a speed. Alternatively, the IPA paddle covering the entire upper surface of the substrate W may be held on the substrate W in a state where the discharge of the IPA is stopped after the replacement with the IPA is completed. Specifically, after the rotation speed of the substrate W is reduced to a paddle speed (speed exceeding 0 rpm and less than 50 rpm, for example, 20 rpm), or after the rotation of the substrate W is stopped, the IPA from the upper solvent nozzle 54 is used. May be stopped. In this case, since the discharge amount of IPA from the substrate W decreases due to the decrease in centrifugal force, the IPA paddle having a predetermined film thickness is held on the substrate W.

次に、第1保持ユニット15から第2保持ユニット29に基板Wを移動させる第1基板受け渡し工程(図10のステップS7)が行われる。具体的には、制御装置3は、支持リング23の回転角とホットプレート30の高さとを制御することにより、ホットプレート30に保持されたチャック開閉ユニット25が支持リング23上の可動ピン19を駆動可能な位置に、チャック開閉ユニット25および可動ピン19を移動させる。その後、制御装置3は、チャック開閉ユニット25を制御することにより、可動ピン19を閉位置から開位置に移動させる。これにより、固定ピン16および可動ピン19による基板Wの把持が解除され、非把持状態で基板Wが複数の固定ピン16に支持される。制御装置3は、プレート昇降ユニット37を制御することにより、ホットプレート30を上方に移動させる。これにより、基板Wはホットプレート30の支持ピン32によって持ち上げられ、複数の固定ピン16から離れて上昇する。制御装置3は、基板W上のIPAの液膜が先述の誘導部材60の外方誘導面63および下方誘導面64(図5参照)に接触する手前までホットプレート30を上昇させる。   Next, a first substrate transfer process (step S7 in FIG. 10) is performed in which the substrate W is moved from the first holding unit 15 to the second holding unit 29. Specifically, the control device 3 controls the rotation angle of the support ring 23 and the height of the hot plate 30 so that the chuck opening / closing unit 25 held by the hot plate 30 moves the movable pin 19 on the support ring 23. The chuck opening / closing unit 25 and the movable pin 19 are moved to a drivable position. Thereafter, the control device 3 controls the chuck opening / closing unit 25 to move the movable pin 19 from the closed position to the open position. Thereby, the holding of the substrate W by the fixed pins 16 and the movable pins 19 is released, and the substrate W is supported by the plurality of fixed pins 16 in a non-holding state. The control device 3 moves the hot plate 30 upward by controlling the plate lifting / lowering unit 37. As a result, the substrate W is lifted by the support pins 32 of the hot plate 30 and rises away from the plurality of fixing pins 16. The control device 3 raises the hot plate 30 until the liquid film of IPA on the substrate W comes into contact with the outer guide surface 63 and the lower guide surface 64 (see FIG. 5) of the guide member 60 described above.

次に、基板W上のIPAの液体を蒸発させることにより、IPAの液膜と基板Wの上面との間に気相を形成する有機溶剤加熱工程(図10のステップS8)が行われる。
具体的には、制御装置3は、ヒータ33への通電を開始することにより、ヒータ33を発熱させる。ヒータ33の発熱は、基板Wがホットプレート30に支持されるのと同時に開始されてもよいし、基板Wがホットプレート30に支持される前または後に開始されてもよい。ヒータ33の発熱により、ホットプレート30の温度(プレート本体31の温度)が、IPAの沸点(82.4℃)よりも高い液膜浮上温度(たとえば、IPAの沸点より10〜50℃高い温度)に達し、その温度に維持される。これにより、基板Wの上面の全ての位置でIPAの液体が蒸発し、IPAの液膜が基板Wの上面から離れる。なお、このとき、基板Wと複数の誘導部材60とは、浮上後のIPAの液膜が複数の誘導部材60の外方誘導面63および下方誘導面64に接しない位置関係に設定されている。IPAの液膜の浮上の詳細については後述する。
Next, an organic solvent heating step (step S8 in FIG. 10) is performed in which the IPA liquid on the substrate W is evaporated to form a gas phase between the IPA liquid film and the upper surface of the substrate W.
Specifically, the control device 3 causes the heater 33 to generate heat by starting energization of the heater 33. Heat generation of the heater 33 may be started at the same time as the substrate W is supported by the hot plate 30, or may be started before or after the substrate W is supported by the hot plate 30. Due to the heat generated by the heater 33, the temperature of the hot plate 30 (the temperature of the plate body 31) is higher than the boiling point of IPA (82.4 ° C.) (for example, 10 to 50 ° C. higher than the boiling point of IPA). Is maintained at that temperature. As a result, the IPA liquid evaporates at all positions on the upper surface of the substrate W, and the IPA liquid film is separated from the upper surface of the substrate W. At this time, the substrate W and the plurality of guide members 60 are set in a positional relationship in which the liquid film of the IPA after floating does not contact the outer guide surface 63 and the lower guide surface 64 of the plurality of guide members 60. . Details of the floating of the IPA liquid film will be described later.

次に、IPAの液膜と基板Wの上面との間に気相が介在している状態で、IPAの液膜を基板Wから排除する有機溶剤排除工程(図10のステップS9)が行われる。
具体的には、制御装置3は、IPAの液膜が基板Wの上面から浮上した状態で、複数の誘導部材60の外方誘導面63をIPAの液膜の周縁部に接触させる。制御装置3は、例えば、ホットプレート30を有機溶剤加熱工程(図10のステップS8)のときよりも微小量上昇させて、複数の誘導部材60の外方誘導面63を基板W上のIPAの液膜に接触させる。これに限らず、ホットプレート30の高さは有機溶剤加熱工程時と有機溶剤排除工程時とで、同一であってもよい。すなわち、基板W上のIPAの液膜は有機溶剤加熱工程(ステップS8)の段階から複数の誘導部材60の外方誘導面63に接触していてもよい。IPAの液膜は、複数の誘導部材60との接触により基板Wに対して移動し、基板Wから排除される。IPAの液膜の排除の詳細については後述する。
Next, an organic solvent removing step (step S9 in FIG. 10) is performed to remove the IPA liquid film from the substrate W in a state where the gas phase is interposed between the IPA liquid film and the upper surface of the substrate W. .
Specifically, the control device 3 brings the outer guide surfaces 63 of the plurality of guide members 60 into contact with the peripheral portions of the IPA liquid film in a state where the IPA liquid film floats from the upper surface of the substrate W. For example, the control device 3 raises the hot plate 30 by a minute amount as compared with the time of the organic solvent heating step (step S8 in FIG. 10), and the outer guiding surfaces 63 of the plurality of guiding members 60 Contact the liquid film. However, the height of the hot plate 30 may be the same during the organic solvent heating step and during the organic solvent removal step. That is, the IPA liquid film on the substrate W may be in contact with the outer guide surfaces 63 of the plurality of guide members 60 from the stage of the organic solvent heating step (step S8). The liquid film of IPA moves with respect to the substrate W by contact with the plurality of guide members 60 and is excluded from the substrate W. Details of the removal of the IPA liquid film will be described later.

なお、有機溶剤加熱工程(ステップS8)から有機溶剤排除工程(ステップS9)にかけてホットプレート30を微小量上昇させる場合、および上昇させない場合、いずれの場合も、有機溶剤排除工程(S9)時の複数の誘導部材60と基板Wとの位置関係は、複数の誘導部材60の高さが複数の固定ピン16による基板Wの支持位置よりも上方となるように設定されている。   It should be noted that when the hot plate 30 is slightly increased or not increased from the organic solvent heating step (step S8) to the organic solvent exclusion step (step S9), a plurality of cases in the organic solvent exclusion step (S9) are used. The positional relationship between the guide member 60 and the substrate W is set so that the height of the plurality of guide members 60 is higher than the support position of the substrate W by the plurality of fixing pins 16.

次に、第2保持ユニット29から第1保持ユニット15に基板Wを移動させる第2基板受け渡し工程(図10のステップS10)が行われる。
具体的には、制御装置3は、支持リング23の回転角とホットプレート30の高さとを制御することにより、チャック開閉ユニット25が可動ピン19を駆動可能な位置までチャック開閉ユニット25および可動ピン19を移動させる。チャック開閉ユニット25は常時閉のユニットであるから、可動ピン19は閉位置に配置されている。そのため、制御装置3は、チャック開閉ユニット25を制御することにより、可動ピン19を閉位置から開位置に移動させる。制御装置3は、プレート昇降ユニット37を制御することにより、この状態でホットプレート30を下方に移動させる。これにより、複数の固定ピン16が基板Wの下面に接触し、ホットプレート30が、基板Wの下面から離れる。
Next, a second substrate transfer step (step S10 in FIG. 10) for moving the substrate W from the second holding unit 29 to the first holding unit 15 is performed.
Specifically, the control device 3 controls the rotation angle of the support ring 23 and the height of the hot plate 30, so that the chuck opening / closing unit 25 and the movable pin are moved to a position where the chuck opening / closing unit 25 can drive the movable pin 19. 19 is moved. Since the chuck opening / closing unit 25 is a normally closed unit, the movable pin 19 is disposed at the closed position. Therefore, the control device 3 controls the chuck opening / closing unit 25 to move the movable pin 19 from the closed position to the open position. The control device 3 moves the hot plate 30 downward in this state by controlling the plate lifting / lowering unit 37. As a result, the plurality of fixing pins 16 come into contact with the lower surface of the substrate W, and the hot plate 30 is separated from the lower surface of the substrate W.

次に、インナーチャンバー7を開くインナーチャンバー解放工程(図10のステップS11)と、アウターチャンバー4内から基板Wを搬出する搬出工程(図10のステップS12)とが行われる。
具体的には、制御装置3は、蓋昇降ユニット14を制御することにより、上蓋11を下位置から上位置に移動させる。このとき、ホットプレート30は、基板Wから離れているものの、チャック開閉ユニット25が可動ピン19を駆動可能な位置に配置されている。チャック開閉ユニット25は、可動ピン19を開位置に位置させている。制御装置3は、上蓋11が上位置に退避しており、カップ38が下位置に位置している状態で、搬送ロボットCRのハンドHをアウターチャンバー4内に進入させる。そして、制御装置3は、複数の固定ピン16に支持されている基板Wを搬送ロボットCRのハンドHに支持させる。その後、制御装置3は、搬送ロボットCRのハンドHをアウターチャンバー4内から退避させる。これにより、処理済みの基板Wがアウターチャンバー4から搬出される。
Next, an inner chamber releasing step (step S11 in FIG. 10) for opening the inner chamber 7 and a carrying-out step (step S12 in FIG. 10) for carrying out the substrate W from the outer chamber 4 are performed.
Specifically, the control device 3 controls the lid lifting unit 14 to move the upper lid 11 from the lower position to the upper position. At this time, although the hot plate 30 is separated from the substrate W, the chuck opening / closing unit 25 is disposed at a position where the movable pin 19 can be driven. The chuck opening / closing unit 25 positions the movable pin 19 at the open position. The control device 3 causes the hand H of the transport robot CR to enter the outer chamber 4 with the upper lid 11 retracted to the upper position and the cup 38 is positioned at the lower position. Then, the control device 3 supports the substrate W supported by the plurality of fixing pins 16 on the hand H of the transport robot CR. Thereafter, the control device 3 retracts the hand H of the transfer robot CR from the outer chamber 4. Thereby, the processed substrate W is unloaded from the outer chamber 4.

次に、有機溶剤加熱工程(図10のステップS8)におけるIPAの液膜の浮上について詳細に説明する。
上面全域がIPAの液膜で覆われた基板Wは、前述の有機溶剤加熱工程(図10のステップS8)において液膜浮上温度で均一に加熱され、液膜浮上温度に維持される。さらに、基板W上のIPAの液膜の加熱は、基板Wが回転しておらず、静止している状態で行われる。液膜浮上温度は、IPAの沸点(82.4℃)よりも所定温度(たとえば、10〜50℃)高い温度である。液膜浮上温度は、浮上しているIPAの液膜が沸騰しない程度の温度である。
Next, the floating of the IPA liquid film in the organic solvent heating step (step S8 in FIG. 10) will be described in detail.
The substrate W whose entire upper surface is covered with the liquid film of IPA is uniformly heated at the liquid film floating temperature in the organic solvent heating step (step S8 in FIG. 10), and is maintained at the liquid film floating temperature. Further, the heating of the IPA liquid film on the substrate W is performed in a state where the substrate W is not rotating and is stationary. The liquid film floating temperature is a predetermined temperature (for example, 10 to 50 ° C.) higher than the boiling point of IPA (82.4 ° C.). The liquid film floating temperature is a temperature at which the liquid film of the floating IPA does not boil.

基板Wの温度がIPAの沸点よりも高いので、基板Wの上面の各部でIPAの液体が蒸発し、IPAの蒸気が発生する。さらに、IPAの液体の温度上昇により、IPAの液体に含まれる微量の気体が膨張する。そのため、基板Wの上面(母材の上面およびパターンの表面)近傍で気泡が発生する。
図11Aに示すように、パターン101の内部(隣接する2つ構造物の間の空間または筒状の構造物の内部空間)は、IPAの液体で満たされている。IPAの蒸気の発生によって、パターン101の内部は気体で満たされる。つまり、図11Bに示すように、パターン101内のIPAの液体は、基板Wの加熱によりパターン101内から瞬時に排出される。さらに、基板W上のIPAの液膜111は、IPAの蒸気の発生によって徐々に持ち上げられ、パターン101から離れる。つまり、IPAの蒸気を含む気相112が、パターン101の上面(構造物102の上面102A)とIPAの液膜111との間に介在し、IPAの液膜111が、気相112を介して基板Wに支持される。
Since the temperature of the substrate W is higher than the boiling point of IPA, the IPA liquid evaporates at each part on the upper surface of the substrate W, and IPA vapor is generated. Furthermore, the trace amount gas contained in the IPA liquid expands due to the temperature rise of the IPA liquid. Therefore, bubbles are generated near the upper surface of the substrate W (the upper surface of the base material and the surface of the pattern).
As shown in FIG. 11A, the inside of the pattern 101 (the space between two adjacent structures or the internal space of a cylindrical structure) is filled with the IPA liquid. The pattern 101 is filled with gas by the generation of the IPA vapor. That is, as shown in FIG. 11B, the IPA liquid in the pattern 101 is instantaneously discharged from the pattern 101 by heating the substrate W. Further, the IPA liquid film 111 on the substrate W is gradually lifted by the generation of the IPA vapor and leaves the pattern 101. That is, the gas phase 112 containing the IPA vapor is interposed between the upper surface of the pattern 101 (the upper surface 102A of the structure 102) and the IPA liquid film 111, and the IPA liquid film 111 passes through the gas phase 112. Supported by the substrate W.

図12に示すように、基板Wの上面から浮上しているIPAの液膜111に、亀裂や割れ113(以下、「亀裂等113」という)が生じることがある。亀裂等113が生じた部分には、乾燥後にウォーターマーク等の欠陥が生じるおそれがある。そのため、有機溶剤加熱工程(図10のステップS8)において、浮上しているIPAの液膜111に亀裂等113が発生するのを抑制または防止する必要がある。   As shown in FIG. 12, cracks and cracks 113 (hereinafter referred to as “cracks 113”) may occur in the IPA liquid film 111 floating from the upper surface of the substrate W. There is a possibility that a defect such as a watermark may occur in the portion where the crack or the like 113 has occurred after drying. Therefore, in the organic solvent heating step (step S8 in FIG. 10), it is necessary to suppress or prevent the occurrence of cracks 113 in the IPA liquid film 111 that is floating.

浮上しているIPAの液膜111に亀裂等113が生じる要因として、次の2つの要因を挙げることができる。
1つ目の要因は、基板Wの長時間の加熱による多量のIPAの蒸気の発生またはIPAの液膜111の沸騰である。多量のIPAの蒸気が発生したり、IPAの液膜111が沸騰すると、IPAの蒸気がその上方のIPAの液膜111を突き破って当該IPAの液膜111の上方に噴き出し、その結果、IPAの液膜111に亀裂等113を生じさせるおそれがある。1つ目の要因は、液膜浮上温度や液膜の加熱時間を調整することで対処している。
The following two factors can be cited as factors causing the crack 113 or the like in the IPA liquid film 111 that is floating.
The first factor is the generation of a large amount of IPA vapor or the boiling of the IPA liquid film 111 due to long-time heating of the substrate W. When a large amount of IPA vapor is generated or the IPA liquid film 111 is boiled, the IPA vapor breaks through the IPA liquid film 111 above and blows out above the IPA liquid film 111. There is a risk of causing cracks 113 in the liquid film 111. The first factor is dealt with by adjusting the liquid film floating temperature and the heating time of the liquid film.

2つ目の要因は、基板Wの回転に伴う遠心力を受けることにより発生する、IPAの液膜111の分裂である。2つ目の要因は、基板Wの回転を停止させていることで対処している。すなわち、有機溶剤加熱工程(図10のステップS8)において、基板Wの回転を停止させている。そのため、遠心力に起因する分裂がIPAの液膜に生じることを防止でき、これにより、亀裂等113の発生を防止できる。   The second factor is the splitting of the liquid film 111 of IPA that occurs due to the centrifugal force accompanying the rotation of the substrate W. The second factor is dealt with by stopping the rotation of the substrate W. That is, the rotation of the substrate W is stopped in the organic solvent heating step (step S8 in FIG. 10). Therefore, splitting due to centrifugal force can be prevented from occurring in the liquid film of IPA, and generation of cracks 113 can be prevented.

次に、有機溶剤排除工程(図10のステップS9)におけるIPAの液膜の排除について詳細に説明する。
気相がIPAの液膜と基板Wの上面との間の介在しているとき、基板W上のIPAの液膜に働く摩擦抵抗は、零と見なせるほど小さい。そのため、基板Wの上面に平行な方向の力が、浮上しているIPAの液膜に加わると、IPAの液膜は簡単に移動する。
Next, the removal of the IPA liquid film in the organic solvent removal step (step S9 in FIG. 10) will be described in detail.
When the gas phase is interposed between the IPA liquid film and the upper surface of the substrate W, the frictional resistance acting on the IPA liquid film on the substrate W is so small that it can be regarded as zero. Therefore, when a force in a direction parallel to the upper surface of the substrate W is applied to the floating IPA liquid film, the IPA liquid film moves easily.

有機溶剤排除工程(図10のステップS9)では、誘導部材60は、IPAの液膜が基板Wの上面から浮上している状態(図13に示す状態)で、IPAの液膜の周縁部に接触する。図14に示すように、誘導部材60がIPAの液膜の周縁部に接触すると、IPAの液膜の一部(IPAの液体)が、基板Wから誘導部材60の外方誘導面63に移動し、誘導部材60の外方誘導面63に沿って外方に流れる。さらに、誘導部材60の外方誘導面63によって外方に誘導されたIPAは、誘導部材60の下方誘導面64によって下方に誘導される。これにより、IPAの液体が基板Wの上面外周部から排出される。   In the organic solvent removing step (step S9 in FIG. 10), the guiding member 60 is placed on the peripheral edge of the IPA liquid film in a state where the liquid film of IPA floats from the upper surface of the substrate W (the state shown in FIG. 13). Contact. As shown in FIG. 14, when the guide member 60 comes into contact with the peripheral edge of the IPA liquid film, a part of the IPA liquid film (IPA liquid) moves from the substrate W to the outer guide surface 63 of the guide member 60. Then, it flows outward along the outward guide surface 63 of the guide member 60. Furthermore, the IPA guided outward by the outer guide surface 63 of the guide member 60 is guided downward by the lower guide surface 64 of the guide member 60. As a result, the IPA liquid is discharged from the outer peripheral portion of the upper surface of the substrate W.

基板W上のIPAの液体が誘導部材60を伝って排出されると、外向きの流れがIPAの液膜に形成される。つまり、基板Wの上面に平行な方向の成分を有する力が、浮上しているIPAの液膜に加わる。そのため、基板W上のIPAの液体は、基板W上を次々と外方に移動し、誘導部材60を伝って、もしくは周方向に隣接する2つの誘導部材60の間を通って(図6参照)、基板Wから排出される。これにより、IPAの液膜は、基板Wの上面で分散することなく、連続的に繋がった一つの塊のまま、基板Wの周縁部を伝って基板Wから排除される。   When the IPA liquid on the substrate W is discharged through the guide member 60, an outward flow is formed in the IPA liquid film. That is, a force having a component in a direction parallel to the upper surface of the substrate W is applied to the floating IPA liquid film. Therefore, the IPA liquid on the substrate W moves outward one after another on the substrate W, passes through the guide member 60, or passes between two guide members 60 adjacent in the circumferential direction (see FIG. 6). ) And discharged from the substrate W. Thereby, the liquid film of IPA is not dispersed from the upper surface of the substrate W, but is removed from the substrate W along the peripheral edge of the substrate W as a single continuous lump.

IPAの液膜は、基板Wの上面から浮上した状態、つまり、パターンの内部が液体で満たされていない状態で基板Wから排除される。IPAの液膜が基板Wから排除された後は、基板W上に液体が残留しておらず、基板Wは乾燥している。仮に、IPAの液体が残留していたとしても、その量はパターンの倒壊やウォーターマークの発生に影響しないごく微量であり、即座に蒸発する。したがって、基板Wは、パターンの内部が液体で満たされていない状態で乾燥する。パターンの内部が液体で満たされている状態で基板Wを乾燥させると、表面張力に起因する力が、液体からパターンに加わるので、パターンが倒壊する場合がある。これに対して、第1実施形態では、パターンの内部から液体が排除されているので、パターンの倒壊を抑制または防止できる。   The IPA liquid film is removed from the substrate W in a state where it floats from the upper surface of the substrate W, that is, in a state where the inside of the pattern is not filled with the liquid. After the IPA liquid film is removed from the substrate W, no liquid remains on the substrate W, and the substrate W is dry. Even if the IPA liquid remains, the amount is very small and does not affect the collapse of the pattern or the generation of the watermark, and evaporates immediately. Therefore, the substrate W is dried in a state where the inside of the pattern is not filled with the liquid. If the substrate W is dried in a state where the pattern is filled with the liquid, the force due to the surface tension is applied from the liquid to the pattern, so the pattern may collapse. On the other hand, in the first embodiment, since the liquid is excluded from the inside of the pattern, the collapse of the pattern can be suppressed or prevented.

次に、有機溶剤加熱工程(図10のステップS8)におけるヒータ33の温度設定について詳細に説明する。
図15Aに示すように、基板Wの表面に形成されたパターンが低い場合、制御装置3は、液膜浮上温度(ホットプレート30の温度)をIPAの沸点以上の範囲で低めに設定する。さらに、制御装置3は、必要に応じて、(1L)および(2L)の少なく一つを実行する。(1L)消費電力を減少させるために、ホットプレート30が液膜浮上温度で基板Wを加熱している時間を減少させる。(2L)IPAの液体の消費量を減少させるために、有機溶剤供給工程(図10のステップS6)において形成される基板WのIPAの液膜の厚み、すなわち、基板W上のIPAの液量を減少させる。
Next, the temperature setting of the heater 33 in the organic solvent heating step (step S8 in FIG. 10) will be described in detail.
As shown in FIG. 15A, when the pattern formed on the surface of the substrate W is low, the control device 3 sets the liquid film levitation temperature (temperature of the hot plate 30) to be lower in the range equal to or higher than the boiling point of IPA. Further, the control device 3 executes at least one of (1L) and (2L) as necessary. (1L) In order to reduce power consumption, the time during which the hot plate 30 is heating the substrate W at the liquid film floating temperature is reduced. (2L) In order to reduce the consumption of IPA liquid, the thickness of the IPA liquid film on the substrate W formed in the organic solvent supply step (step S6 in FIG. 10), that is, the amount of IPA on the substrate W Decrease.

これとは反対に、図15Bに示すように、基板Wの表面に形成されたパターンが高い場合、制御装置3は、液膜浮上温度をIPAの沸点以上の範囲で高めに設定する。さらに、制御装置3は、必要に応じて、(1H)および(2H)の少なく一つを実行する。(1H)IPAの液膜をより確実に浮上させるために、ホットプレート30が液膜浮上温度で基板Wを加熱している時間を増加させる。(2H)全てのパターンをIPAの液膜によって確実に覆うために、有機溶剤供給工程(図10のステップS6)において形成される基板WのIPAの液膜の厚み、すなわち、基板W上のIPAの液量を増加させる。   On the contrary, as shown in FIG. 15B, when the pattern formed on the surface of the substrate W is high, the control device 3 sets the liquid film levitation temperature to be higher in the range not less than the boiling point of IPA. Further, the control device 3 executes at least one of (1H) and (2H) as necessary. (1H) In order to float the IPA liquid film more reliably, the time during which the hot plate 30 is heating the substrate W at the liquid film floating temperature is increased. (2H) In order to reliably cover all patterns with the IPA liquid film, the thickness of the IPA liquid film on the substrate W formed in the organic solvent supply step (step S6 in FIG. 10), that is, the IPA on the substrate W Increase the amount of liquid.

図15Aに示すように、パターンが低く、パターンの高さが均一である場合、制御装置3は、たとえば、液膜浮上温度(加熱温度)の低下、加熱時間の減少、およびIPAの液量の減少の全てを実行する。さらに、制御装置3は、ホットプレート30に基板Wの上面を均一に加熱させる。
図15Bに示すように、パターンが高く、パターンの高さが均一である場合、制御装置3は、たとえば、液膜浮上温度(加熱温度)の上昇、加熱時間の増加、およびIPAの液量の増加の全てを実行する。さらに、制御装置3は、ホットプレート30に基板Wの上面を均一に加熱させる。
As shown in FIG. 15A, when the pattern is low and the pattern height is uniform, for example, the control device 3 reduces the liquid film floating temperature (heating temperature), the heating time, and the IPA liquid amount. Perform all of the reduction. Further, the control device 3 causes the hot plate 30 to uniformly heat the upper surface of the substrate W.
As shown in FIG. 15B, when the pattern is high and the pattern height is uniform, for example, the control device 3 increases the liquid film floating temperature (heating temperature), the heating time, and the liquid amount of IPA. Perform all of the increases. Further, the control device 3 causes the hot plate 30 to uniformly heat the upper surface of the substrate W.

図15Cに示すように、パターンの高さが均一でない場合、制御装置3は、複数のヒータ33を制御することにより、ホットプレート30の温度分布をパターンの高さに応じて調整する。たとえば、基板Wの上面中央部に形成されたパターンが低く、基板Wの上面周縁部に形成されたパターンが高い場合、制御装置3は、ホットプレート30の周縁部がホットプレート30の中央部より高温となるように、複数のヒータ33を制御する。さらに、制御装置3は、加熱時間の増加と、IPAの液量の増加とを実行する。   As illustrated in FIG. 15C, when the pattern height is not uniform, the control device 3 controls the plurality of heaters 33 to adjust the temperature distribution of the hot plate 30 according to the pattern height. For example, when the pattern formed on the center of the upper surface of the substrate W is low and the pattern formed on the periphery of the upper surface of the substrate W is high, the control device 3 determines that the periphery of the hot plate 30 is higher than the center of the hot plate 30. The plurality of heaters 33 are controlled so that the temperature becomes high. Further, the control device 3 increases the heating time and increases the IPA liquid amount.

一つのロットを構成する複数枚の基板Wが収容されたキャリアC(図1参照)が、基板処理装置1のロードポートLPに置かれると、このキャリアC内の基板Wの情報を示す基板情報が、ホストコンピュータから制御装置3に送られる(図17のステップS21)。ホストコンピュータは、半導体製造工場に設置された複数の基板処理装置を統括するコンピュータである。以下で説明するように、制御装置3は、ホストコンピュータから送られた基板情報に基づいて基板Wの処理条件を設定する(図17のステップS22)。そして、制御装置3は、設定された処理条件に従ってキャリアC内の基板Wを基板処理装置1に処理させる(図17のステップS23)。新たなキャリアCがロードポートLPに置かれると、この一連の流れが繰り返される。つまり、基板Wの処理条件は、ロットごとに設定される。   When a carrier C (see FIG. 1) containing a plurality of substrates W constituting one lot is placed on the load port LP of the substrate processing apparatus 1, substrate information indicating information on the substrates W in the carrier C is displayed. Is sent from the host computer to the control device 3 (step S21 in FIG. 17). The host computer is a computer that supervises a plurality of substrate processing apparatuses installed in a semiconductor manufacturing factory. As will be described below, the control device 3 sets processing conditions for the substrate W based on the substrate information sent from the host computer (step S22 in FIG. 17). Then, the control device 3 causes the substrate processing apparatus 1 to process the substrate W in the carrier C in accordance with the set processing conditions (step S23 in FIG. 17). When a new carrier C is placed on the load port LP, this series of steps is repeated. That is, the processing conditions for the substrate W are set for each lot.

基板情報は、パターンの形状、パターンの大きさ、およびパターンの材質の少なくとも一つを含む。パターンの形状は、たとえば、基板Wに形成されているパターンが、ライン状のパターンであるか、シリンダ状のパターンであるか等を表す。ライン状のパターンが基板Wに形成されている場合、パターンの大きさは、パターンの高さ、幅、および長さを含む。シリンダ状のパターンが基板Wに形成されている場合、パターンの大きさは、パターンの外径、内径、および高さを含む。パターンの材質は、たとえば、パターンが金属で形成されているのか、絶縁材料で形成されているのか等を表す。パターンが積層膜によって構成されている場合、パターンの材質は、積層膜に含まれる各膜の材質を含む。   The board information includes at least one of a pattern shape, a pattern size, and a pattern material. The shape of the pattern represents, for example, whether the pattern formed on the substrate W is a line pattern or a cylinder pattern. When the line-shaped pattern is formed on the substrate W, the size of the pattern includes the height, width, and length of the pattern. When the cylindrical pattern is formed on the substrate W, the size of the pattern includes the outer diameter, the inner diameter, and the height of the pattern. The material of the pattern represents, for example, whether the pattern is made of metal or an insulating material. In the case where the pattern is composed of a laminated film, the material of the pattern includes the material of each film included in the laminated film.

図16に示すように、制御装置3は、基板情報が入力される情報受付部78と、基板情報と複数のヒータ33の設定温度との対応関係を示すマップと基板Wの処理条件を示すレシピとが記憶された記憶部79と、情報受付部78に入力された基板情報に基づいてヒータ33ごとに複数のヒータ33の温度を設定する温度設定部80と、レシピで指定された条件に基づいて基板処理装置1に基板Wを処理させる処理実行部81とを含む。情報受付部78に入力される基板情報は、ホストコンピュータ等の外部装置から送信されるものであってもよいし、基板処理装置1に備えられた入力装置を介して情報受付部78に入力されるものであってもよい。   As illustrated in FIG. 16, the control device 3 includes an information receiving unit 78 to which substrate information is input, a map indicating the correspondence between the substrate information and the set temperatures of the plurality of heaters 33, and a recipe indicating the processing conditions for the substrate W. Are stored, a temperature setting unit 80 that sets the temperature of the plurality of heaters 33 for each heater 33 based on the substrate information input to the information receiving unit 78, and a condition specified by the recipe A processing execution unit 81 that causes the substrate processing apparatus 1 to process the substrate W. The substrate information input to the information receiving unit 78 may be transmitted from an external device such as a host computer, or may be input to the information receiving unit 78 via the input device provided in the substrate processing apparatus 1. It may be a thing.

ホストコンピュータが送信した基板情報は、制御装置3の情報受付部78に入力される。制御装置3の温度設定部80は、情報受付部78に入力された基板情報に対応する複数のヒータ33の設定温度を制御装置3の記憶部79に記憶されたマップから読み込み、読み込んだ温度設定とレシピで指定されている設定温度とを比較する。両者が異なる場合、温度設定部80は、レシピで指定されている設定温度を基板情報に対応する設定温度に変更する。そして、制御装置3の処理実行部81は、温度設定部80から送られたレシピ(温度設定部80が読み込んだ設定温度とレシピで指定されている設定温度とが異なる場合は、変更後のレシピ)に基づいて、図10に示す基板Wの処理を基板処理装置1に実行させる。   The board information transmitted by the host computer is input to the information receiving unit 78 of the control device 3. The temperature setting unit 80 of the control device 3 reads the set temperatures of the plurality of heaters 33 corresponding to the substrate information input to the information receiving unit 78 from the map stored in the storage unit 79 of the control device 3, and reads the read temperature setting And the set temperature specified in the recipe. When both are different, the temperature setting unit 80 changes the set temperature specified in the recipe to the set temperature corresponding to the board information. Then, the process execution unit 81 of the control device 3 reads the recipe sent from the temperature setting unit 80 (if the set temperature read by the temperature setting unit 80 is different from the set temperature specified in the recipe, ), The substrate processing apparatus 1 executes the processing of the substrate W shown in FIG.

パターンの熱容量やパターンと液体との間での熱伝達率が変化すると、ホットプレート30の温度が一定であっても、パターンの表面の温度が上昇または低下する。パターンの表面の温度がIPAの沸点未満の場合、IPAの液体がパターンの表面で十分に蒸発せず、IPAの液膜と基板Wの上面との間に十分な厚みの気相が形成されない。また、パターンの表面の温度が高すぎると、IPAの液体が沸騰したり、IPAの液膜に割れ等が発生したりする。   If the heat capacity of the pattern or the heat transfer coefficient between the pattern and the liquid changes, the temperature of the pattern surface rises or falls even if the temperature of the hot plate 30 is constant. When the temperature of the surface of the pattern is lower than the boiling point of IPA, the IPA liquid does not evaporate sufficiently on the surface of the pattern, and a gas phase having a sufficient thickness is not formed between the IPA liquid film and the upper surface of the substrate W. If the surface temperature of the pattern is too high, the IPA liquid will boil or the IPA liquid film will crack.

パターンの熱容量は、パターンの質量および比熱に応じて変化する。パターンの質量は、パターンの密度および体積に依存する。パターンの比熱は、パターンの材質に依存する。また、パターンと液体との間での熱伝達率は、パターンの表面積に依存する。パターンの表面積は、パターンの形状および体積に依存する。
たとえば、パターンのアスペクト比が大きくなると、パターンの表面とIPAの液体との接触面積が増加し、基板WからIPAの液体への熱の伝達効率が高まる結果、基板Wの温度が低下し易い。これとは反対に、パターンのアスペクト比が小さくなると、基板Wの温度が上昇し易い。さらに、パターンのアスペクト比が大きくなると、パターンの内部に存在するIPAの液量が増加するので、より多くの熱を基板Wに与えないと、パターン内のIPAの液体を短時間で排除できない。
The heat capacity of the pattern changes according to the mass of the pattern and the specific heat. The mass of the pattern depends on the density and volume of the pattern. The specific heat of the pattern depends on the material of the pattern. The heat transfer coefficient between the pattern and the liquid depends on the surface area of the pattern. The surface area of the pattern depends on the shape and volume of the pattern.
For example, when the pattern aspect ratio increases, the contact area between the surface of the pattern and the IPA liquid increases, and the efficiency of heat transfer from the substrate W to the IPA liquid increases. As a result, the temperature of the substrate W tends to decrease. On the other hand, when the pattern aspect ratio is small, the temperature of the substrate W is likely to rise. Further, as the pattern aspect ratio increases, the amount of IPA liquid present inside the pattern increases. Therefore, unless more heat is applied to the substrate W, the IPA liquid in the pattern cannot be removed in a short time.

前述のように、第1実施形態では、制御装置3は、パターンの大きさ等の基板Wの表面状態を含む基板情報に基づいて複数のヒータ33の温度を設定する。これにより、基板Wの表面状態に拘わらず、基板Wの上面の温度を面内全域で均一化できる。さらに、制御装置3は、ロットごとに複数のヒータ33の温度を設定する。同じロッドに属する基板Wは、同じ処理が行われているので、同じ表面状態を有している。ロッドが異なると、基板Wの表面状態も異なる場合がある。したがって、ロットごとに複数のヒータ33の温度を設定することにより、処理された基板Wの品質を均一化できる。   As described above, in the first embodiment, the control device 3 sets the temperatures of the plurality of heaters 33 based on the substrate information including the surface state of the substrate W such as the pattern size. Thereby, irrespective of the surface state of the substrate W, the temperature of the upper surface of the substrate W can be made uniform over the entire surface. Further, the control device 3 sets the temperature of the plurality of heaters 33 for each lot. The substrates W belonging to the same rod have the same surface state since the same processing is performed. If the rod is different, the surface state of the substrate W may be different. Therefore, the quality of the processed substrate W can be made uniform by setting the temperatures of the plurality of heaters 33 for each lot.

また第1実施形態では、誘導部材60の外方誘導面63は、IPAの液膜と基板Wの上面との間に気相が介在している状態で基板W上のIPAの液膜の周縁部に接触する。外方誘導面63に接触したIPAの液体は、誘導部材60を伝って基板Wの周囲に排出される。この誘導部材60と液膜との接触をきっかけに、基板Wの周縁部に向かう外向きの流れがIPAの液膜に形成され、基板W上のIPAの液膜が多数の小滴に分裂することなく、塊のまま基板Wから排除される。これにより、IPAの液膜を基板Wから素早く短時間で排除できる。   Further, in the first embodiment, the outer guiding surface 63 of the guiding member 60 has a peripheral edge of the IPA liquid film on the substrate W in a state where a gas phase is interposed between the IPA liquid film and the upper surface of the substrate W. Touch the part. The IPA liquid that has contacted the outer guide surface 63 is discharged to the periphery of the substrate W through the guide member 60. As a result of the contact between the guide member 60 and the liquid film, an outward flow toward the peripheral edge of the substrate W is formed in the IPA liquid film, and the IPA liquid film on the substrate W is split into a large number of droplets. Without being removed from the substrate W as a lump. Thereby, the liquid film of IPA can be quickly removed from the substrate W in a short time.

また第1実施形態では、低表面張力液の一例であるIPAの液体が基板W上に位置している状態で基板Wを乾燥させる。乾燥前の基板W上にある液体の表面張力が小さいので、隣接する2つの構造物に跨る液面が、一時的に形成されたとしても、パターンに加わる表面張力が小さい。したがって、パターン倒壊の発生を低減できる。さらに、蒸発し易い液体(IPAの液体)が基板Wに供給されるので、ヒータ33の温度を抑えながら、IPAの液膜と基板Wの上面との間に気相を形成できる。   In the first embodiment, the substrate W is dried in a state where the IPA liquid, which is an example of the low surface tension liquid, is located on the substrate W. Since the surface tension of the liquid on the substrate W before drying is small, even if a liquid surface straddling two adjacent structures is temporarily formed, the surface tension applied to the pattern is small. Therefore, occurrence of pattern collapse can be reduced. Further, since a liquid (IPA liquid) that is easily evaporated is supplied to the substrate W, a gas phase can be formed between the liquid film of IPA and the upper surface of the substrate W while suppressing the temperature of the heater 33.

また第1実施形態では、第1保持ユニット15および第2保持ユニット29を収容するインナーチャンバー7が、アウターチャンバー4内に配置されている。インナーチャンバー7が開閉可能なので、インナーチャンバー7の内部をインナーチャンバー7を除くアウターチャンバー4の内部から必要に応じて隔離できる。したがって、インナーチャンバー7およびアウターチャンバー4により二重に覆われた密閉度の高い空間を必要に応じて形成できる。そのため、密閉度の高い空間内で基板Wの加熱などの処理を実施できる。さらに、インナーチャンバー7を開けば、気体または液体を吐出するノズルをインナーチャンバー7の中とインナーチャンバー7の外との間で行き来させることができるので、このようなノズルをインナーチャンバー7内に配置しなくてもよい。したがって、インナーチャンバー7の大型化を抑制または防止できる。   In the first embodiment, the inner chamber 7 that houses the first holding unit 15 and the second holding unit 29 is arranged in the outer chamber 4. Since the inner chamber 7 can be opened and closed, the inside of the inner chamber 7 can be isolated from the inside of the outer chamber 4 excluding the inner chamber 7 as necessary. Therefore, a highly sealed space that is doubly covered by the inner chamber 7 and the outer chamber 4 can be formed as necessary. Therefore, processing such as heating of the substrate W can be performed in a highly sealed space. Further, if the inner chamber 7 is opened, a nozzle for discharging gas or liquid can be moved back and forth between the inner chamber 7 and the outside of the inner chamber 7. You don't have to. Therefore, the enlargement of the inner chamber 7 can be suppressed or prevented.

また第1実施形態では、第1保持ユニット15および第2保持ユニット29を収容するインナーチャンバー7の内部に不活性ガスを供給できるので、インナーチャンバー7内の空気を不活性ガスに置換でき、インナーチャンバー7内の酸素濃度を低減できる。したがって、ウォーターマークなどの酸素に起因する問題の発生を防止できる。
本発明の第1実施形態の説明は以上であるが、本発明は、第1実施形態の内容に限定されるものではなく、本発明の範囲内において種々の変更が可能である。
In the first embodiment, since the inert gas can be supplied into the inner chamber 7 that accommodates the first holding unit 15 and the second holding unit 29, the air in the inner chamber 7 can be replaced with the inert gas. The oxygen concentration in the chamber 7 can be reduced. Therefore, it is possible to prevent the occurrence of a problem due to oxygen such as a watermark.
The description of the first embodiment of the present invention is as described above, but the present invention is not limited to the contents of the first embodiment, and various modifications can be made within the scope of the present invention.

たとえば、第1実施形態では、誘導部材60を基板W上のIPAの液膜に接触させることにより、IPAの液膜を基板Wから排除する場合について説明したが、誘導部材60以外の液膜排除手段を用いてもよい。
具体的には、図18に示すように、制御装置3は、有機溶剤排除工程(図10のステップS9)において、上気体バルブ59を開いて、液膜排除手段としての上気体ノズル57に窒素ガスを吐出させてもよい。この場合、窒素ガスの温度は、室温であってもよいし、IPAの沸点以上(好ましくは、ホットプレート30の温度以上)であってもよい。また、窒素ガスの吐出は、IPAの液膜が基板W上からなくなるまで継続されてもよいし、IPAの液膜が基板W上からなくなる前に停止されてもよい。
For example, in the first embodiment, the case where the liquid film of IPA is excluded from the substrate W by bringing the guide member 60 into contact with the liquid film of IPA on the substrate W has been described. Means may be used.
Specifically, as shown in FIG. 18, the control device 3 opens the upper gas valve 59 in the organic solvent removal step (step S9 in FIG. 10), and puts nitrogen into the upper gas nozzle 57 as the liquid film removal means. Gas may be discharged. In this case, the temperature of the nitrogen gas may be room temperature, or higher than the boiling point of IPA (preferably higher than the temperature of hot plate 30). Further, the discharge of nitrogen gas may be continued until the IPA liquid film disappears from the substrate W, or may be stopped before the IPA liquid film disappears from the substrate W.

この構成によれば、IPAの液膜と基板Wの上面との間に気相が形成されている状態で、基板Wの上面中央部(吹き付け位置)に窒素ガスが吹き付けられる。吹き付け位置にあるIPAの液体は、窒素ガスの供給によってその周囲に押し退けられる。これにより、吹き付け位置に乾燥領域が形成される。さらに、IPAの液体が窒素ガスの供給によって吹き付け位置からその周囲に移動するので、基板Wの周縁部に向かう外向きの流れがIPAの液膜に形成される。したがって、基板W上のIPAの液膜は、この流れによって、多数の小滴に分裂することなく、塊のまま基板Wから排除される。これにより、IPAの液膜を基板Wから素早く短時間で排除できる。さらに、窒素ガスの温度がIPAの沸点以上である場合には、IPAの液膜の温度低下を抑えることができる。もしくは、IPAの液膜を加熱することができる。   According to this configuration, nitrogen gas is blown to the upper surface center portion (spraying position) of the substrate W in a state where a gas phase is formed between the IPA liquid film and the upper surface of the substrate W. The IPA liquid in the spray position is pushed away around it by the supply of nitrogen gas. Thereby, a dry region is formed at the spray position. Furthermore, since the IPA liquid moves from the spray position to the periphery thereof by supplying nitrogen gas, an outward flow toward the peripheral edge of the substrate W is formed in the IPA liquid film. Therefore, the IPA liquid film on the substrate W is removed from the substrate W as a lump without being broken into a large number of droplets by this flow. Thereby, the liquid film of IPA can be quickly removed from the substrate W in a short time. Further, when the temperature of the nitrogen gas is equal to or higher than the boiling point of IPA, the temperature drop of the IPA liquid film can be suppressed. Alternatively, the IPA liquid film can be heated.

また、図19Aおよび図19Bに示すように、制御装置3は、液膜排除手段としての複数のヒータ33の設定温度を制御することにより、有機溶剤加熱工程(図10のステップS9)においてIPAの沸点以上の温度で基板Wを均一に加熱し、有機溶剤排除工程(図10のステップS9)においてIPAの沸点以上の低温領域と低温領域よりも高温の高温領域とを基板Wの上面に形成してもよい。たとえば、制御装置3は、基板Wの上面中央部に高温領域を形成し、その周囲に低温領域を形成した後、低温領域と高温領域との環状の境界を低温領域の方に移動させてもよい。つまり、制御装置3は、低温領域と高温領域との境界の直径を増加させてもよい。   Further, as shown in FIG. 19A and FIG. 19B, the control device 3 controls the set temperature of the plurality of heaters 33 as the liquid film removing means, thereby controlling the IPA in the organic solvent heating step (step S9 in FIG. 10). The substrate W is uniformly heated at a temperature higher than the boiling point, and a low temperature region higher than the boiling point of the IPA and a high temperature region higher than the low temperature region are formed on the upper surface of the substrate W in the organic solvent removal step (step S9 in FIG. 10). May be. For example, the control device 3 may form a high temperature region in the center of the upper surface of the substrate W, form a low temperature region around it, and then move the annular boundary between the low temperature region and the high temperature region toward the low temperature region. Good. That is, the control device 3 may increase the diameter of the boundary between the low temperature region and the high temperature region.

この場合、基板Wの上面中央部を覆うIPAの液膜の中央部がその周囲の部分よりも高温になる。液膜内のIPAの液体は、低温の方向に移動しようとする。そのため、液膜の周縁部に向かう放射状の流れが、IPAの液膜に形成される。その結果、図19Aおよび図19Bに示すように、IPAの液膜の中央部に穴が形成され、この穴の外径が広がっていく。したがって、温度差の発生と誘導部材60とを併用することにより、基板W上のIPAの液膜を基板Wから素早く短時間で排除できる。さらに、低温領域と高温領域との境界を低温領域に方に移動させる場合には、液膜内において低温の方に移動する流れが促進される。これにより、基板W上のIPAの液膜を効率的に排除できる。   In this case, the central part of the IPA liquid film covering the central part of the upper surface of the substrate W becomes hotter than the surrounding part. The IPA liquid in the liquid film tends to move in the low temperature direction. Therefore, a radial flow toward the peripheral edge of the liquid film is formed in the IPA liquid film. As a result, as shown in FIGS. 19A and 19B, a hole is formed at the center of the IPA liquid film, and the outer diameter of the hole increases. Therefore, the IPA liquid film on the substrate W can be quickly removed from the substrate W in a short time by using the generation of the temperature difference and the induction member 60 in combination. Further, when the boundary between the low temperature region and the high temperature region is moved toward the low temperature region, the flow moving toward the low temperature in the liquid film is promoted. Thereby, the liquid film of IPA on the substrate W can be efficiently eliminated.

また、図20Aおよび図20Bに示すように、誘導部材60が処理ユニット2に設けられていない場合、処理ユニット2は、基板Wの下面とホットプレート30の上面(プレート本体31の上面31a)との間隔を一定に維持しながら、基板Wおよびホットプレート30を、基板Wの上面が水平になる水平姿勢と、基板Wの上面が水平面に対して傾斜する傾斜姿勢との間で姿勢変更させる姿勢変更ユニット73をさらに備えていてもよい。   20A and 20B, when the guide member 60 is not provided in the processing unit 2, the processing unit 2 includes the lower surface of the substrate W, the upper surface of the hot plate 30 (the upper surface 31a of the plate body 31), The posture in which the posture of the substrate W and the hot plate 30 is changed between a horizontal posture in which the upper surface of the substrate W is horizontal and an inclined posture in which the upper surface of the substrate W is inclined with respect to the horizontal plane, while maintaining a constant interval. A change unit 73 may be further provided.

液膜排除手段としての姿勢変更ユニット73は、ホットプレート30と支持テーブル34との間に配置された複数(3つ以上)の伸縮ユニット74を含む。複数の伸縮ユニット74は、支持テーブル34のテーブル部35の上に配置されている。複数の伸縮ユニット74は、テーブル部35の上面周縁部で等間隔で周方向に配列されている。伸縮ユニット74は、たとえば、エアシリンダである。伸縮ユニット74は、エアシリンダに限らず、電動モータなどのアクチュエータと、アクチュエータの動力をホットプレート30に伝達する伝達ユニット(たとえば、ボールねじ機構)とを含むユニットであってもよい。   The posture changing unit 73 as the liquid film removing means includes a plurality (three or more) of expansion / contraction units 74 disposed between the hot plate 30 and the support table 34. The plurality of telescopic units 74 are disposed on the table portion 35 of the support table 34. The plurality of expansion / contraction units 74 are arranged in the circumferential direction at equal intervals on the peripheral edge of the upper surface of the table portion 35. The telescopic unit 74 is, for example, an air cylinder. The expansion / contraction unit 74 is not limited to an air cylinder, and may be a unit including an actuator such as an electric motor and a transmission unit (for example, a ball screw mechanism) that transmits the power of the actuator to the hot plate 30.

伸縮ユニット74は、支持テーブル34のテーブル部35に固定されたシリンダ本体75と、シリンダ本体75に対して鉛直方向に移動可能なロッド76とを含む。シリンダ本体75は、ホットプレート30と支持テーブル34との間に配置されている。ロッド76は、シリンダ本体75から上方に突出している。ホットプレート30は、各ロッド76とホットプレート30の下面との接触によって、複数の伸縮ユニット74に支持されている。ホットプレート30の上面中央部で開口する上向き吐出口40は、ホットプレート30の中央部から下方に延びる弾性変形可能な下配管77に接続されている。下配管77は、支持テーブル34のシャフト部36の内部に設けられた通路に挿入されており、下気体配管41に接続されている。   The telescopic unit 74 includes a cylinder main body 75 fixed to the table portion 35 of the support table 34 and a rod 76 that is movable in the vertical direction with respect to the cylinder main body 75. The cylinder body 75 is disposed between the hot plate 30 and the support table 34. The rod 76 protrudes upward from the cylinder body 75. The hot plate 30 is supported by a plurality of extendable units 74 by contact between each rod 76 and the lower surface of the hot plate 30. The upward discharge port 40 that opens at the center of the upper surface of the hot plate 30 is connected to an elastically deformable lower pipe 77 that extends downward from the center of the hot plate 30. The lower pipe 77 is inserted into a passage provided inside the shaft portion 36 of the support table 34 and is connected to the lower gas pipe 41.

シリンダ本体75からのロッド76の突出量は、制御装置3によって、伸縮ユニット74ごとに設定される。制御装置3は、各ロッド76の突出量を調整することにより、水平姿勢と傾斜姿勢との間で、基板Wおよびホットプレート30の姿勢を変更させる。傾斜姿勢でのホットプレート30の上面の傾斜角度(水平面に対する角度)は、たとえば、1度程度であり小さい。したがって、基板Wは、基板Wの下面とホットプレート30との間に働く摩擦力によって保持される。仮に、基板Wがホットプレート30に対してスライドしたとしても、図20Bに示すように、固定ピン16や可動ピン19等のストッパーを基板Wの周囲に位置させておけば、ホットプレート30に対する基板Wの移動を規制できる。   The amount of protrusion of the rod 76 from the cylinder body 75 is set for each expansion / contraction unit 74 by the control device 3. The control device 3 changes the posture of the substrate W and the hot plate 30 between the horizontal posture and the inclined posture by adjusting the protruding amount of each rod 76. The inclination angle (angle with respect to the horizontal plane) of the upper surface of the hot plate 30 in the inclined posture is, for example, about 1 degree and is small. Accordingly, the substrate W is held by a frictional force that acts between the lower surface of the substrate W and the hot plate 30. Even if the substrate W slides with respect to the hot plate 30, if the stoppers such as the fixed pins 16 and the movable pins 19 are positioned around the substrate W as shown in FIG. The movement of W can be regulated.

図20Bに示すように、制御装置3は、有機溶剤排除工程(図10のステップS9)において、基板Wおよびホットプレート30の姿勢を傾斜姿勢に変更する。基板Wが傾けられることにより、基板W上のIPAの液膜は、基板Wの上面に沿って下方に流れ落ちる。したがって、基板W上のIPAの液膜を基板Wから素早く短時間で排除できる。しかも、基板Wの上面に垂直な方向におけるヒータ33と基板Wとの間隔が一定に維持されるので、基板Wだけを傾ける場合に比べて加熱のむらが発生し難く、安定した基板Wの加熱を継続できる。   As shown in FIG. 20B, the control device 3 changes the posture of the substrate W and the hot plate 30 to the inclined posture in the organic solvent removal step (step S9 in FIG. 10). As the substrate W is tilted, the IPA liquid film on the substrate W flows down along the upper surface of the substrate W. Therefore, the IPA liquid film on the substrate W can be quickly removed from the substrate W in a short time. In addition, since the distance between the heater 33 and the substrate W in the direction perpendicular to the upper surface of the substrate W is maintained constant, uneven heating is less likely to occur than when only the substrate W is tilted, and stable heating of the substrate W can be achieved. Can continue.

また、第1実施形態では、有機溶剤加熱工程(図10のステップS8)においてIPAの液膜を浮上させる場合について説明したが、IPA以外の液膜を浮上させてもよい。たとえば、有機溶剤加熱工程に相当する液膜加熱工程において純水の液膜を浮上させ、有機溶剤排除工程に相当する液膜排除工程において純水の液膜を排除してもよい。
また、有機溶剤加熱工程(図10のステップS8)において基板Wの上面が部分的に露出することを防止するために、IPAの液体を基板Wの上面に適宜補充してもよい。
In the first embodiment, the case where the liquid film of IPA is floated in the organic solvent heating step (step S8 in FIG. 10) has been described, but a liquid film other than IPA may be floated. For example, the pure water liquid film may be floated in the liquid film heating process corresponding to the organic solvent heating process, and the pure water liquid film may be excluded in the liquid film removing process corresponding to the organic solvent removal process.
Further, in order to prevent the upper surface of the substrate W from being partially exposed in the organic solvent heating step (step S8 in FIG. 10), IPA liquid may be appropriately replenished to the upper surface of the substrate W.

また、前述の実施形態では、基板処理装置1が、円板状の基板を処理する装置である場合について説明したが、基板処理装置1は、多角形の基板を処理する装置であってもよい。
また、前述の全ての実施形態のうちの2つ以上が組み合わされてもよい。
In the above-described embodiment, the case where the substrate processing apparatus 1 is an apparatus that processes a disk-shaped substrate has been described. However, the substrate processing apparatus 1 may be an apparatus that processes a polygonal substrate. .
Further, two or more of all the embodiments described above may be combined.

1 :基板処理装置
3 :制御装置
4 :アウターチャンバー
7 :インナーチャンバー
15 :第1保持ユニット(基板保持手段)
16 :固定ピン
19 :可動ピン
22 :可動把持部
25 :チャック開閉ユニット
29 :第2保持ユニット(基板保持手段)
30 :ホットプレート
33 :ヒータ(加熱手段、液膜排除手段)
51 :上リンス液ノズル(処理液供給手段)
53 :上リンス液バルブ(処理液供給手段)
54 :上溶剤ノズル(処理液供給手段)
56 :上溶剤バルブ(処理液供給手段)
57 :上気体ノズル(気体吐出手段、液膜排除手段)
59 :上気体バルブ(気体吐出手段、液膜排除手段)
60 :誘導部材(液膜排除手段)
61 :第1部分
62 :第2部分
63 :外方誘導面
64 :下方誘導面
73 :姿勢変更ユニット(姿勢変更手段、液膜排除手段)
74 :伸縮ユニット(姿勢変更手段、液膜排除手段)
78 :情報受付部
79 :記憶部
80 :温度設定部
81 :処理実行部
101 :パターン
102 :構造物
111 :液膜
W :基板
1: substrate processing apparatus 3: control apparatus 4: outer chamber 7: inner chamber 15: first holding unit (substrate holding means)
16: fixed pin 19: movable pin 22: movable gripping part 25: chuck opening / closing unit 29: second holding unit (substrate holding means)
30: Hot plate 33: Heater (heating means, liquid film removing means)
51: Upper rinse liquid nozzle (treatment liquid supply means)
53: Upper rinse liquid valve (treatment liquid supply means)
54: Upper solvent nozzle (treatment liquid supply means)
56: Upper solvent valve (treatment liquid supply means)
57: Upper gas nozzle (gas discharge means, liquid film exclusion means)
59: Upper gas valve (gas discharge means, liquid film exclusion means)
60: Guiding member (liquid film removing means)
61: 1st part 62: 2nd part 63: Outer guidance surface 64: Downward guidance surface 73: Posture change unit (posture change means, liquid film removal means)
74: Telescopic unit (posture changing means, liquid film removing means)
78: Information receiving unit 79: Storage unit 80: Temperature setting unit 81: Processing execution unit 101: Pattern 102: Structure 111: Liquid film W: Substrate

Claims (6)

基板を水平に保持する基板保持手段と、
前記基板保持手段に保持されている基板の上面に処理液を供給することにより、基板の上面全域を覆う処理液の液膜を形成する処理液供給手段と、
前記基板保持手段に保持されている基板の上面全域をそれぞれ独立した温度で加熱する複数のヒータを含み、前記基板保持手段に保持されている基板を、基板の上面全域が処理液の液膜で覆われている状態で、処理液の沸点以上の温度で加熱することにより、処理液を蒸発させて、処理液の液膜と基板の上面との間に気相を形成する加熱手段と、
処理液の液膜と基板の上面との間に気相が介在している状態で、前記基板保持手段に保持されている基板に対して処理液の液膜を移動させることにより、基板から処理液の液膜を排除する液膜排除手段と、
基板の表面状態を含む基板情報が入力される情報受付部と、前記情報受付部に入力された前記基板情報に基づいて前記ヒータごとに前記複数のヒータの温度を設定する温度設定部とを含み、前記基板保持手段に保持されている基板を、基板の上面全域が処理液の液膜で覆われている状態で、処理液の沸点以上の温度で均一に加熱することにより、処理液を蒸発させて、処理液の液膜と基板の上面との間に気相を形成する均一加熱工程を実行する制御装置と、を含む、基板処理装置。
Substrate holding means for holding the substrate horizontally;
Processing liquid supply means for forming a liquid film of the processing liquid covering the entire upper surface of the substrate by supplying the processing liquid to the upper surface of the substrate held by the substrate holding means;
A plurality of heaters for heating the entire upper surface of the substrate held by the substrate holding means at independent temperatures, and the upper surface of the substrate is a liquid film of a processing solution. Heating means for evaporating the processing liquid by heating at a temperature equal to or higher than the boiling point of the processing liquid in a covered state, and forming a gas phase between the liquid film of the processing liquid and the upper surface of the substrate;
In a state where a gas phase is interposed between the liquid film of the processing liquid and the upper surface of the substrate, the liquid film of the processing liquid is moved with respect to the substrate held by the substrate holding means, thereby processing from the substrate. A liquid film removing means for removing the liquid film of the liquid;
An information receiving unit that receives substrate information including a surface state of the substrate, and a temperature setting unit that sets temperatures of the plurality of heaters for each heater based on the substrate information input to the information receiving unit. And evaporating the processing liquid by uniformly heating the substrate held by the substrate holding means at a temperature equal to or higher than the boiling point of the processing liquid in a state where the entire upper surface of the substrate is covered with the liquid film of the processing liquid. And a control device that executes a uniform heating step of forming a gas phase between the liquid film of the processing liquid and the upper surface of the substrate.
前記基板情報は、パターンの形状、パターンの大きさ、およびパターンの材質の少なくとも一つを含む、請求項1に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the substrate information includes at least one of a pattern shape, a pattern size, and a pattern material. 前記液膜排除手段は、
処理液の液膜と基板の上面との間に気相が介在している状態で前記基板保持手段に保持されている基板上の処理液の液膜の周縁部に接触する外方誘導面を含み、前記外方誘導面と処理液の液膜との接触により、処理液を基板の上面から基板の周囲に誘導する誘導部材を含む、請求項1または2に記載の基板処理装置。
The liquid film removing means includes
An outer guide surface that contacts the peripheral edge of the liquid film of the processing liquid on the substrate held by the substrate holding means in a state where a gas phase is interposed between the liquid film of the processing liquid and the upper surface of the substrate. The substrate processing apparatus according to claim 1, further comprising a guide member that guides the processing liquid from the upper surface of the substrate to the periphery of the substrate by contact between the outer guide surface and a liquid film of the processing liquid.
前記液膜排除手段は、処理液の液膜と基板の上面との間に気相が形成されている状態で、前記基板保持手段に保持されている基板の上面に向けて気体を吐出することにより、処理液が排除された乾燥領域を基板の上面の一部の領域に形成する気体吐出手段を含む、請求項1〜3のいずれか一項に記載の基板処理装置。   The liquid film removing means discharges a gas toward the upper surface of the substrate held by the substrate holding means in a state where a gas phase is formed between the liquid film of the processing liquid and the upper surface of the substrate. The substrate processing apparatus according to claim 1, further comprising a gas discharge unit that forms a dry region from which the processing liquid has been removed in a partial region of the upper surface of the substrate. 前記制御装置は、前記均一加熱工程の後に、処理液の液膜と基板の上面との間に気相が形成されている状態で、処理液の沸点以上の低温領域と前記低温領域よりも高温の高温領域とを基板の上面に形成する温度差発生工程をさらに実行する、請求項1〜4のいずれか一項に記載の基板処理装置。   In the state in which a gas phase is formed between the liquid film of the processing solution and the upper surface of the substrate after the uniform heating step, the control device has a low temperature region higher than the boiling point of the processing solution and a temperature higher than the low temperature region. The substrate processing apparatus as described in any one of Claims 1-4 which further performs the temperature difference generation | occurrence | production process which forms a high temperature area | region in the upper surface of a board | substrate. 前記液膜排除手段は、前記加熱手段と基板との間隔を一定に維持しつつ、前記基板保持手段に水平に保持されている基板を斜めに傾ける姿勢変更手段を含む、請求項1〜5のいずれか一項に記載の基板処理装置。   The said liquid film exclusion means contains the attitude | position change means which inclines the board | substrate currently hold | maintained horizontally at the said board | substrate holding means diagonally, maintaining the space | interval of the said heating means and a board | substrate constant. The substrate processing apparatus as described in any one of Claims.
JP2014063697A 2014-03-19 2014-03-26 Substrate processing equipment Active JP6376554B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2014063697A JP6376554B2 (en) 2014-03-26 2014-03-26 Substrate processing equipment
KR1020150027578A KR102308587B1 (en) 2014-03-19 2015-02-26 Substrate processing apparatus and substrate processing method
TW104107699A TWI682477B (en) 2014-03-19 2015-03-09 Substrate processing apparatus and substrate processing method
US14/662,294 US20150270146A1 (en) 2014-03-19 2015-03-19 Substrate processing apparatus and substrate processing method
US16/021,640 US10475670B2 (en) 2014-03-19 2018-06-28 Substrate processing apparatus and substrate processing method
US16/592,146 US11139180B2 (en) 2014-03-19 2019-10-03 Substrate processing apparatus and substrate processing method
KR1020210126734A KR102390749B1 (en) 2014-03-19 2021-09-24 Substrate processing apparatus and substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014063697A JP6376554B2 (en) 2014-03-26 2014-03-26 Substrate processing equipment

Publications (2)

Publication Number Publication Date
JP2015185806A true JP2015185806A (en) 2015-10-22
JP6376554B2 JP6376554B2 (en) 2018-08-22

Family

ID=54351989

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014063697A Active JP6376554B2 (en) 2014-03-19 2014-03-26 Substrate processing equipment

Country Status (1)

Country Link
JP (1) JP6376554B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109564858A (en) * 2016-09-12 2019-04-02 株式会社斯库林集团 Expendable film forming method, substrate processing method using same and substrate board treatment
JP2019186498A (en) * 2018-04-17 2019-10-24 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2020004996A (en) * 2019-09-05 2020-01-09 東京エレクトロン株式会社 Liquid processing method, substrate processing apparatus, and storage medium
US10861707B2 (en) 2018-03-08 2020-12-08 Toshiba Memory Corporation Method for manufacturing semiconductor device and semiconductor memory device
CN112309903A (en) * 2019-07-29 2021-02-02 株式会社斯库林集团 Substrate processing method and substrate processing apparatus
WO2021241124A1 (en) * 2020-05-29 2021-12-02 株式会社Screenホールディングス Substrate processing device, substrate processing method, method for generating learning data, learning method, learning device, method for generating learned model, and learned model
JP2022058827A (en) * 2016-05-31 2022-04-12 ラム・リサーチ・アーゲー Method and apparatus for processing wafer-shaped article

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001506061A (en) * 1997-09-24 2001-05-08 アンテルユニヴェルシテール・ミクロ―エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ Method and apparatus for removing liquid from a surface
JP2006295194A (en) * 2005-04-13 2006-10-26 Samsung Electronics Co Ltd Equipment and method for cleaning semiconductor substrate
JP2008507131A (en) * 2004-07-14 2008-03-06 東京エレクトロン株式会社 Formula-based run-to-run control
JP2010056534A (en) * 2008-07-31 2010-03-11 Tokyo Electron Ltd Method and device for cleaning substrate, and storage medium
JP2012138510A (en) * 2010-12-27 2012-07-19 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium recording program for executing the liquid processing method
US20140060423A1 (en) * 2012-08-31 2014-03-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001506061A (en) * 1997-09-24 2001-05-08 アンテルユニヴェルシテール・ミクロ―エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ Method and apparatus for removing liquid from a surface
JP2008507131A (en) * 2004-07-14 2008-03-06 東京エレクトロン株式会社 Formula-based run-to-run control
JP2006295194A (en) * 2005-04-13 2006-10-26 Samsung Electronics Co Ltd Equipment and method for cleaning semiconductor substrate
JP2010056534A (en) * 2008-07-31 2010-03-11 Tokyo Electron Ltd Method and device for cleaning substrate, and storage medium
JP2012138510A (en) * 2010-12-27 2012-07-19 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium recording program for executing the liquid processing method
US20140060423A1 (en) * 2012-08-31 2014-03-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022058827A (en) * 2016-05-31 2022-04-12 ラム・リサーチ・アーゲー Method and apparatus for processing wafer-shaped article
JP7324323B2 (en) 2016-05-31 2023-08-09 ラム・リサーチ・アーゲー Method and apparatus for processing wafer-shaped articles
CN109564858A (en) * 2016-09-12 2019-04-02 株式会社斯库林集团 Expendable film forming method, substrate processing method using same and substrate board treatment
CN109564858B (en) * 2016-09-12 2023-08-08 株式会社斯库林集团 Sacrificial film forming method, substrate processing method, and substrate processing apparatus
US10861707B2 (en) 2018-03-08 2020-12-08 Toshiba Memory Corporation Method for manufacturing semiconductor device and semiconductor memory device
JP2019186498A (en) * 2018-04-17 2019-10-24 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP6994424B2 (en) 2018-04-17 2022-01-14 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method, and storage medium
CN112309903A (en) * 2019-07-29 2021-02-02 株式会社斯库林集团 Substrate processing method and substrate processing apparatus
JP2020004996A (en) * 2019-09-05 2020-01-09 東京エレクトロン株式会社 Liquid processing method, substrate processing apparatus, and storage medium
WO2021241124A1 (en) * 2020-05-29 2021-12-02 株式会社Screenホールディングス Substrate processing device, substrate processing method, method for generating learning data, learning method, learning device, method for generating learned model, and learned model
JP7419163B2 (en) 2020-05-29 2024-01-22 株式会社Screenホールディングス Substrate processing device, substrate processing method, learning data generation method, learning method, learning device, learned model generation method, and learned model

Also Published As

Publication number Publication date
JP6376554B2 (en) 2018-08-22

Similar Documents

Publication Publication Date Title
JP6376554B2 (en) Substrate processing equipment
KR102390749B1 (en) Substrate processing apparatus and substrate processing method
JP6131162B2 (en) Substrate processing method and substrate processing apparatus
KR102068443B1 (en) Substrate processing method and substrate processing apparatus
CN108198748B (en) Substrate processing apparatus
JP6376553B2 (en) Substrate processing equipment
TWI670121B (en) Substrate processing apparatus and substrate processing method
US10695792B2 (en) Substrate processing method and substrate processing apparatus
JP6945314B2 (en) Board processing equipment
JP2018056200A (en) Substrate processing method and substrate processing device
KR102301798B1 (en) Substrate processing method and substrate processing apparatus
JP2016136599A (en) Substrate processing method and substrate processing device
JP6300314B2 (en) Substrate processing equipment
JP6593591B2 (en) Substrate processing method
JP6226297B2 (en) Substrate processing equipment
JP2017041512A (en) Substrate treatment method and substrate equipment
JP2015053333A (en) Substrate processing apparatus and substrate processing method
JP6317837B2 (en) Substrate processing method and substrate processing apparatus
WO2017029900A1 (en) Substrate treatment method and substrate treatment device
JP2019050427A (en) Substrate processing method and substrate processing device
JP2016143873A (en) Substrate processing method and substrate processing device
WO2019058747A1 (en) Substrate processing device and substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180718

R150 Certificate of patent or registration of utility model

Ref document number: 6376554

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250