JP2014236121A - Mirror device, extreme-ultraviolet light generator and extreme-ultraviolet light generation system - Google Patents

Mirror device, extreme-ultraviolet light generator and extreme-ultraviolet light generation system Download PDF

Info

Publication number
JP2014236121A
JP2014236121A JP2013116975A JP2013116975A JP2014236121A JP 2014236121 A JP2014236121 A JP 2014236121A JP 2013116975 A JP2013116975 A JP 2013116975A JP 2013116975 A JP2013116975 A JP 2013116975A JP 2014236121 A JP2014236121 A JP 2014236121A
Authority
JP
Japan
Prior art keywords
region
laser beam
light
pulse laser
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013116975A
Other languages
Japanese (ja)
Other versions
JP6232210B2 (en
Inventor
ゲオルグ スマン
Georg Sman
ゲオルグ スマン
若林 理
Osamu Wakabayashi
理 若林
啓明 戸室
Hiroaki Tomuro
啓明 戸室
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gigaphoton Inc
Original Assignee
Gigaphoton Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Gigaphoton Inc filed Critical Gigaphoton Inc
Priority to JP2013116975A priority Critical patent/JP6232210B2/en
Publication of JP2014236121A publication Critical patent/JP2014236121A/en
Application granted granted Critical
Publication of JP6232210B2 publication Critical patent/JP6232210B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To suppress pulse laser light from being outputted to an external exposure device.SOLUTION: A mirror device includes a substrate part where a concave surface is formed on one side, and a multilayer reflection film located on the concave surface and having a plurality of grooves formed in the surface. The plurality of grooves are formed so that the depth in a second region, farther from the center of the concave surface than the first region, becomes larger than the depth in the first region.

Description

本開示は、ミラー装置、極端紫外光生成装置及び極端紫外光生成システムに関する。   The present disclosure relates to a mirror device, an extreme ultraviolet light generation device, and an extreme ultraviolet light generation system.

近年、半導体プロセスの微細化に伴って、半導体プロセスの光リソグラフィにおける転写パターンの微細化が急速に進展している。次世代においては、70nm〜45nmの微細加工、さらには32nm以下の微細加工が要求されるようになる。このため、例えば32nm以下の微細加工の要求に応えるべく、波長13nm程度の極端紫外(EUV:Extreme Ultra Violet)光を生成するための極端紫外光生成装置と縮小投影反射光学系(reduced projection reflective optics)とを組み合わせた露光装置の開発が期待されている。   In recent years, along with miniaturization of semiconductor processes, miniaturization of transfer patterns in optical lithography of semiconductor processes has been rapidly progressing. In the next generation, fine processing of 70 nm to 45 nm, and further fine processing of 32 nm or less will be required. For this reason, for example, in order to meet the demand for fine processing of 32 nm or less, an extreme ultraviolet light generation device for generating extreme ultraviolet (EUV) light having a wavelength of about 13 nm and a reduced projection reflective optics (reduced projection reflective optics). ) Is expected to be developed.

極端紫外光生成装置としては、ターゲット物質にレーザ光を照射することによって生成されるプラズマが用いられるLPP(Laser Produced Plasma:レーザ励起プラズマ)式の装置と、放電によって生成されるプラズマが用いられるDPP(Discharge Produced Plasma)式の装置と、軌道放射光が用いられるSR(Synchrotron Radiation)式の装置との3種類の装置が提案されている。   As an extreme ultraviolet light generation apparatus, an LPP (Laser Produced Plasma) type apparatus in which plasma generated by irradiating a target material with laser light is used, and DPP in which plasma generated by discharge is used. Three types of devices have been proposed: (Discharge Produced Plasma) type devices and SR (Synchrotron Radiation) type devices using orbital radiation.

米国特許第8198613号明細書US Pat. No. 8,1986,613 米国特許出願公開第2011/0223543号明細書US Patent Application Publication No. 2011/0223543 米国特許出願公開第2013/0001442号明細書US Patent Application Publication No. 2013/0001442

概要Overview

本開示の1つの観点に係るミラー装置は、一方の面に凹面部が形成された基板部と、凹面部に位置し表面に複数の溝が形成された多層反射膜と、を含み、複数の溝が、第1領域における深さよりも、第1領域よりも凹面部の中心から遠い第2領域における深さが大きくなるように形成されてもよい。   A mirror device according to one aspect of the present disclosure includes a substrate portion having a concave portion formed on one surface thereof, and a multilayer reflective film that is positioned on the concave surface portion and has a plurality of grooves formed on a surface thereof. The groove may be formed so that the depth in the second region farther from the center of the concave portion than in the first region is larger than the depth in the first region.

本開示の他の1つの観点に係る極端紫外光生成装置は、貫通孔が設けられたチャンバと、チャンバ内にターゲットを出力するように構成されたターゲット生成部と、貫通孔を通してチャンバ内にパルスレーザ光を導入するように構成されたレーザ集光光学系と、チャンバ内で生成された極端紫外光を集光するミラー装置と、を備えてもよい。ミラー装置は、一方の面に凹面部が形成された基板部と、凹面部に位置し表面に複数の溝が形成された多層反射膜と、を含み、複数の溝が、第1領域における深さよりも、第1領域よりも凹面部の中心から遠い第2領域における深さが大きくなるように形成されてもよい。   An extreme ultraviolet light generation device according to another aspect of the present disclosure includes a chamber provided with a through hole, a target generation unit configured to output a target in the chamber, and a pulse in the chamber through the through hole. You may provide the laser condensing optical system comprised so that a laser beam may be introduced, and the mirror apparatus which condenses the extreme ultraviolet light produced | generated in the chamber. The mirror device includes a substrate portion having a concave surface portion formed on one surface, and a multilayer reflective film that is located on the concave surface portion and has a plurality of grooves formed on a surface thereof, wherein the plurality of grooves have a depth in the first region. In addition, the depth in the second region farther from the center of the concave surface portion than the first region may be formed.

本開示の他の1つの観点に係る極端紫外光生成システムは、パルスレーザ光を生成するレーザ装置と、貫通孔が設けられたチャンバと、チャンバ内にターゲットを出力するように構成されたターゲット生成部と、貫通孔を通してチャンバ内にパルスレーザ光を導入するように構成されたレーザ集光光学系と、チャンバ内で生成された極端紫外光を集光するミラー装置と、を備えてもよい。ミラー装置は、一方の面に凹面部が形成された基板部と、凹面部に位置し表面に複数の溝が形成された多層反射膜と、を含み、複数の溝が、第1領域における深さよりも、第1領域よりも凹面部の中心から遠い第2領域における深さが大きくなるように形成されてもよい。   An extreme ultraviolet light generation system according to another aspect of the present disclosure includes a laser device that generates pulsed laser light, a chamber provided with a through-hole, and a target generation configured to output a target in the chamber A laser condensing optical system configured to introduce a pulse laser beam into the chamber through the through-hole, and a mirror device that condenses the extreme ultraviolet light generated in the chamber. The mirror device includes a substrate portion having a concave surface portion formed on one surface, and a multilayer reflective film that is located on the concave surface portion and has a plurality of grooves formed on a surface thereof, wherein the plurality of grooves have a depth in the first region. In addition, the depth in the second region farther from the center of the concave surface portion than the first region may be formed.

本開示のいくつかの実施形態を、単なる例として、添付の図面を参照して以下に説明する。
図1は、例示的なLPP式のEUV光生成システムの構成を概略的に示す。 図2は、第1の実施形態に係るEUV光生成システムの構成を示す一部断面図である。 図3Aは、第1の実施形態において用いられるEUV集光ミラーの平面図であり、図3Bは、図3Aに示されるEUV集光ミラーのIIIB−IIIB線における断面図である。 図4は、図3Bに示されたEUV集光ミラーの断面の一部を拡大して示す。 図5は、図4に示されたEUV集光ミラーの断面の一部をさらに拡大して示す。 図6は、パルスレーザ光の入射角が図5に示されたものと異なる場合の第1の方向成分の光路を示す。 図7は、パルスレーザ光がCOレーザ光である場合に好ましい溝深さを、多層反射膜への入射角との関係で示す。 図8は、パルスレーザ光がCOレーザ光である場合に好ましい溝深さの範囲を、多層反射膜への入射角との関係で示す。 第1の実施形態において用いられるEUV集光ミラーを製造するための加工装置を概略的に示す。 図10は、第2の実施形態において用いられるEUV集光ミラーの断面の一部を拡大して示す。 図11は、第2の実施形態において用いられるEUV集光ミラーを製造するための加工装置を概略的に示す。 図12Aは、第3の実施形態において用いられるEUV集光ミラーの平面図であり、図12Bは、図12Aに示されるEUV集光ミラーのXIIB−XIIB線における断面図である。
Several embodiments of the present disclosure are described below by way of example only and with reference to the accompanying drawings.
FIG. 1 schematically shows the configuration of an exemplary LPP type EUV light generation system. FIG. 2 is a partial cross-sectional view showing the configuration of the EUV light generation system according to the first embodiment. FIG. 3A is a plan view of an EUV collector mirror used in the first embodiment, and FIG. 3B is a cross-sectional view of the EUV collector mirror shown in FIG. 3A along the line IIIB-IIIB. FIG. 4 shows an enlarged part of the cross section of the EUV collector mirror shown in FIG. 3B. FIG. 5 shows an enlarged part of a section of the EUV collector mirror shown in FIG. FIG. 6 shows the optical path of the first direction component when the incident angle of the pulse laser beam is different from that shown in FIG. FIG. 7 shows a preferable groove depth when the pulsed laser beam is a CO 2 laser beam in relation to the incident angle to the multilayer reflective film. FIG. 8 shows a preferable range of the groove depth when the pulse laser beam is a CO 2 laser beam in relation to the incident angle to the multilayer reflective film. The processing apparatus for manufacturing the EUV collector mirror used in 1st Embodiment is shown schematically. FIG. 10 shows an enlarged part of a cross section of the EUV collector mirror used in the second embodiment. FIG. 11 schematically shows a processing apparatus for manufacturing an EUV collector mirror used in the second embodiment. FIG. 12A is a plan view of an EUV collector mirror used in the third embodiment, and FIG. 12B is a cross-sectional view taken along the line XIIB-XIIB of the EUV collector mirror shown in FIG. 12A.

実施形態Embodiment

<内容>
1.概要
2.EUV光生成システムの全体説明
2.1 構成
2.2 動作
3.回折格子が形成されたEUV集光ミラーを含むEUV光生成システム
3.1 構成
3.2 動作
3.3 回折格子が形成されたEUV集光ミラー
3.4 基板部の中心からの距離と溝深さとの関係
3.5 製造方法
4.第2の積層部の厚さが低減されたEUV集光ミラー
4.1 構成
4.2 製造方法
5.反射面の一部に回折格子が形成されたEUV集光ミラー
6.その他
<Contents>
1. Outline 2. 2. Overall description of EUV light generation system 2.1 Configuration 2.2 Operation EUV light generation system including EUV collector mirror formed with diffraction grating 3.1 Configuration 3.2 Operation 3.3 EUV collector mirror formed with diffraction grating 3.4 Distance from substrate center and groove depth 3.5 Manufacturing method 4. EUV collector mirror with reduced thickness of second layered portion 4.1 Configuration 4.2 Manufacturing method 5. EUV collector mirror having a diffraction grating formed on a part of the reflecting surface Other

以下、本開示の実施形態について、図面を参照しながら詳しく説明する。以下に説明される実施形態は、本開示のいくつかの例を示すものであって、本開示の内容を限定するものではない。また、各実施形態で説明される構成及び動作のすべてが本開示の構成及び動作として必須であるとは限らない。なお、同一の構成要素には同一の参照符号を付して、重複する説明を省略する。   Hereinafter, embodiments of the present disclosure will be described in detail with reference to the drawings. Embodiment described below shows some examples of this indication, and does not limit the contents of this indication. In addition, not all of the configurations and operations described in the embodiments are essential as the configurations and operations of the present disclosure. In addition, the same referential mark is attached | subjected to the same component and the overlapping description is abbreviate | omitted.

1.概要
LPP式のEUV光生成装置においては、ターゲット生成部がドロップレット状のターゲットを出力し、チャンバ内のプラズマ生成領域に到達させてもよい。ターゲットがプラズマ生成領域に到達した時点で、レーザ装置がターゲットにパルスレーザ光を照射することにより、ターゲットがプラズマ化し、このプラズマからEUV光を含む放射光が放射され得る。放射光に含まれるEUV光は、EUV集光ミラーによって反射されることにより、中間集光点を経由して外部の露光装置に出力されてもよい。
1. Outline In an LPP type EUV light generation apparatus, a target generation unit may output a droplet-shaped target to reach a plasma generation region in a chamber. When the target reaches the plasma generation region, the laser device irradiates the target with pulsed laser light, whereby the target is turned into plasma, and radiation light including EUV light can be emitted from the plasma. The EUV light included in the emitted light may be output to an external exposure apparatus via an intermediate condensing point by being reflected by an EUV condensing mirror.

レーザ装置がターゲットにパルスレーザ光を照射したとき、ターゲットがパルスレーザ光の一部を反射する場合がある。ターゲットによって反射されたパルスレーザ光は、EUV光の光路と同じ光路を進み得る。ターゲットによって反射されたパルスレーザ光が外部の露光装置に出力されることを抑制するため、EUV集光ミラーの反射面には複数の溝が形成されていてもよい。この複数の溝は、パルスレーザ光を回折させる回折格子として機能してもよい。複数の溝の深さが、パルスレーザ光の波長の4分の1である場合には、パルスレーザ光の0次回折光が弱くなり、パルスレーザ光が外部の露光装置に出力されることが抑制され得る。   When the laser device irradiates the target with pulsed laser light, the target may reflect a part of the pulsed laser light. The pulsed laser light reflected by the target can travel along the same optical path as the EUV light. In order to suppress the pulse laser beam reflected by the target from being output to an external exposure apparatus, a plurality of grooves may be formed on the reflection surface of the EUV collector mirror. The plurality of grooves may function as a diffraction grating that diffracts the pulse laser beam. When the depth of the plurality of grooves is ¼ of the wavelength of the pulse laser beam, the 0th-order diffracted light of the pulse laser beam becomes weak, and the pulse laser beam is prevented from being output to an external exposure apparatus. Can be done.

しかしながら、EUV集光ミラーの反射面に対するパルスレーザ光の入射角度によっては、0次回折光が十分に弱くならない場合がある。   However, depending on the incident angle of the pulse laser beam with respect to the reflection surface of the EUV collector mirror, the 0th-order diffracted light may not be sufficiently weakened.

本開示の1つの観点によれば、EUV集光ミラーの反射面が、第1領域と、第1領域よりもEUV集光ミラーの中心から遠い第2領域と、を有し、EUV集光ミラーの反射面に形成された複数の溝が、第1領域において第1の深さを有し、第2領域において第1の深さより大きい第2の深さを有してもよい。   According to one aspect of the present disclosure, the reflective surface of the EUV collector mirror includes a first region and a second region farther from the center of the EUV collector mirror than the first region, and the EUV collector mirror The plurality of grooves formed in the reflective surface may have a first depth in the first region and a second depth larger than the first depth in the second region.

この観点によれば、EUV集光ミラーの反射面に対するパルスレーザ光の入射角度に応じて、パルスレーザ光の0次回折光が弱められるため、パルスレーザ光が外部の露光装置に出力されることが抑制され得る。   According to this aspect, since the 0th-order diffracted light of the pulse laser light is weakened according to the incident angle of the pulse laser light with respect to the reflection surface of the EUV collector mirror, the pulse laser light may be output to an external exposure apparatus. Can be suppressed.

2.EUV光生成システムの全体説明
2.1 構成
図1に、例示的なLPP式のEUV光生成システムの構成を概略的に示す。EUV光生成装置1は、少なくとも1つのレーザ装置3と共に用いられてもよい。本願においては、EUV光生成装置1及びレーザ装置3を含むシステムを、EUV光生成システム11と称する。図1に示し、かつ、以下に詳細に説明するように、EUV光生成装置1は、チャンバ2、ターゲット生成部26を含んでもよい。チャンバ2は、密閉可能であってもよい。ターゲット生成部26は、例えば、チャンバ2の壁を貫通するように取り付けられてもよい。ターゲット生成部26から出力されるターゲット物質の材料は、スズ、テルビウム、ガドリニウム、リチウム、キセノン、又は、それらの内のいずれか2つ以上の組合せを含んでもよいが、これらに限定されない。
2. 2. General Description of EUV Light Generation System 2.1 Configuration FIG. 1 schematically shows a configuration of an exemplary LPP type EUV light generation system. The EUV light generation apparatus 1 may be used together with at least one laser apparatus 3. In the present application, a system including the EUV light generation apparatus 1 and the laser apparatus 3 is referred to as an EUV light generation system 11. As shown in FIG. 1 and described in detail below, the EUV light generation apparatus 1 may include a chamber 2 and a target generation unit 26. The chamber 2 may be sealable. The target generation unit 26 may be attached so as to penetrate the wall of the chamber 2, for example. The material of the target substance output from the target generation unit 26 may include, but is not limited to, tin, terbium, gadolinium, lithium, xenon, or a combination of any two or more thereof.

チャンバ2の壁には、少なくとも1つの貫通孔が設けられていてもよい。その貫通孔には、ウインドウ21が設けられてもよく、ウインドウ21をレーザ装置3から出力されるパルスレーザ光32が透過してもよい。チャンバ2の内部には、例えば、回転楕円面形状の反射面を有するEUV集光ミラー23が配置されてもよい。ミラー装置としてのEUV集光ミラー23は、第1及び第2の焦点を有し得る。EUV集光ミラー23の表面には、例えば、モリブデンとシリコンとが交互に積層された多層反射膜が形成されていてもよい。EUV集光ミラー23は、例えば、その第1の焦点がプラズマ生成領域25に位置し、その第2の焦点が中間集光点(IF)292に位置するように配置されるのが好ましい。EUV集光ミラー23の中央部には貫通孔24が設けられていてもよく、貫通孔24をパルスレーザ光33が通過してもよい。   The wall of the chamber 2 may be provided with at least one through hole. A window 21 may be provided in the through hole, and the pulse laser beam 32 output from the laser device 3 may pass through the window 21. In the chamber 2, for example, an EUV collector mirror 23 having a spheroidal reflecting surface may be disposed. The EUV collector mirror 23 as a mirror device may have first and second focal points. On the surface of the EUV collector mirror 23, for example, a multilayer reflective film in which molybdenum and silicon are alternately laminated may be formed. The EUV collector mirror 23 is preferably arranged such that, for example, the first focal point thereof is located in the plasma generation region 25 and the second focal point thereof is located at the intermediate focal point (IF) 292. A through hole 24 may be provided at the center of the EUV collector mirror 23, and the pulse laser beam 33 may pass through the through hole 24.

EUV光生成装置1は、EUV光生成制御部5、ターゲットセンサ4等を含んでもよい。ターゲットセンサ4は、撮像機能を有してもよく、ターゲット27の存在、軌跡、位置、速度等を検出するよう構成されてもよい。   The EUV light generation apparatus 1 may include an EUV light generation control unit 5, a target sensor 4, and the like. The target sensor 4 may have an imaging function and may be configured to detect the presence, trajectory, position, speed, and the like of the target 27.

また、EUV光生成装置1は、チャンバ2の内部と露光装置6の内部とを連通させる接続部29を含んでもよい。接続部29内部には、アパーチャが形成された壁291が設けられてもよい。壁291は、そのアパーチャがEUV集光ミラー23の第2の焦点位置に位置するように配置されてもよい。   Further, the EUV light generation apparatus 1 may include a connection unit 29 that allows the inside of the chamber 2 and the inside of the exposure apparatus 6 to communicate with each other. A wall 291 in which an aperture is formed may be provided inside the connection portion 29. The wall 291 may be arranged such that its aperture is located at the second focal position of the EUV collector mirror 23.

さらに、EUV光生成装置1は、レーザ光進行方向制御部34、レーザ光集光ミラー22、ターゲット27を回収するためのターゲット回収部28等を含んでもよい。レーザ光進行方向制御部34は、レーザ光の進行方向を規定するための光学素子と、この光学素子の位置、姿勢等を調整するためのアクチュエータとを備えてもよい。   Furthermore, the EUV light generation apparatus 1 may include a laser beam traveling direction control unit 34, a laser beam focusing mirror 22, a target recovery unit 28 for recovering the target 27, and the like. The laser beam traveling direction control unit 34 may include an optical element for defining the traveling direction of the laser beam and an actuator for adjusting the position, posture, and the like of the optical element.

2.2 動作
図1を参照に、レーザ装置3から出力されたパルスレーザ光31は、レーザ光進行方向制御部34を経て、パルスレーザ光32としてウインドウ21を透過してチャンバ2内に入射してもよい。パルスレーザ光32は、少なくとも1つのレーザ光経路に沿ってチャンバ2内を進み、レーザ光集光ミラー22で反射されて、パルスレーザ光33として少なくとも1つのターゲット27に照射されてもよい。
2.2 Operation Referring to FIG. 1, the pulsed laser beam 31 output from the laser device 3 passes through the window 21 as the pulsed laser beam 32 through the laser beam traveling direction control unit 34 and enters the chamber 2. May be. The pulse laser beam 32 may travel through the chamber 2 along at least one laser beam path, be reflected by the laser beam collector mirror 22, and be irradiated to the at least one target 27 as the pulse laser beam 33.

ターゲット生成部26は、ターゲット27をチャンバ2内部のプラズマ生成領域25に向けて出力するよう構成されてもよい。ターゲット27には、パルスレーザ光33に含まれる少なくとも1つのパルスが照射されてもよい。パルスレーザ光が照射されたターゲット27はプラズマ化し、そのプラズマから放射光251が放射され得る。EUV集光ミラー23は、放射光251に含まれるEUV光を、他の波長域の光に比べて高い反射率で反射してもよい。EUV集光ミラー23によって反射されたEUV光を含む反射光252は、中間集光点292で集光され、露光装置6に出力されてもよい。なお、1つのターゲット27に、パルスレーザ光33に含まれる複数のパルスが照射されてもよい。   The target generation unit 26 may be configured to output the target 27 toward the plasma generation region 25 inside the chamber 2. The target 27 may be irradiated with at least one pulse included in the pulse laser beam 33. The target 27 irradiated with the pulsed laser light is turned into plasma, and radiation light 251 can be emitted from the plasma. The EUV collector mirror 23 may reflect the EUV light included in the emitted light 251 with a higher reflectance than light in other wavelength ranges. The reflected light 252 including the EUV light reflected by the EUV collector mirror 23 may be condensed at the intermediate condensing point 292 and output to the exposure apparatus 6. A single target 27 may be irradiated with a plurality of pulses included in the pulse laser beam 33.

EUV光生成制御部5は、EUV光生成システム11全体の制御を統括するよう構成されてもよい。EUV光生成制御部5は、ターゲットセンサ4によって撮像されたターゲット27のイメージデータ等を処理するよう構成されてもよい。また、EUV光生成制御部5は、例えば、ターゲット27が出力されるタイミング、ターゲット27の出力方向等を制御するよう構成されてもよい。さらに、EUV光生成制御部5は、例えば、レーザ装置3の発振タイミング、パルスレーザ光32の進行方向、パルスレーザ光33の集光位置等を制御するよう構成されてもよい。上述の様々な制御は単なる例示に過ぎず、必要に応じて他の制御が追加されてもよい。   The EUV light generation controller 5 may be configured to control the entire EUV light generation system 11. The EUV light generation controller 5 may be configured to process image data of the target 27 imaged by the target sensor 4. Further, the EUV light generation control unit 5 may be configured to control the timing at which the target 27 is output, the output direction of the target 27, and the like, for example. Further, the EUV light generation control unit 5 may be configured to control, for example, the oscillation timing of the laser device 3, the traveling direction of the pulse laser light 32, the condensing position of the pulse laser light 33, and the like. The various controls described above are merely examples, and other controls may be added as necessary.

3.回折格子が形成されたEUV集光ミラーを含むEUV光生成システム
3.1 構成
図2は、第1の実施形態に係るEUV光生成システム11の構成を示す一部断面図である。図2に示されるように、チャンバ2の内部には、集光光学系22aと、EUV集光ミラー23と、ターゲット回収部28と、EUV集光ミラーホルダ41と、プレート42及び43とが設けられてもよい。チャンバ2には、ターゲット生成部26が取り付けられてもよい。ターゲット生成部26は、ターゲット制御部52と、圧力調節器53と、加震装置59と、リザーバ61とを含んでいても良い。
3. EUV Light Generation System Including EUV Condensing Mirror Formed with Diffraction Grating 3.1 Configuration FIG. 2 is a partial cross-sectional view showing the configuration of the EUV light generation system 11 according to the first embodiment. As shown in FIG. 2, a condensing optical system 22 a, an EUV collector mirror 23, a target recovery unit 28, an EUV collector mirror holder 41, and plates 42 and 43 are provided inside the chamber 2. May be. A target generator 26 may be attached to the chamber 2. The target generation unit 26 may include a target control unit 52, a pressure regulator 53, a shaker 59, and a reservoir 61.

チャンバ2の外部には、レーザ光進行方向制御部34aと、EUV光生成制御部5と、レーザ装置3とが設けられてもよい。レーザ光進行方向制御部34aは、高反射ミラー341及び342を含んでもよい。高反射ミラー341は、ホルダ343によって支持されていてもよい。高反射ミラー342は、ホルダ344によって支持されていてもよい。   A laser beam traveling direction control unit 34a, an EUV light generation control unit 5, and a laser device 3 may be provided outside the chamber 2. The laser beam traveling direction control unit 34a may include high reflection mirrors 341 and 342. The high reflection mirror 341 may be supported by the holder 343. The high reflection mirror 342 may be supported by the holder 344.

プレート42は、チャンバ2に固定されてもよい。プレート42には、プレート43が固定されてもよい。EUV集光ミラー23は、EUV集光ミラーホルダ41を介してプレート42に固定されてもよい。   The plate 42 may be fixed to the chamber 2. A plate 43 may be fixed to the plate 42. The EUV collector mirror 23 may be fixed to the plate 42 via the EUV collector mirror holder 41.

集光光学系22aは、軸外放物面ミラー221及び平面ミラー222を含んでもよい。軸外放物面ミラー221は、ホルダ223によって支持されてもよい。平面ミラー222は、ホルダ224によって支持されてもよい。ホルダ223及び224は、プレート43に固定されてもよい。軸外放物面ミラー221及び平面ミラー222によって反射されたパルスレーザ光33がプラズマ生成領域25で集光するように、これらのミラーの位置及び姿勢が保持されてもよい。   The condensing optical system 22a may include an off-axis paraboloid mirror 221 and a plane mirror 222. The off-axis parabolic mirror 221 may be supported by the holder 223. The plane mirror 222 may be supported by the holder 224. The holders 223 and 224 may be fixed to the plate 43. The positions and postures of these mirrors may be maintained so that the pulsed laser light 33 reflected by the off-axis paraboloid mirror 221 and the plane mirror 222 is condensed in the plasma generation region 25.

ターゲット生成部26のリザーバ61は、図示しないヒータを用いてターゲットの材料を溶融した状態で内部に貯蔵してもよい。リザーバ61の一部が、チャンバ2の壁面に形成された貫通孔20を貫通しており、リザーバ61の先端がチャンバ2の内部に位置していてもよい。リザーバ61の上記先端には、開口62が形成されていてもよい。貫通孔20の周囲のチャンバ2の壁面には、リザーバ61のフランジ部61aが密着して固定されてもよい。   The reservoir 61 of the target generator 26 may store the target material in a melted state using a heater (not shown). A part of the reservoir 61 may penetrate the through-hole 20 formed in the wall surface of the chamber 2, and the tip of the reservoir 61 may be located inside the chamber 2. An opening 62 may be formed at the tip of the reservoir 61. The flange portion 61 a of the reservoir 61 may be tightly fixed to the wall surface of the chamber 2 around the through hole 20.

3.2 動作
ターゲット生成部26は、開口62を介して、溶融したターゲットの材料をドロップレット状のターゲット27としてチャンバ2内のプラズマ生成領域25に向けて出力してもよい。ドロップレット状のターゲット27は、その表面張力によって球の形状を有していてもよい。ターゲット回収部28は、ターゲット27の軌道の延長線上に配置され、プラズマ生成領域25を通過したターゲット27を回収してもよい。
3.2 Operation The target generation unit 26 may output the molten target material as the droplet-shaped target 27 toward the plasma generation region 25 in the chamber 2 through the opening 62. The droplet-shaped target 27 may have a spherical shape depending on the surface tension. The target recovery unit 28 may be disposed on an extension of the trajectory of the target 27 and recover the target 27 that has passed through the plasma generation region 25.

レーザ装置3によって出力されるパルスレーザ光31は、レーザ光進行方向制御部34aによって、パルスレーザ光32として集光光学系22aに導かれてもよい。パルスレーザ光32は、ウインドウ21を介してチャンバ2内に入射し、集光光学系22aによって、パルスレーザ光33としてプラズマ生成領域25に集光されてもよい。   The pulse laser beam 31 output by the laser device 3 may be guided to the condensing optical system 22a as the pulse laser beam 32 by the laser beam traveling direction control unit 34a. The pulsed laser light 32 may enter the chamber 2 through the window 21 and may be condensed on the plasma generation region 25 as the pulsed laser light 33 by the condensing optical system 22a.

EUV光生成制御部5は、ターゲット27がプラズマ生成領域25に到達するタイミングでパルスレーザ光33がプラズマ生成領域25に集光されるように、ターゲット生成部26及びレーザ装置3を制御してもよい。これにより、ターゲット27にパルスレーザ光33が照射され、ターゲット27がプラズマ化されてもよい。プラズマからは、EUV光を含む放射光251が放射されてもよい。また、ターゲット27にパルスレーザ光33が照射されたとき、パルスレーザ光33の一部は、ターゲット27によってパルスレーザ光35として反射され得る。パルスレーザ光35は、パルスレーザ光33の進行方向と逆方向の方向成分を含む多方向に進行し、その少なくとも一部がEUV集光ミラー23に入射し得る。   The EUV light generation control unit 5 controls the target generation unit 26 and the laser apparatus 3 so that the pulse laser beam 33 is focused on the plasma generation region 25 at the timing when the target 27 reaches the plasma generation region 25. Good. Thereby, the target 27 may be irradiated with the pulsed laser light 33 and the target 27 may be turned into plasma. Radiation light 251 including EUV light may be emitted from the plasma. Further, when the target 27 is irradiated with the pulse laser beam 33, a part of the pulse laser beam 33 can be reflected as the pulse laser beam 35 by the target 27. The pulsed laser light 35 travels in multiple directions including direction components opposite to the traveling direction of the pulsed laser light 33, and at least a part of the pulsed laser light 35 can enter the EUV collector mirror 23.

放射光251に含まれるEUV光は、EUV集光ミラー23によって反射され、このEUV光を含む反射光252が、図1に示された露光装置6に向けて出力されてもよい。パルスレーザ光35は、EUV集光ミラー23によってパルスレーザ光36として反射され得る。パルスレーザ光36が露光装置6に出力されることを抑制するために、EUV集光ミラー23は、以下に説明される構成を有してもよい。   The EUV light included in the radiation light 251 may be reflected by the EUV collector mirror 23, and the reflected light 252 including this EUV light may be output toward the exposure apparatus 6 shown in FIG. The pulse laser beam 35 can be reflected as the pulse laser beam 36 by the EUV collector mirror 23. In order to suppress the output of the pulse laser beam 36 to the exposure apparatus 6, the EUV collector mirror 23 may have a configuration described below.

3.3 回折格子が形成されたEUV集光ミラー
図3Aは、第1の実施形態において用いられるEUV集光ミラー23の平面図であり、図3Bは、図3Aに示されるEUV集光ミラー23のIIIB−IIIB線における断面図である。図4は、図3Bに示されたEUV集光ミラー23の断面の一部を拡大して示す。図4において、EUV集光ミラー23の断面を示すハッチングは省略されている。EUV集光ミラー23は、基板部70と、基板部70の一方の面に形成された凹面部に位置する多層反射膜80とを有していてもよい。多層反射膜80の表面85は、複数の溝84を有していてもよい。図3Aに示されるように、複数の溝84は、同心円状であってもよい。図4に示されるように、複数の溝84の断面は、矩形状であってもよい。
3.3 EUV collector mirror with diffraction grating formed FIG. 3A is a plan view of the EUV collector mirror 23 used in the first embodiment, and FIG. 3B is an EUV collector mirror 23 shown in FIG. 3A. It is sectional drawing in the IIIB-IIIB line | wire. 4 shows an enlarged part of a cross section of the EUV collector mirror 23 shown in FIG. 3B. In FIG. 4, hatching indicating a cross section of the EUV collector mirror 23 is omitted. The EUV collector mirror 23 may include a substrate part 70 and a multilayer reflective film 80 located on a concave part formed on one surface of the substrate part 70. The surface 85 of the multilayer reflective film 80 may have a plurality of grooves 84. As shown in FIG. 3A, the plurality of grooves 84 may be concentric. As shown in FIG. 4, the cross section of the plurality of grooves 84 may be rectangular.

図5は、図4に示されたEUV集光ミラー23の断面の一部をさらに拡大して示す。図5において、EUV集光ミラー23の断面を示すハッチングは省略されている。多層反射膜80は、基板部70の一方の面を覆う第1の積層部80aと、第1の積層部80aの表面を部分的に覆う第2の積層部80bとを有してもよい。多層反射膜80の表面85は、第2の積層部80bの表面と、第1の積層部80aの表面のうちで第2の積層部80bによって覆われていない部分と、を含み得る。多層反射膜80の表面85においては、第2の積層部80bの表面に対して、第1の積層部80aの表面が窪んだ形状になっていることにより、この窪んだ部分が溝84となっている。溝深さdは、第2の積層部80bの厚さに相当していてもよい。溝ピッチpは、溝幅fに対して約2倍の大きさを有してもよく、例えば100μm以上、5000μm以下でもよい。溝ピッチpは、好ましくは500μm以上、2000μm以下でもよい。 FIG. 5 shows an enlarged part of a section of the EUV collector mirror 23 shown in FIG. In FIG. 5, hatching indicating a cross section of the EUV collector mirror 23 is omitted. The multilayer reflective film 80 may include a first stacked unit 80a that covers one surface of the substrate unit 70, and a second stacked unit 80b that partially covers the surface of the first stacked unit 80a. The surface 85 of the multilayer reflective film 80 may include the surface of the second stacked unit 80b and the portion of the surface of the first stacked unit 80a that is not covered by the second stacked unit 80b. On the surface 85 of the multilayer reflective film 80, the surface of the first stacked portion 80a is recessed with respect to the surface of the second stacked portion 80b, so that the recessed portion becomes the groove 84. ing. Groove depth d 1 may correspond to the thickness of the second laminate section 80b. The groove pitch p may be about twice as large as the groove width f, and may be, for example, 100 μm or more and 5000 μm or less. The groove pitch p may be preferably 500 μm or more and 2000 μm or less.

図4に示されるように、多層反射膜80の表面85には、放射光251と、ターゲット27によって反射されたパルスレーザ光35とが入射し得る。放射光251は、波長13.5nmのEUV光を含んでもよい。パルスレーザ光35は、波長10.6μmの赤外光を含んでもよい。図5に示されるように、パルスレーザ光35は、第1の積層部80aの表面に入射するパルスレーザ光35aと、第2の積層部80bの表面に入射するパルスレーザ光35bと、を含んでもよい。   As shown in FIG. 4, the emitted light 251 and the pulsed laser light 35 reflected by the target 27 can be incident on the surface 85 of the multilayer reflective film 80. The emitted light 251 may include EUV light having a wavelength of 13.5 nm. The pulse laser beam 35 may include infrared light having a wavelength of 10.6 μm. As shown in FIG. 5, the pulse laser beam 35 includes a pulse laser beam 35a incident on the surface of the first stacked unit 80a and a pulse laser beam 35b incident on the surface of the second stacked unit 80b. But you can.

パルスレーザ光35が多層反射膜80の表面85で反射される場合、複数の溝84が形成された多層反射膜80の表面85は、回折格子として機能し得る。すなわち、パルスレーザ光35aは、多層反射膜80の表面85で回折して、第1の方向成分37a及び第2の方向成分38aを含む複数の方向成分に反射されてもよい。パルスレーザ光35bは、多層反射膜80の表面85で回折して、第1の方向成分37b及び第2の方向成分38bを含む複数の方向成分に反射されてもよい。なお、回折とは、波が障害物に遮られたときに、この障害物の背後にも波が回り込むように広がって伝播する現象をいい得る。この回折は、多層反射膜80の表面85のように複数の溝84が形成された反射面において光が反射されたときにも起こり得る。   When the pulsed laser light 35 is reflected by the surface 85 of the multilayer reflective film 80, the surface 85 of the multilayer reflective film 80 in which the plurality of grooves 84 are formed can function as a diffraction grating. That is, the pulsed laser light 35a may be diffracted by the surface 85 of the multilayer reflective film 80 and reflected by a plurality of direction components including the first direction component 37a and the second direction component 38a. The pulsed laser light 35b may be diffracted by the surface 85 of the multilayer reflective film 80 and reflected by a plurality of direction components including the first direction component 37b and the second direction component 38b. Note that diffraction can refer to a phenomenon in which when a wave is blocked by an obstacle, the wave spreads and propagates behind the obstacle. This diffraction can also occur when light is reflected on a reflective surface in which a plurality of grooves 84 are formed, such as the surface 85 of the multilayer reflective film 80.

第1の方向成分37a及び第1の方向成分37bの反射角は、パルスレーザ光35a及びパルスレーザ光35bの入射角と等しくてもよい。第2の方向成分38a及び第2の方向成分38bの反射角は、パルスレーザ光35a及びパルスレーザ光35bの入射角と異なってもよい。   The reflection angles of the first direction component 37a and the first direction component 37b may be equal to the incident angles of the pulse laser beam 35a and the pulse laser beam 35b. The reflection angles of the second direction component 38a and the second direction component 38b may be different from the incident angles of the pulse laser beam 35a and the pulse laser beam 35b.

図5には、パルスレーザ光35a、35b、37a、37b、38a及び38bが波線で示されている。これらの波線は、パルスレーザ光の各々の位相を概念的に示している。パルスレーザ光35aとパルスレーザ光35bとは、同じ位相を有していてもよい。   In FIG. 5, pulsed laser beams 35a, 35b, 37a, 37b, 38a and 38b are indicated by wavy lines. These wavy lines conceptually show the respective phases of the pulsed laser light. The pulse laser beam 35a and the pulse laser beam 35b may have the same phase.

パルスレーザ光35a及びパルスレーザ光35bの入射角が0°である場合、パルスレーザ光35a及び第1の方向成分37aの光路長と、パルスレーザ光35b及び第1の方向成分37bの光路長との間には、溝深さdの2倍に相当する差が生じ得る。この光路長の差は、溝深さdがパルスレーザ光35の波長λの4分の1であるとすると、波長λの2分の1に相当し得る。これにより、第1の方向成分37aの位相と第1の方向成分37bの位相とは互いに反対になり得る。従って、第1の方向成分37a及び第1の方向成分37bが、干渉して互いに弱めあってもよい。パルスレーザ光35a及びパルスレーザ光35bの入射角と等しくなるような反射角を有する第1の方向成分37a及び第1の方向成分37bは、0次回折光と呼ばれ得る。これらの0次回折光が互いに弱めあうように、溝深さdが設定されることが望ましい。すなわち、パルスレーザ光35a及びパルスレーザ光35bの入射角が0°である場合、d=λ/4であることが望ましい。 When the incident angles of the pulse laser beam 35a and the pulse laser beam 35b are 0 °, the optical path length of the pulse laser beam 35a and the first direction component 37a, and the optical path length of the pulse laser beam 35b and the first direction component 37b, A difference corresponding to twice the groove depth d 1 may occur. This difference in optical path length may correspond to a half of the wavelength λ when the groove depth d 1 is a quarter of the wavelength λ of the pulsed laser light 35. Thereby, the phase of the first direction component 37a and the phase of the first direction component 37b can be opposite to each other. Accordingly, the first direction component 37a and the first direction component 37b may interfere with each other and weaken each other. The first direction component 37a and the first direction component 37b having reflection angles that are equal to the incident angles of the pulse laser beam 35a and the pulse laser beam 35b can be referred to as zero-order diffracted light. It is desirable to set the groove depth d 1 so that these zero-order diffracted lights weaken each other. That is, when the incident angles of the pulse laser beam 35a and the pulse laser beam 35b are 0 °, it is desirable that d 1 = λ / 4.

一方、パルスレーザ光35a及び第2の方向成分38aの光路長と、パルスレーザ光35b及び第2の方向成分38bの光路長との差は、第2の方向成分38a及び第2の方向成分38bの反射角に依存し得る。第2の方向成分38a及び第2の方向成分38bが特定の反射角を有する場合に、第2の方向成分38aの位相と第2の方向成分38bの位相とが一致し得る。これにより、パルスレーザ光35a及びパルスレーザ光35bの入射角と異なる特定の反射角を有する第2の方向成分38a及び第2の方向成分38bが、互いに強めあってもよい。このような特定の反射角は、離散的に複数存在し、このような特定の反射角を有する第2の方向成分38a及び第2の方向成分38bによって、干渉縞が形成されてもよい。このような特定の反射角のうち、0次回折光の反射角に最も近い反射角は2つ存在し、それぞれの反射角を有する方向成分が、−1次回折光及び+1次回折光と呼ばれ得る。図4には、−1次回折光及び+1次回折光がパルスレーザ光36として示されている。−1次回折光及び+1次回折光が、0次回折光よりも強い光強度を有することが望ましい。   On the other hand, the difference between the optical path length of the pulse laser beam 35a and the second direction component 38a and the optical path length of the pulse laser beam 35b and the second direction component 38b is the second direction component 38a and the second direction component 38b. Depending on the reflection angle. When the second direction component 38a and the second direction component 38b have a specific reflection angle, the phase of the second direction component 38a and the phase of the second direction component 38b can match. Thereby, the second direction component 38a and the second direction component 38b having specific reflection angles different from the incident angles of the pulse laser beam 35a and the pulse laser beam 35b may be strengthened to each other. A plurality of such specific reflection angles exist discretely, and interference fringes may be formed by the second direction component 38a and the second direction component 38b having such specific reflection angles. Among such specific reflection angles, there are two reflection angles closest to the reflection angle of the 0th-order diffracted light, and the direction components having the respective reflection angles can be referred to as −1st-order diffracted light and + 1st-order diffracted light. In FIG. 4, the −1st order diffracted light and the + 1st order diffracted light are shown as pulsed laser light 36. It is desirable that the −1st order diffracted light and the + 1st order diffracted light have stronger light intensity than the 0th order diffracted light.

一方、多層反射膜80の表面85は、複数の溝84の溝幅fに対して非常に小さい波長を有する放射光251を反射する場合には、回折格子として機能しないことがあり得る。すなわち、図4に示されるように、放射光251の入射角と反射光252の反射角とは同一であり得る。放射光251の入射角と反射光252の反射角とが同一である限り、図2及び図3Bに示されるように、多層反射膜80は、反射光252を中間集光点292に集光し得る。一方、図2に示されるように、多層反射膜80の表面85によって−1次回折光及び+1次回折光となったパルスレーザ光36は、中間集光点292へ向かう方向とは異なる方向に進み得る。これにより、反射光252は壁291のアパーチャを通過して露光装置6に出力され、パルスレーザ光36は壁291に当たって露光装置6への通過を抑制され得る。   On the other hand, the surface 85 of the multilayer reflective film 80 may not function as a diffraction grating when reflecting the emitted light 251 having a very small wavelength with respect to the groove width f of the plurality of grooves 84. That is, as shown in FIG. 4, the incident angle of the emitted light 251 and the reflected angle of the reflected light 252 can be the same. As long as the incident angle of the radiated light 251 and the reflected angle of the reflected light 252 are the same, the multilayer reflective film 80 collects the reflected light 252 at the intermediate condensing point 292 as shown in FIGS. 2 and 3B. obtain. On the other hand, as shown in FIG. 2, the pulsed laser light 36 that has become −1st order diffracted light and + 1st order diffracted light by the surface 85 of the multilayer reflective film 80 can travel in a direction different from the direction toward the intermediate condensing point 292. . Thereby, the reflected light 252 passes through the aperture of the wall 291 and is output to the exposure apparatus 6, and the pulsed laser light 36 hits the wall 291 and can be prevented from passing through the exposure apparatus 6.

3.4 基板部の中心からの距離と溝深さとの関係
図3Bに示されるように、多層反射膜80の表面は、第1領域81と、第1領域81よりも基板部70の中心から遠い第2領域82とを有し得る。第1領域81に入射するパルスレーザ光35の入射角よりも、第2領域82に入射するパルスレーザ光35の入射角の方が大きくなり得る。第1領域81と第2領域82において入射角が異なる状況は、例えばEUV集光ミラー23が回転楕円面形状の反射面を有する場合に顕著であってよく、他の形状の反射面であっても同様であってよい。ここで、基板あるいはEUV集光ミラーの中心とは、反射面が回転対称面である場合の軸が、基板あるいはEUV集光ミラーの表面と交わる位置であってもよい。あるいは、EUV集光ミラー表面において、EUV集光ミラーに対して入射するパルスレーザ光の入射角が最も小さくなる位置に対応する基板あるいはEUV集光ミラー上の位置であってもよい。
3.4 Relationship Between Distance from Center of Substrate Part and Groove Depth As shown in FIG. 3B, the surface of the multilayer reflective film 80 is closer to the first region 81 and the center of the substrate unit 70 than the first region 81. And a distant second region 82. The incident angle of the pulse laser beam 35 incident on the second region 82 can be larger than the incident angle of the pulse laser beam 35 incident on the first region 81. The situation in which the incident angle is different between the first region 81 and the second region 82 may be remarkable when the EUV collector mirror 23 has a spheroidal reflection surface, for example, and other shapes of reflection surfaces. May be the same. Here, the center of the substrate or the EUV collector mirror may be a position where the axis when the reflecting surface is a rotationally symmetric surface intersects the surface of the substrate or the EUV collector mirror. Alternatively, on the surface of the EUV collector mirror, it may be a position on the substrate or the EUV collector mirror corresponding to the position where the incident angle of the pulse laser beam incident on the EUV collector mirror is the smallest.

図6は、パルスレーザ光35の入射角が図5に示されたものより大きい場合の第1の方向成分37a及び37bの光路を示す。図6において、EUV集光ミラー23の断面を示すハッチングは省略されている。溝深さdは、第2の積層部80bの厚さに相当していてもよい。パルスレーザ光35は、第1の積層部80aの表面に入射するパルスレーザ光35aと、第2の積層部80bの表面に入射するパルスレーザ光35bと、を含んでもよい。パルスレーザ光35a及びパルスレーザ光35bが入射角θで多層反射膜80の表面85に入射する場合、0次回折光を構成する第1の方向成分37a及び第1の方向成分37bは、入射角θと等しい反射角を有し得る。 FIG. 6 shows optical paths of the first direction components 37a and 37b when the incident angle of the pulse laser beam 35 is larger than that shown in FIG. In FIG. 6, hatching indicating a cross section of the EUV collector mirror 23 is omitted. Groove depth d 2 may correspond to the thickness of the second laminate section 80b. The pulse laser beam 35 may include a pulse laser beam 35a incident on the surface of the first stacked unit 80a and a pulse laser beam 35b incident on the surface of the second stacked unit 80b. When the pulse laser beam 35a and the pulse laser beam 35b are incident on the surface 85 of the multilayer reflective film 80 at an incident angle θ, the first direction component 37a and the first direction component 37b constituting the 0th-order diffracted light have an incident angle θ May have a reflection angle equal to

図6においては、パルスレーザ光35b及び第1の方向成分37bの光路を多層反射膜80の表面85に沿った方向にずらした仮想のパルスレーザ光35c及び仮想の第1の方向成分37cの光路が示されている。仮想のパルスレーザ光35c及び仮想の第1の方向成分37cの光路長は、パルスレーザ光35b及び第1の方向成分37bの光路長と等しいものとする。   In FIG. 6, the optical path of the virtual pulse laser beam 35c and the virtual first direction component 37c obtained by shifting the optical path of the pulse laser beam 35b and the first direction component 37b in the direction along the surface 85 of the multilayer reflective film 80. It is shown. It is assumed that the optical path lengths of the virtual pulse laser beam 35c and the virtual first direction component 37c are equal to the optical path lengths of the pulse laser beam 35b and the first direction component 37b.

図6に示されるように、パルスレーザ光35a及び第1の方向成分37aの光路長と、仮想のパルスレーザ光35c及び仮想の第1の方向成分37cの光路長との間には、溝深さdにcosθを乗算して得られた値の2倍に相当する差が生じ得る。第1の方向成分37aの位相と仮想の第1の方向成分37cの位相とが反対になるようにするには、この光路長の差が、次式に示されるように、パルスレーザ光35の波長λの2分の1であればよい。
2dcosθ=λ/2
As shown in FIG. 6, there is a groove depth between the optical path length of the pulse laser beam 35a and the first direction component 37a and the optical path length of the virtual pulse laser beam 35c and the virtual first direction component 37c. A difference corresponding to twice the value obtained by multiplying the value d 2 by cos θ may occur. In order to make the phase of the first direction component 37a and the phase of the virtual first direction component 37c opposite to each other, the difference in the optical path length is expressed by the following equation: It may be half of the wavelength λ.
2d 2 cos θ = λ / 2

このとき、溝深さdは以下のように算出され得る。
=λ/(4cosθ)
溝深さdがこのように設定されることにより、0次回折光の強度を弱めることができ、パルスレーザ光36が露光装置6に向けて通過することが抑制され得る。
At this time, the groove depth d 2 can be calculated as follows.
d 2 = λ / (4 cos θ)
By groove depth d 2 is set in this way, 0 can weaken the strength of the diffracted light, pulsed laser beam 36 can be prevented from passing toward the exposure device 6.

図7は、パルスレーザ光35がCOレーザ光である場合に好ましい溝深さを、多層反射膜80への入射角との関係で示す。COレーザ光であるパルスレーザ光35は、波長10.6μmの赤外光を含んでもよい。このパルスレーザ光35の入射角θが0°である場合、溝深さdはパルスレーザ光35の波長を4で除算して得られた値、すなわち、約2.65μmでもよい。パルスレーザ光35の入射角θが30°である場合、溝深さdはパルスレーザ光35の波長を4cosθで除算して得られた値、すなわち、約3.06μmでもよい。 FIG. 7 shows a preferable groove depth in the case where the pulse laser beam 35 is a CO 2 laser beam in relation to the incident angle to the multilayer reflective film 80. The pulsed laser light 35 that is CO 2 laser light may include infrared light having a wavelength of 10.6 μm. When the incident angle θ of the pulse laser beam 35 is 0 °, the groove depth d may be a value obtained by dividing the wavelength of the pulse laser beam 35 by 4, that is, about 2.65 μm. When the incident angle θ of the pulse laser beam 35 is 30 °, the groove depth d may be a value obtained by dividing the wavelength of the pulse laser beam 35 by 4 cos θ, that is, about 3.06 μm.

図3Bを参照しながら上述したように、基板部70の中心に近い第1領域81に入射するパルスレーザ光35の入射角よりも、基板部70の中心から遠い第2領域82に入射するパルスレーザ光35の入射角の方が大きくなり得る。このことから、基板部70の中心に近い第1領域81における溝深さよりも、基板部70の中心から遠い第2領域82における溝深さの方が大きいことが望ましい。   As described above with reference to FIG. 3B, the pulse incident on the second region 82 farther from the center of the substrate unit 70 than the incident angle of the pulse laser beam 35 incident on the first region 81 near the center of the substrate unit 70. The incident angle of the laser beam 35 can be larger. Therefore, it is desirable that the groove depth in the second region 82 far from the center of the substrate part 70 is larger than the groove depth in the first region 81 near the center of the substrate part 70.

溝深さは、基板部70の中心からの距離に応じてなだらかに変化していてもよいし、段階的に変化していてもよい。例えば、パルスレーザ光35の入射角度が0°以上7°未満となる領域においては溝深さを2.65μmとし、パルスレーザ光35の入射角度が7°以上14°未満となる領域においては溝深さを2.70μmとしてもよい。パルスレーザ光35の入射角度が14°以上21°未満となる領域においては溝深さを2.78μmとし、パルスレーザ光35の入射角度が21°以上28°未満となる領域においては溝深さを2.91μmとしてもよい。パルスレーザ光35の入射角度が28°以上35°以下となる領域においては溝深さを3.11μmとしてもよい。   The groove depth may change gently according to the distance from the center of the substrate part 70, or may change stepwise. For example, the groove depth is 2.65 μm in the region where the incident angle of the pulse laser beam 35 is 0 ° or more and less than 7 °, and the groove is formed in the region where the incident angle of the pulse laser beam 35 is 7 ° or more and less than 14 °. The depth may be 2.70 μm. The groove depth is 2.78 μm in the region where the incident angle of the pulse laser beam 35 is 14 ° or more and less than 21 °, and the groove depth is in the region where the incident angle of the pulse laser beam 35 is 21 ° or more and less than 28 °. May be 2.91 μm. In the region where the incident angle of the pulse laser beam 35 is 28 ° or more and 35 ° or less, the groove depth may be 3.11 μm.

また、各領域における溝深さは特定の値でなければならないものではない。0次光をどの程度許容するかにもよるが、以下に例示するような範囲内の値に設定されればよい。
図8は、パルスレーザ光がCOレーザ光である場合に好ましい溝深さの範囲を、多層反射膜への入射角との関係で示す。
例えば、0次光の回折効率を2%まで許容することとした場合に、パルスレーザ光35の入射角度が0°となる領域において、溝深さは2.42μm以上、2.88μm以下でもよい。その場合に、パルスレーザ光35の入射角度が30°となる領域において、溝深さは2.78μm以上、3.34μm以下で、且つ、パルスレーザ光35の入射角度が0°となる領域における溝深さより大きくてもよい。
好ましくは、0次光の回折効率を1%まで許容することとした場合に、パルスレーザ光35の入射角度が0°となる領域において、溝深さは2.49μm以上、2.81μm以下でもよい。その場合に、パルスレーザ光35の入射角度が30°となる領域において、溝深さは2.87μm以上、3.25μm以下でもよい。
さらに好ましくは、0次光の回折効率を0.5%まで許容することとした場合に、パルスレーザ光35の入射角度が0°となる領域において、溝深さは2.54μm以上、2.76μm以下でもよい。その場合に、パルスレーザ光35の入射角度が30°となる領域において、溝深さは2.93μm以上、3.19μm以下でもよい。
Further, the groove depth in each region does not have to be a specific value. Although it depends on how much zero-order light is allowed, it may be set to a value within the range exemplified below.
FIG. 8 shows a preferable range of the groove depth when the pulse laser beam is a CO 2 laser beam in relation to the incident angle to the multilayer reflective film.
For example, when the diffraction efficiency of the 0th-order light is allowed to 2%, the groove depth may be 2.42 μm or more and 2.88 μm or less in the region where the incident angle of the pulse laser beam 35 is 0 °. . In that case, in the region where the incident angle of the pulse laser beam 35 is 30 °, the groove depth is 2.78 μm or more and 3.34 μm or less, and in the region where the incident angle of the pulse laser beam 35 is 0 °. It may be larger than the groove depth.
Preferably, when the diffraction efficiency of the 0th-order light is allowed to 1%, the groove depth is 2.49 μm or more and 2.81 μm or less in the region where the incident angle of the pulse laser beam 35 is 0 °. Good. In that case, the groove depth may be not less than 2.87 μm and not more than 3.25 μm in a region where the incident angle of the pulse laser beam 35 is 30 °.
More preferably, the groove depth is 2.54 μm or more in the region where the incident angle of the pulse laser beam 35 is 0 ° when the diffraction efficiency of the 0th-order light is allowed to 0.5%. It may be 76 μm or less. In that case, the groove depth may be not less than 2.93 μm and not more than 3.19 μm in a region where the incident angle of the pulse laser beam 35 is 30 °.

3.5 製造方法
図9は、第1の実施形態において用いられるEUV集光ミラー23を製造するための加工装置を概略的に示す。この加工装置は、回転ステージ91と、移動ステージ92と、方向調節装置93と、イオンミリング装置94とを含んでもよい。
3.5 Manufacturing Method FIG. 9 schematically shows a processing apparatus for manufacturing the EUV collector mirror 23 used in the first embodiment. This processing apparatus may include a rotary stage 91, a moving stage 92, a direction adjusting device 93, and an ion milling device 94.

回転ステージ91は、基板部70を回転可能に支持してもよい。基板部70の一方の面は、回転楕円面の形状を有し、上記一方の面に多層反射膜80が形成されていてもよい。基板部70は、回転ステージ91により、回転楕円面の2つの焦点を結ぶ直線を軸として回転可能であってもよい。   The rotary stage 91 may support the substrate unit 70 in a rotatable manner. One surface of the substrate unit 70 has a spheroidal shape, and the multilayer reflective film 80 may be formed on the one surface. The substrate unit 70 may be rotatable about the straight line connecting the two focal points of the spheroid by the rotary stage 91.

移動ステージ92は、回転ステージ91による基板部70の回転軸に沿ってイオンミリング装置94を移動可能に支持してもよい。方向調節装置93は、イオンミリング装置94によるイオンビームの出射方向を調節可能であってもよい。イオンミリング装置94は、マスク95を備えていてもよい。マスク95は、イオンビームを通過させる開口を有し、イオンミリング装置94から出射されるイオンビームのビーム幅を規制してもよい。イオンミリング装置94は、イオンビームを出射することにより、基板部70の上記一方の面に形成された多層反射膜80の一部を削り、溝84を形成してもよい。マスク95の開口の幅は、形成しようとする溝84の溝幅fに合わせて設定してもよい。   The moving stage 92 may support the ion milling device 94 so as to be movable along the rotation axis of the substrate unit 70 by the rotating stage 91. The direction adjusting device 93 may be capable of adjusting the emission direction of the ion beam by the ion milling device 94. The ion milling device 94 may include a mask 95. The mask 95 may have an opening through which the ion beam passes, and may restrict the beam width of the ion beam emitted from the ion milling device 94. The ion milling device 94 may form a groove 84 by removing a part of the multilayer reflective film 80 formed on the one surface of the substrate unit 70 by emitting an ion beam. The width of the opening of the mask 95 may be set according to the groove width f of the groove 84 to be formed.

ここで、基板部70の一方の面を構成する回転楕円面における楕円の長軸の長さを2aとし、短軸の長さを2bとすると、この回転楕円面は、以下の式1で表される楕円を、この楕円の2つの焦点を結ぶ直線を軸として回転させた軌跡に相当し得る。
/a+y/b=1 ・・・式1
ここで、x軸は、回転ステージ91による基板部70の回転軸に相当し得る。
Here, assuming that the length of the major axis of the ellipse in the spheroid constituting one surface of the substrate portion 70 is 2a and the length of the minor axis is 2b, this spheroid is expressed by the following equation (1). Can be equivalent to a trajectory obtained by rotating the ellipse around the straight line connecting the two focal points of the ellipse.
x 2 / a 2 + y 2 / b 2 = 1 Equation 1
Here, the x-axis may correspond to the rotation axis of the substrate unit 70 by the rotation stage 91.

式1に示される楕円上の任意の点(x,y)における楕円の法線は、以下の式2となり得る。
y=(a/b)x+y(1−a/b) ・・・式2
あるいは、以下の式でもよい。
x=(b/a)y+x(1−b/a
The normal of the ellipse at an arbitrary point (x 1 , y 1 ) on the ellipse shown in Expression 1 can be expressed by Expression 2 below.
y = (a 2 y 1 / b 2 x 1 ) x + y 1 (1−a 2 / b 2 ) Equation 2
Alternatively, the following formula may be used.
x = (b 2 x 1 / a 2 y 1 ) y + x 1 (1−b 2 / a 2 )

そこで、まず、移動ステージ92が、イオンミリング装置94をx軸に沿って以下の位置に移動させてもよい。
x=x(1−b/a
次に、方向調節装置93が、イオンミリング装置94によるイオンビームの出射方向を、式2の傾きa/bに相当する方向に調節してもよい。
そして、回転ステージ91によって基板部70を回転させながら、イオンミリング装置94がイオンビームを出射することにより、多層反射膜80に溝84が形成され得る。
Therefore, first, the moving stage 92 may move the ion milling device 94 to the following position along the x axis.
x = x 1 (1-b 2 / a 2 )
Next, the direction adjusting device 93 may adjust the direction of emission of the ion beam by the ion milling device 94 to a direction corresponding to the inclination a 2 y 1 / b 2 x 1 of Equation 2.
Then, the ion milling device 94 emits an ion beam while rotating the substrate unit 70 by the rotary stage 91, whereby the groove 84 can be formed in the multilayer reflective film 80.

さらに、上述の点(x,y)の代わりに、楕円上の他の点(x,y)を用いて同様の処理を行うことにより、多層反射膜80に同心円状の複数の溝84が形成され得る。
イオンビームの強度、回転ステージ91の回転速度、又はこれらの両方を制御することにより、溝深さが制御されてもよい。
Furthermore, by performing the same process using the other points (x 2 , y 2 ) on the ellipse instead of the above points (x 1 , y 1 ), a plurality of concentric circular shapes are formed on the multilayer reflective film 80. A groove 84 may be formed.
The groove depth may be controlled by controlling the intensity of the ion beam, the rotational speed of the rotary stage 91, or both.

4.第2の積層部の厚さが低減されたEUV集光ミラー
4.1 構成
図10は、第2の実施形態において用いられるEUV集光ミラー23の断面の一部を拡大して示す。図10において、EUV集光ミラー23の断面を示すハッチングは省略されている。第2の実施形態においては、基板部70が凹部70c及び凸部70dを有していてもよい。多層反射膜80は、凹部70cに位置する第1の積層部80cと、凸部70dに位置する第2の積層部80dとを有してもよい。
4). EUV Condensing Mirror with Reduced Thickness of Second Laminating Section 4.1 Configuration FIG. 10 shows an enlarged part of the cross section of the EUV collector mirror 23 used in the second embodiment. In FIG. 10, hatching indicating a cross section of the EUV collector mirror 23 is omitted. In the second embodiment, the substrate part 70 may have a concave part 70c and a convex part 70d. The multilayer reflective film 80 may include a first stacked unit 80c located in the recess 70c and a second stacked unit 80d positioned in the projecting part 70d.

多層反射膜80の表面85は、第2の積層部80dの表面と、第1の積層部80cの表面と、を含み得る。多層反射膜80の表面85においては、第2の積層部80dの表面に対して、第1の積層部80cの表面が窪んだ形状になっていることにより、この窪んだ部分が溝84となっている。溝深さdは、第2の積層部80dの厚さより大きくてもよい。第1の積層部80cの厚さと第2の積層部80dの厚さとは、ほぼ等しくてもよい。第1の積層部80cの厚さと第2の積層部80dの厚さとが等しい場合、溝深さdは、基板部70の凹部70cと凸部70dとの段差に相当していてもよい。 The surface 85 of the multilayer reflective film 80 may include the surface of the second stacked unit 80d and the surface of the first stacked unit 80c. On the surface 85 of the multilayer reflective film 80, the surface of the first stacked portion 80c is recessed with respect to the surface of the second stacked portion 80d, so that the recessed portion becomes the groove 84. ing. Groove depth d 3 may be greater than the thickness of the second laminated portion 80d. The thickness of the first stacked unit 80c and the thickness of the second stacked unit 80d may be substantially equal. If the thickness of the first laminated portion 80c and the thickness of the second laminated portion 80d are equal, the groove depth d 3 may correspond to the step of the recess 70c and the protrusion 70d of the substrate portion 70.

第2の実施形態によれば、EUV光を反射するために必要な第2の積層部80dの厚さと、パルスレーザ光35を反射するときに0次回折光が互いに弱めあうために必要な溝深さdとを、別々に設定できる。すなわち、第2の積層部80dの厚さを溝深さdより小さくすることができ、多層反射膜の積層数を第1の実施形態よりも低減できる。このため多層反射膜形成に要する工程数を低減し得る。 According to the second embodiment, the thickness of the second stacked portion 80d necessary for reflecting the EUV light and the groove depth necessary for the zeroth-order diffracted light to weaken each other when the pulsed laser light 35 is reflected. is a d 3, it can be set separately. That is, the thickness of the second laminate section 80d can be made smaller than the groove depth d 3, can be reduced stacking number of the multilayer reflective film than the first embodiment. For this reason, the number of steps required for forming the multilayer reflective film can be reduced.

4.2 製造方法
図11は、第2の実施形態において用いられるEUV集光ミラー23を製造するための加工装置を概略的に示す。この加工装置は、図9に示されたイオンミリング装置94の代わりに、切削工具96を含んでもよい。回転ステージ91は、多層反射膜80が形成されていない基板部70を回転可能に支持してもよい。切削工具96は、伸縮可能な切削刃97を有し、この切削刃97により、基板部70に凹部70cを形成してもよい。
4.2 Manufacturing Method FIG. 11 schematically shows a processing apparatus for manufacturing the EUV collector mirror 23 used in the second embodiment. This processing apparatus may include a cutting tool 96 instead of the ion milling apparatus 94 shown in FIG. The rotary stage 91 may rotatably support the substrate unit 70 on which the multilayer reflective film 80 is not formed. The cutting tool 96 may have an extendable cutting blade 97, and the cutting portion 97 may form a recess 70 c in the substrate portion 70.

基板部70に凹部70cが形成された後で、第1の積層部80c及び第2の積層部80dを含む多層反射膜80が形成されてもよい。
他の点については、第1の実施形態と同様でよい。
After the recess 70c is formed in the substrate unit 70, the multilayer reflective film 80 including the first stacked unit 80c and the second stacked unit 80d may be formed.
About another point, it may be the same as that of 1st Embodiment.

5.反射面の一部に回折格子が形成されたEUV集光ミラー
図12Aは、第3の実施形態において用いられるEUV集光ミラー23の平面図であり、図12Bは、図12Aに示されるEUV集光ミラー23のXIIB−XIIB線における断面図である。パルスレーザ光33は、ターゲット27によって、パルスレーザ光351、パルスレーザ光352及びパルスレーザ光353を含む多方向のパルスレーザ光35として反射され得る。このとき、EUV集光ミラー23の基板部70の中心に近い第1領域81に向けて進行するパルスレーザ光351よりも、基板部70の中心から遠い第2領域82に向けて進行するパルスレーザ光352の方が小さい光強度を有し得る。また、第2領域82に向けて進行するパルスレーザ光352よりも、基板部70の中心からさらに遠い第3領域83に向けて進行するパルスレーザ光353の方がさらに小さい光強度を有し得る。一方、図1を参照しながら説明したEUV光を含む放射光251は、プラズマ生成領域25からほぼ等方的に放射され得る。
5. FIG. 12A is a plan view of an EUV collector mirror 23 used in the third embodiment, and FIG. 12B is an EUV collector shown in FIG. 12A. It is sectional drawing in the XIIB-XIIB line | wire of the optical mirror 23. FIG. The pulse laser beam 33 can be reflected by the target 27 as a multi-directional pulse laser beam 35 including a pulse laser beam 351, a pulse laser beam 352, and a pulse laser beam 353. At this time, the pulse laser that travels toward the second region 82 farther from the center of the substrate unit 70 than the pulse laser beam 351 that travels toward the first region 81 near the center of the substrate unit 70 of the EUV collector mirror 23. The light 352 can have a lower light intensity. Further, the pulse laser beam 353 traveling toward the third region 83 farther from the center of the substrate portion 70 may have a smaller light intensity than the pulse laser beam 352 traveling toward the second region 82. . On the other hand, the radiation light 251 including the EUV light described with reference to FIG. 1 can be emitted from the plasma generation region 25 almost isotropically.

そこで、第3領域83においては、EUV光を反射するための多層反射膜80は形成されるが、パルスレーザ光353を回折させるための溝84は形成されなくてもよい。すなわち、EUV集光ミラー23の多層反射膜80には、第1領域81及び第2領域82を含む一部の領域にのみ溝84が形成されてもよい。なお、この場合においても、基板部70の中心に近い第1領域81における溝深さよりも、基板部70の中心から遠い第2領域82における溝深さの方が大きいことが望ましい。
他の点については、第1の実施形態と同様でよい。
溝84が形成されない領域は、基板部70の中心から遠い第3領域83である場合に限られず、他の領域であってもよい。
Therefore, in the third region 83, the multilayer reflective film 80 for reflecting the EUV light is formed, but the groove 84 for diffracting the pulsed laser light 353 may not be formed. That is, in the multilayer reflective film 80 of the EUV collector mirror 23, the groove 84 may be formed only in a part of the region including the first region 81 and the second region 82. Even in this case, it is desirable that the groove depth in the second region 82 far from the center of the substrate unit 70 is larger than the groove depth in the first region 81 near the center of the substrate unit 70.
About another point, it may be the same as that of 1st Embodiment.
The region where the groove 84 is not formed is not limited to the third region 83 far from the center of the substrate unit 70, and may be another region.

6.その他
上述の実施形態においては、溝84の断面形状が矩形状である場合について説明したが、本開示はこれに限定されない。溝の断面形状が三角形状あるいはその他の形状であってもよい。
6). Others In the above-described embodiment, the case where the cross-sectional shape of the groove 84 is rectangular has been described, but the present disclosure is not limited thereto. The cross-sectional shape of the groove may be triangular or other shapes.

上述の実施形態においては、複数の溝84が同心円状である場合について説明したが、本開示はこれに限定されない。溝が渦巻状に形成されていてもよいし、格子状に形成されている場合でもよい。   In the above-described embodiment, the case where the plurality of grooves 84 are concentric has been described, but the present disclosure is not limited thereto. The grooves may be formed in a spiral shape or may be formed in a lattice shape.

上述の実施形態においては、回転楕円面形状の反射面を有するEUV集光ミラー23に複数の溝84が形成されている場合について説明したが、本開示はこれに限定されない。球面ミラー、放物面ミラー、トロイダル面ミラー等の凹面ミラーに溝が形成されている場合でもよい。また、EUV集光ミラー23の一部が凹面形状である場合、凹面形状部に複数の溝84が形成されてもよい。   In the above-described embodiment, the case where the plurality of grooves 84 are formed in the EUV collector mirror 23 having the spheroidal reflection surface has been described, but the present disclosure is not limited thereto. A groove may be formed in a concave mirror such as a spherical mirror, a parabolic mirror, or a toroidal mirror. Further, when a part of the EUV collector mirror 23 has a concave shape, a plurality of grooves 84 may be formed in the concave shape portion.

上記の説明は、制限ではなく単なる例示を意図したものである。従って、添付の特許請求の範囲を逸脱することなく本開示の実施形態に変更を加えることができることは、当業者には明らかであろう。   The above description is intended to be illustrative only and not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the embodiments of the present disclosure without departing from the scope of the appended claims.

本明細書及び添付の特許請求の範囲全体で使用される用語は、「限定的でない」用語と解釈されるべきである。例えば、「含む」又は「含まれる」という用語は、「含まれるものとして記載されたものに限定されない」と解釈されるべきである。「有する」という用語は、「有するものとして記載されたものに限定されない」と解釈されるべきである。また、本明細書及び添付の特許請求の範囲に記載される修飾句「1つの」は、「少なくとも1つ」又は「1又はそれ以上」を意味すると解釈されるべきである。   Terms used throughout this specification and the appended claims should be construed as "non-limiting" terms. For example, the terms “include” or “included” should be interpreted as “not limited to those described as included”. The term “comprising” should be interpreted as “not limited to what is described as having”. Also, the modifier “one” in the specification and the appended claims should be interpreted to mean “at least one” or “one or more”.

1…EUV光生成装置、2…チャンバ、3…レーザ装置、4…ターゲットセンサ、5…EUV光生成制御部、6…露光装置、11…EUV光生成システム、20…貫通孔、21…ウインドウ、22…レーザ光集光ミラー、22a…集光光学系、23…EUV集光ミラー、24…貫通孔、25…プラズマ生成領域、26…ターゲット生成部、27…ターゲット、28…ターゲット回収部、29…接続部、31、32、33…パルスレーザ光、34、34a…レーザ光進行方向制御部、35、35a、35b…パルスレーザ光、35c…仮想のパルスレーザ光、36…パルスレーザ光、37a、37b…第1の方向成分、37c…仮想の第1の方向成分、38a、38b…第2の方向成分、41…EUV集光ミラーホルダ、42、43…プレート、52…ターゲット制御部、53…圧力調節器、59…加震装置、61…リザーバ、61a…フランジ部、62…開口、70…基板部、70c…凹部、70d…凸部、80…多層反射膜、80a…第1の積層部、80b…第2の積層部、80c…第1の積層部、80d…第2の積層部、81…第1領域、82…第2領域、83…第3領域、84…溝、85…表面、91…回転ステージ、92…移動ステージ、93…方向調節装置、94…イオンミリング装置、95…マスク、96…切削工具、97…切削刃、221…軸外放物面ミラー、222…平面ミラー、223、224…ホルダ、251…放射光、252…反射光、291…壁、292…中間集光点、341、342…高反射ミラー、343、344…ホルダ、351、352、353…パルスレーザ光、d、d、d、d…溝深さ、f…溝幅、p…溝ピッチ DESCRIPTION OF SYMBOLS 1 ... EUV light generation apparatus, 2 ... Chamber, 3 ... Laser apparatus, 4 ... Target sensor, 5 ... EUV light production | generation control part, 6 ... Exposure apparatus, 11 ... EUV light generation system, 20 ... Through-hole, 21 ... Window, DESCRIPTION OF SYMBOLS 22 ... Laser beam condensing mirror, 22a ... Condensing optical system, 23 ... EUV condensing mirror, 24 ... Through-hole, 25 ... Plasma production | generation area, 26 ... Target production | generation part, 27 ... Target, 28 ... Target collection | recovery part, 29 ... connection part 31, 32, 33 ... pulse laser light, 34, 34a ... laser light traveling direction control part, 35, 35a, 35b ... pulse laser light, 35c ... virtual pulse laser light, 36 ... pulse laser light, 37a , 37b ... first direction component, 37c ... virtual first direction component, 38a, 38b ... second direction component, 41 ... EUV collector mirror holder, 42, 43 ... plate 52 ... Target controller, 53 ... Pressure regulator, 59 ... Vibration device, 61 ... Reservoir, 61a ... Flange part, 62 ... Opening, 70 ... Substrate part, 70c ... Recess, 70d ... Protrusion, 80 ... Multilayer reflective film , 80a... First stacked portion, 80b... Second stacked portion, 80c... First stacked portion, 80d... Second stacked portion, 81... First region, 82. , 84 ... groove, 85 ... surface, 91 ... rotary stage, 92 ... moving stage, 93 ... direction adjusting device, 94 ... ion milling device, 95 ... mask, 96 ... cutting tool, 97 ... cutting blade, 221 ... off-axis release Object mirror, 222 ... Planar mirror, 223, 224 ... Holder, 251 ... Radiated light, 252 ... Reflected light, 291 ... Wall, 292 ... Intermediate focusing point, 341, 342 ... High reflection mirror, 343, 344 ... Holder, 351, 352, 353 Pulsed laser light, d, d 1, d 2 , d 3 ... groove depth, f ... groove width, p ... groove pitch

Claims (7)

一方の面に凹面部が形成された基板部と、
前記凹面部に位置し、表面に複数の溝が形成された多層反射膜と、
を含み、
前記複数の溝が、第1領域における深さよりも、前記第1領域よりも前記凹面部の中心から遠い第2領域における深さが大きくなるように形成されている、ミラー装置。
A substrate part having a concave part formed on one surface;
A multilayer reflective film that is located in the concave portion and has a plurality of grooves formed on the surface;
Including
The mirror device, wherein the plurality of grooves are formed such that the depth in the second region farther from the center of the concave surface portion than the first region is larger than the depth in the first region.
前記凹面部は、凹部及び凸部を有し、
前記多層反射膜は、前記凹部に位置する第1の積層部と、前記凸部に位置する第2の積層部とを有する、請求項1記載のミラー装置。
The concave surface portion has a concave portion and a convex portion,
2. The mirror device according to claim 1, wherein the multilayer reflective film includes a first stacked portion positioned in the concave portion and a second stacked portion positioned in the convex portion.
前記多層反射膜は、前記凹面部を覆う第1の積層部と、前記第1の積層部の表面を部分的に覆う第2の積層部とを有する、請求項1記載のミラー装置。   2. The mirror device according to claim 1, wherein the multilayer reflective film includes a first stacked portion that covers the concave surface portion and a second stacked portion that partially covers the surface of the first stacked portion. 前記凹面部は、回転楕円面の形状を有する、請求項1記載のミラー装置。   The mirror device according to claim 1, wherein the concave surface portion has a spheroid shape. 前記複数の溝は、略同心円状に配置されている、請求項1記載のミラー装置。   The mirror device according to claim 1, wherein the plurality of grooves are arranged substantially concentrically. 貫通孔が設けられたチャンバと、
前記チャンバ内にターゲットを出力するように構成されたターゲット生成部と、
前記貫通孔を通して前記チャンバ内にパルスレーザ光を導入するように構成されたレーザ集光光学系と、
前記チャンバ内で生成された極端紫外光を集光するミラー装置と、
を備え、
前記ミラー装置は、
一方の面に凹面部が形成された基板部と、
前記凹面部に位置し、表面に複数の溝が形成された多層反射膜と、
を含み、
前記複数の溝が、第1領域における深さよりも、前記第1領域よりも前記凹面部の中心から遠い第2領域における深さが大きくなるように形成されている、極端紫外光生成装置。
A chamber provided with a through hole;
A target generator configured to output a target into the chamber;
A laser focusing optical system configured to introduce pulsed laser light into the chamber through the through hole;
A mirror device for collecting extreme ultraviolet light generated in the chamber;
With
The mirror device is
A substrate part having a concave part formed on one surface;
A multilayer reflective film that is located in the concave portion and has a plurality of grooves formed on the surface;
Including
The extreme ultraviolet light generation device, wherein the plurality of grooves are formed so that a depth in a second region farther from the center of the concave portion than the first region is larger than a depth in the first region.
パルスレーザ光を生成するレーザ装置と、
貫通孔が設けられたチャンバと、
前記チャンバ内にターゲットを出力するように構成されたターゲット生成部と、
前記貫通孔を通して前記チャンバ内に前記パルスレーザ光を導入するように構成されたレーザ集光光学系と、
前記チャンバ内で生成された極端紫外光を集光するミラー装置と、
を備え、
前記ミラー装置は、
一方の面に凹面部が形成された基板部と、
前記凹面部に位置し、表面に複数の溝が形成された多層反射膜と、
を含み、

前記複数の溝が、第1領域における深さよりも、前記第1領域よりも前記凹面部の中心から遠い第2領域における深さが大きくなるように形成されている、極端紫外光生成システム。
A laser device for generating pulsed laser light;
A chamber provided with a through hole;
A target generator configured to output a target into the chamber;
A laser focusing optical system configured to introduce the pulsed laser light into the chamber through the through hole;
A mirror device for collecting extreme ultraviolet light generated in the chamber;
With
The mirror device is
A substrate part having a concave part formed on one surface;
A multilayer reflective film that is located in the concave portion and has a plurality of grooves formed on the surface;
Including

The extreme ultraviolet light generation system, wherein the plurality of grooves are formed so that a depth in a second region farther from the center of the concave portion than the first region is larger than a depth in the first region.
JP2013116975A 2013-06-03 2013-06-03 Mirror device, extreme ultraviolet light generation device, and extreme ultraviolet light generation system Active JP6232210B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013116975A JP6232210B2 (en) 2013-06-03 2013-06-03 Mirror device, extreme ultraviolet light generation device, and extreme ultraviolet light generation system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013116975A JP6232210B2 (en) 2013-06-03 2013-06-03 Mirror device, extreme ultraviolet light generation device, and extreme ultraviolet light generation system

Publications (2)

Publication Number Publication Date
JP2014236121A true JP2014236121A (en) 2014-12-15
JP6232210B2 JP6232210B2 (en) 2017-11-15

Family

ID=52138600

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013116975A Active JP6232210B2 (en) 2013-06-03 2013-06-03 Mirror device, extreme ultraviolet light generation device, and extreme ultraviolet light generation system

Country Status (1)

Country Link
JP (1) JP6232210B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017174423A1 (en) * 2016-04-08 2017-10-12 Carl Zeiss Smt Gmbh Euv collector for use in an euv projection exposure apparatus
JP2021063865A (en) * 2019-10-10 2021-04-22 ギガフォトン株式会社 Extreme ultraviolet light condensing mirror, extreme ultraviolet light generator and method for manufacturing electronic device
JP2022518221A (en) * 2019-01-15 2022-03-14 カール・ツァイス・エスエムティー・ゲーエムベーハー Optical diffraction component for suppressing at least one target wavelength by destructive interference

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184690A (en) * 2000-09-29 2002-06-28 Carl Zeiss Stiftung Trading As Carl Zeiss Illumination optical system with grating element
JP2010004001A (en) * 2008-05-20 2010-01-07 Komatsu Ltd Mirror for extreme ultra violet, manufacturing method for mirror for extreme ultra violet, and extreme ultraviolet light source device
JP2011523782A (en) * 2008-05-30 2011-08-18 エーエスエムエル ネザーランズ ビー.ブイ. Method for forming a spectral purity filter
WO2012123436A1 (en) * 2011-03-16 2012-09-20 Carl Zeiss Laser Optics Gmbh Method for producing a reflective optical component for an euv projection exposure apparatus and component of this type
JP2012235046A (en) * 2011-05-09 2012-11-29 Nikon Corp Optical unit, illumination optical device, exposure device and manufacturing method of device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184690A (en) * 2000-09-29 2002-06-28 Carl Zeiss Stiftung Trading As Carl Zeiss Illumination optical system with grating element
JP2010004001A (en) * 2008-05-20 2010-01-07 Komatsu Ltd Mirror for extreme ultra violet, manufacturing method for mirror for extreme ultra violet, and extreme ultraviolet light source device
JP2011523782A (en) * 2008-05-30 2011-08-18 エーエスエムエル ネザーランズ ビー.ブイ. Method for forming a spectral purity filter
WO2012123436A1 (en) * 2011-03-16 2012-09-20 Carl Zeiss Laser Optics Gmbh Method for producing a reflective optical component for an euv projection exposure apparatus and component of this type
JP2012235046A (en) * 2011-05-09 2012-11-29 Nikon Corp Optical unit, illumination optical device, exposure device and manufacturing method of device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017174423A1 (en) * 2016-04-08 2017-10-12 Carl Zeiss Smt Gmbh Euv collector for use in an euv projection exposure apparatus
JP2019516129A (en) * 2016-04-08 2019-06-13 カール・ツァイス・エスエムティー・ゲーエムベーハー EUV collector for use in an EUV projection exposure apparatus
US10578972B2 (en) 2016-04-08 2020-03-03 Carl Zeiss Smt Gmbh EUV collector for use in an EUV projection exposure apparatus
JP2022518221A (en) * 2019-01-15 2022-03-14 カール・ツァイス・エスエムティー・ゲーエムベーハー Optical diffraction component for suppressing at least one target wavelength by destructive interference
TWI831898B (en) * 2019-01-15 2024-02-11 德商卡爾蔡司Smt有限公司 Optical diffraction component for suppressing at least one target wavelength by destructive interference
JP2021063865A (en) * 2019-10-10 2021-04-22 ギガフォトン株式会社 Extreme ultraviolet light condensing mirror, extreme ultraviolet light generator and method for manufacturing electronic device
JP7403271B2 (en) 2019-10-10 2023-12-22 ギガフォトン株式会社 Extreme ultraviolet light condensing mirror, extreme ultraviolet light generation device, and method for manufacturing electronic devices

Also Published As

Publication number Publication date
JP6232210B2 (en) 2017-11-15

Similar Documents

Publication Publication Date Title
JP5061063B2 (en) Extreme ultraviolet light mirror and extreme ultraviolet light source device
JP5475756B2 (en) Method for forming a spectral purity filter
NL2014254B1 (en) Source-Collector modules for EUV lithography employing a GIC mirror and a LPP source.
JP5758662B2 (en) Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation method
JP2012212641A (en) Apparatus and method for generating extreme ultraviolet light
JP6126798B2 (en) Radiation source and lithographic apparatus
JP5362076B2 (en) Extreme ultraviolet light mirror, manufacturing method of extreme ultraviolet light mirror, and extreme ultraviolet light generator
JP2012178534A (en) Optical system and extreme ultraviolet light generation system using the same
JP6232210B2 (en) Mirror device, extreme ultraviolet light generation device, and extreme ultraviolet light generation system
JP2019516129A (en) EUV collector for use in an EUV projection exposure apparatus
JP2017538966A (en) Mirrors, especially collector mirrors for microlithography
JPWO2016006100A1 (en) Extreme ultraviolet light generator
WO2016051518A1 (en) Light source system, beam transmission system, and exposure device
JP2012160565A (en) Chamber device and extreme ultraviolet light generation device including the same
TW202117393A (en) Euv collector mirror
JP2022546477A (en) optical diffraction component
JP2009053055A (en) Method and device for precisely measuring x-ray nano beam intensity distribution
JP7403271B2 (en) Extreme ultraviolet light condensing mirror, extreme ultraviolet light generation device, and method for manufacturing electronic devices
JPWO2019008719A1 (en) Laser system, extreme ultraviolet light generation device, and extreme ultraviolet light generation method
JP6616427B2 (en) Extreme ultraviolet light generator
JP2008286920A (en) Method for forming concavo-convex pattern on photosensitive substrate and exposure apparatus for interference fringe pattern
JP6816099B2 (en) Beam transmission system, exposure equipment and illumination optics for exposure equipment
JP6676066B2 (en) Extreme ultraviolet light generator
JP2009250910A (en) X-ray condensing device

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20160219

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171023

R150 Certificate of patent or registration of utility model

Ref document number: 6232210

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250