JP2014041951A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
JP2014041951A
JP2014041951A JP2012184001A JP2012184001A JP2014041951A JP 2014041951 A JP2014041951 A JP 2014041951A JP 2012184001 A JP2012184001 A JP 2012184001A JP 2012184001 A JP2012184001 A JP 2012184001A JP 2014041951 A JP2014041951 A JP 2014041951A
Authority
JP
Japan
Prior art keywords
film
insulating film
semiconductor substrate
semiconductor device
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012184001A
Other languages
Japanese (ja)
Other versions
JP5960549B2 (en
Inventor
Ryohei Kitao
良平 北尾
Yasuaki Tsuchiya
泰章 土屋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2012184001A priority Critical patent/JP5960549B2/en
Publication of JP2014041951A publication Critical patent/JP2014041951A/en
Application granted granted Critical
Publication of JP5960549B2 publication Critical patent/JP5960549B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve reliability in a semiconductor device which employs TSV technology.SOLUTION: A semiconductor device manufacturing method comprises polishing an insulation film IF2, a liner film IF1 and a barrier metal film BM which covers bottoms of a plurality of through electrodes TE protruding from a rear face of a semiconductor substrate SW in a chemical mechanical polishing (CMP) method to expose bottom faces of the plurality of through electrodes TE from the rear face side of the semiconductor substrate SW. At this time, a slurry which has a polishing rate of the barrier metal film BM more than five times higher than a polishing rate of the insulation film IF2 and a polishing rate of the plurality of through electrodes TE more than twice higher than a polishing rate of the insulation film IF2 is used.

Description

本発明は半導体装置の製造技術に関し、例えば3次元多機能デバイスの製造に必要とされるスルー・シリコン・ビア(Through Silicon Via:TSV)技術に好適に利用できるものである。   The present invention relates to a manufacturing technique of a semiconductor device, and can be suitably used for, for example, a through silicon via (TSV) technique required for manufacturing a three-dimensional multifunction device.

3次元多機能デバイスを実現するための重要な技術としてTSV技術がある。TSV技術は、半導体基板を厚さ方向に垂直に貫通する貫通電極を形成する技術である。   There is TSV technology as an important technology for realizing a three-dimensional multifunction device. The TSV technique is a technique for forming a through electrode that penetrates a semiconductor substrate perpendicularly to the thickness direction.

例えば下側基板上に、無機系材料のポスト及びポストの周辺を満たす有機系材料の接着樹脂層を介して、上側基板を積層した後、上側基板及びポストを貫通するビア穴を形成することにより、基板間の接続部分におけるアンダーカットを抑制したビア構造が特開2010−226060号公報(特許文献1)に記載されている。   For example, by laminating the upper substrate on the lower substrate via the inorganic material post and the organic resin adhesive resin layer filling the periphery of the post, and then forming a via hole penetrating the upper substrate and the post JP, 2010-22260, A (patent documents 1) has indicated the via structure which controlled undercut in the connection part between substrates.

また、ビア・ファースト方式(半導体装置の形成前にTSVを形成する)及びビア・ラスト方式(半導体装置の形成後にTSVを形成する)を併用することにより、接続部の抵抗を低減した半導体装置が特開2010−219526号公報(特許文献2)に記載されている。   Further, by using a via first method (TSV is formed before the formation of the semiconductor device) and a via last method (TSV is formed after the formation of the semiconductor device) in combination, a semiconductor device in which the resistance of the connection portion is reduced is provided. It describes in Unexamined-Japanese-Patent No. 2010-219526 (patent document 2).

特開2010−226060号公報JP 2010-2226060 A 特開2010−219526号公報JP 2010-219526 A

ビア・ミドル方式(半導体装置の形成過程でTSVを形成する)によるTSV技術においては、半導体基板の裏面から、バリアメタル膜及びライナ膜で覆われた複数の貫通電極の底部を突出させた後、半導体基板の裏面上に絶縁膜を形成し、化学的機械研磨(Chemical Mechanical Polishing;CMP)法により、複数の貫通電極の底面を覆う絶縁膜、ライナ膜及びバリアメタル膜を除去している。しかし、上記CMPにおいて半導体基板の裏面の露出、またはバリアメタル膜の残りが生じて、半導体装置の信頼性が低下するという課題がある。   In the TSV technology using the via-middle method (forming TSV in the process of forming a semiconductor device), after projecting the bottoms of the plurality of through electrodes covered with the barrier metal film and the liner film from the back surface of the semiconductor substrate, An insulating film is formed on the back surface of the semiconductor substrate, and the insulating film, liner film, and barrier metal film covering the bottom surfaces of the plurality of through electrodes are removed by a chemical mechanical polishing (CMP) method. However, there is a problem that the reliability of the semiconductor device is lowered due to the exposure of the back surface of the semiconductor substrate or the remaining barrier metal film in the CMP.

その他の課題と新規な特徴は、本明細書の記述及び添付図面から明らかになるであろう。   Other problems and novel features will become apparent from the description of the specification and the accompanying drawings.

一実施の形態によれば、半導体基板の裏面から突出した複数の貫通電極の底部を覆う絶縁膜、ライナ膜及びバリアメタル膜をCMP法により研磨して、複数の貫通電極の底面を露出させる際、バリアメタル膜の研磨レートが絶縁膜の研磨レートよりも5倍以上高く、複数の貫通電極の研磨レートが絶縁膜の研磨レートよりも2倍以上高いスラリを用いる。   According to one embodiment, the insulating film, liner film, and barrier metal film covering the bottoms of the plurality of through electrodes protruding from the back surface of the semiconductor substrate are polished by CMP to expose the bottom surfaces of the plurality of through electrodes. A slurry in which the polishing rate of the barrier metal film is 5 times or more higher than the polishing rate of the insulating film and the polishing rate of the plurality of through electrodes is 2 times or more higher than the polishing rate of the insulating film is used.

一実施の形態によれば、TSV技術を採用した半導体装置の信頼性を向上することができる。   According to one embodiment, the reliability of a semiconductor device employing TSV technology can be improved.

一実施の形態によるTSVを備える半導体装置の要部断面図である。It is principal part sectional drawing of a semiconductor device provided with TSV by one embodiment. 一実施の形態による半導体装置の要部裏面図である。It is a principal part back view of the semiconductor device by one embodiment. 一実施の形態による半導体装置の製造工程中の半導体装置の一部を拡大して示す要部断面図である。FIG. 5 is an essential part cross-sectional view showing an enlarged part of the semiconductor device during the manufacturing process of the semiconductor device according to the embodiment; 図3に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 4 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 3; 図4に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 5 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 4; 図5に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 6 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 5; 図6に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 7 is an essential part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 6; 図7に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 8 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 7; 図8に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 9 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 8; 図9に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 10 is an essential part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 9; 図10に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 11 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 10; 図11に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 12 is an essential part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 11; (a)及び(b)は、図11に続く、半導体装置の製造工程中の複数の貫通電極を示す要部断面図である。(A) And (b) is principal part sectional drawing which shows the several penetration electrode in the manufacturing process of a semiconductor device following FIG. 図12及び図13に続く、半導体装置の製造工程中の図3と同じ個所の要部断面図である。FIG. 14 is a principal part cross-sectional view of the same place as in FIG. 3 in the process of manufacturing the semiconductor device, following FIG. 12 and FIG. 13; (a)及び(b)は、本発明者らによって検討された貫通電極の製造工程を説明する要部断面図である。(A) And (b) is principal part sectional drawing explaining the manufacturing process of the penetration electrode examined by the present inventors. (a)及び(b)は、本発明者らによって検討された他の貫通電極の製造工程を説明する要部断面図である。(A) And (b) is principal part sectional drawing explaining the manufacturing process of the other penetration electrode examined by the present inventors.

以下の実施の形態において、便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。   In the following embodiments, when necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other, and one is the other. There are some or all of the modifications, details, supplementary explanations, and the like.

また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合及び原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。   Also, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), particularly when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number.

また、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合及び原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。   Further, in the following embodiments, the constituent elements (including element steps) are not necessarily indispensable unless otherwise specified and apparently indispensable in principle. Needless to say.

また、「Aからなる」、「Aよりなる」、「Aを有する」、「Aを含む」と言うときは、特にその要素のみである旨明示した場合等を除き、それ以外の要素を排除するものでないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合及び原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値及び範囲についても同様である。   In addition, when referring to “consisting of A”, “consisting of A”, “having A”, and “including A”, other elements are excluded unless specifically indicated that only that element is included. It goes without saying that it is not what you do. Similarly, in the following embodiments, when referring to the shape, positional relationship, etc., of components, etc., the shape is substantially the same unless otherwise specified or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges.

また、以下の実施の形態で用いる図面においては、平面図であっても図面を見易くするためにハッチングを付す場合もある。また、以下の実施の形態において、ウエハと言うときは、Si(Silicon)単結晶ウエハを主とするが、それのみではなく、SOI(Silicon On Insulator)ウエハ、集積回路をその上に形成するための絶縁膜基板等を指すものとする。その形も円形またはほぼ円形のみでなく、正方形、長方形等も含むものとする。   Further, in the drawings used in the following embodiments, hatching may be added to make the drawings easy to see even if they are plan views. In the following embodiments, the term “wafer” is mainly a Si (Silicon) single crystal wafer. However, not only that, but also an SOI (Silicon On Insulator) wafer and an integrated circuit are formed thereon. Insulating film substrate or the like. The shape includes not only a circle or a substantially circle but also a square, a rectangle and the like.

また、以下の実施の形態を説明するための全図において、同一機能を有するものは原則として同一の符号を付し、その繰り返しの説明は省略する。以下、実施の形態を図面に基づいて詳細に説明する。   In all the drawings for explaining the following embodiments, components having the same function are denoted by the same reference numerals in principle, and repeated description thereof is omitted. Hereinafter, embodiments will be described in detail with reference to the drawings.

(本発明者らが比較検討を行った半導体装置)
まず、本実施の形態によるビア・ミドル方式によるTSV技術を採用した半導体装置の製造方法がより明確となると思われるため、本発明者らが比較検討を行った半導体装置の製造方法における課題について説明する。
(Semiconductor device that the present inventors have compared)
First, since it is considered that the manufacturing method of the semiconductor device adopting the via-middle TSV technology according to the present embodiment will become clearer, the problems in the manufacturing method of the semiconductor device that the present inventors have compared and described will be described. To do.

図15(a)及び(b)ならびに図16(a)及び(b)は、貫通電極の製造工程を説明する要部断面図である。   15 (a) and 15 (b) and FIGS. 16 (a) and 16 (b) are principal part cross-sectional views for explaining the manufacturing process of the through electrode.

まず、図15(a)に示すように、例えば単結晶シリコン(Si)からなる半導体基板(ウエハと称する平面略円形状の半導体の薄板)SWを用意する。半導体基板SWの厚さは、例えば700μm程度である。次に、図示は省略するが、半導体基板SWの主面(表面、第1主面)S1に複数の半導体素子を形成し、これら複数の半導体素子を覆うように、半導体基板SWの主面S1上に層間絶縁膜ILDを形成する。   First, as shown in FIG. 15A, for example, a semiconductor substrate (semiconductor plate having a substantially circular planar shape called a wafer) made of single crystal silicon (Si) is prepared. The thickness of the semiconductor substrate SW is, for example, about 700 μm. Next, although not shown, a plurality of semiconductor elements are formed on the main surface (surface, first main surface) S1 of the semiconductor substrate SW, and the main surface S1 of the semiconductor substrate SW is covered so as to cover the plurality of semiconductor elements. An interlayer insulating film ILD is formed thereon.

次に、レジストパターンをマスクとしたドライエッチングにより、複数の半導体素子が形成されていない領域に、層間絶縁膜ILD及び半導体基板SWを順次加工してビア(貫通穴、接続孔)VIを形成する。その後、ビアVIの内部に、酸化シリコン(SiO)からなるライナ膜IF1及びチタン(Ti)等からなるバリアメタル膜BMを介して、銅(Cu)めっき膜を埋め込み、銅(Cu)めっき膜からなる貫通電極TEを形成する。 Next, by dry etching using the resist pattern as a mask, vias (through holes, connection holes) VI are formed by sequentially processing the interlayer insulating film ILD and the semiconductor substrate SW in a region where a plurality of semiconductor elements are not formed. . Thereafter, a copper (Cu) plating film is embedded in the via VI via a liner film IF1 made of silicon oxide (SiO 2 ) and a barrier metal film BM made of titanium (Ti) or the like. A through electrode TE made of is formed.

次に、半導体基板SWの主面S1と反対面である裏面(第2主面)S2を研磨することにより、半導体基板SWの厚さを、例えば50μm程度とする。この際、ライナ膜IF1、バリアメタル膜BM及び貫通電極TEは研磨されないので、半導体基板SWの裏面S2から、ライナ膜IF1及びバリアメタル膜BMに覆われている貫通電極TEの底部が、例えば2〜5μm程度突出する。続いて、半導体基板SWの裏面S2から突出した、ライナ膜IF1及びバリアメタル膜BMに覆われている貫通電極TEの底部を覆うように、半導体基板SWの裏面S2上に、例えば酸化シリコン(SiO)からなる絶縁膜IF2を形成する。 Next, by polishing the back surface (second main surface) S2 opposite to the main surface S1 of the semiconductor substrate SW, the thickness of the semiconductor substrate SW is set to about 50 μm, for example. At this time, since the liner film IF1, the barrier metal film BM, and the through electrode TE are not polished, the bottom of the through electrode TE covered with the liner film IF1 and the barrier metal film BM from the back surface S2 of the semiconductor substrate SW is, for example, 2 Projects about 5 μm. Subsequently, for example, silicon oxide (SiO 2) is formed on the back surface S2 of the semiconductor substrate SW so as to cover the bottom portion of the through electrode TE covered with the liner film IF1 and the barrier metal film BM, which protrudes from the back surface S2 of the semiconductor substrate SW. 2 ) An insulating film IF2 is formed.

次に、図15(b)に示すように、研磨レート選択比の無いスラリを用いてCMP法により、絶縁膜IF2、ライナ膜IF1及びバリアメタル膜BMを研磨する。これにより、貫通電極TEの底面が露出し、同時に、貫通電極TEが形成されていない半導体基板SWの裏面S2は絶縁膜IF2で覆われる。   Next, as shown in FIG. 15B, the insulating film IF2, the liner film IF1, and the barrier metal film BM are polished by CMP using a slurry having no polishing rate selection ratio. As a result, the bottom surface of the through electrode TE is exposed, and at the same time, the back surface S2 of the semiconductor substrate SW on which the through electrode TE is not formed is covered with the insulating film IF2.

しかし、貫通電極TEが形成されていない領域の絶縁膜IF2は、貫通電極TEが形成されている領域の絶縁膜IF2と同様に研磨されてしまう。そのため、貫通電極TEが形成されている領域の絶縁膜IF2の研磨が完了し、さらにバリアメタル膜BMが研磨されると、貫通電極TEが形成されていない領域の半導体基板SWの裏面S2が露出してしまう。貫通電極TEの底面も露出していることから、半導体基板SWの裏面S2が露出すると、貫通電極TEを構成する銅(Cu)が半導体基板SWの裏面S2側から半導体基板SW内へ拡散する。その結果、銅(Cu)汚染によって半導体基板SWの主面S1側に形成されている半導体素子の動作特性が変動してしまう。   However, the insulating film IF2 in the region where the through electrode TE is not formed is polished in the same manner as the insulating film IF2 in the region where the through electrode TE is formed. Therefore, when the polishing of the insulating film IF2 in the region where the through electrode TE is formed and the barrier metal film BM is further polished, the back surface S2 of the semiconductor substrate SW in the region where the through electrode TE is not formed is exposed. Resulting in. Since the bottom surface of the through electrode TE is also exposed, when the back surface S2 of the semiconductor substrate SW is exposed, copper (Cu) constituting the through electrode TE diffuses from the back surface S2 side of the semiconductor substrate SW into the semiconductor substrate SW. As a result, the operating characteristics of the semiconductor element formed on the main surface S1 side of the semiconductor substrate SW change due to copper (Cu) contamination.

そこで、図16(a)に示すように、絶縁膜IF2を、半導体基板SWの裏面S2から突出した、ライナ膜IF1及びバリアメタル膜BMにより覆われている貫通電極TEの底部の高さよりも厚く成膜して、CMP法により、絶縁膜IF2、ライナ膜IF1及びバリアメタル膜BMを研磨した。   Therefore, as shown in FIG. 16A, the insulating film IF2 is thicker than the height of the bottom of the through electrode TE protruding from the back surface S2 of the semiconductor substrate SW and covered with the liner film IF1 and the barrier metal film BM. The insulating film IF2, the liner film IF1, and the barrier metal film BM were polished by the CMP method.

しかし、貫通電極TEの底部は、半導体基板SWの裏面S2から、例えば2〜5μm程度突出しているので、絶縁膜IF2の表面の段差が解消し難い。このため、図16(b)に示すように、貫通電極TEの底面を被覆するバリアメタル膜BMを均一に研磨、除去して、貫通電極TEの底面を確実に露出させることができない。その結果、貫通電極TEの接続抵抗の増大の問題が生じる。   However, since the bottom of the through electrode TE protrudes from the back surface S2 of the semiconductor substrate SW by about 2 to 5 μm, for example, it is difficult to eliminate the step on the surface of the insulating film IF2. For this reason, as shown in FIG. 16B, the barrier metal film BM covering the bottom surface of the through electrode TE cannot be uniformly polished and removed, so that the bottom surface of the through electrode TE cannot be exposed reliably. As a result, there arises a problem of an increase in connection resistance of the through electrode TE.

なお、貫通電極TEの底面を被覆するバリアメタル膜BMが完全に除去されるまで、研磨することは可能ではある。しかし、この場合は、貫通電極TEが削れて、半導体基板SWの裏面S2から突出する貫通電極TEの高さにばらつきが生じるので、貫通電極TEの接続不良等の問題が懸念される。   It is possible to polish until the barrier metal film BM covering the bottom surface of the through electrode TE is completely removed. However, in this case, since the through electrode TE is scraped and the height of the through electrode TE protruding from the back surface S2 of the semiconductor substrate SW varies, there is a concern about problems such as poor connection of the through electrode TE.

以上、説明したように、ビア・ミドル方式によるTSV技術を採用した半導体装置の製造方法では、銅(Cu)汚染による半導体素子の動作特性の変動、及び貫通電極TEの接続抵抗の増大等の課題がある。   As described above, in the method of manufacturing a semiconductor device employing the TSV technology using the via / middle method, problems such as fluctuations in the operating characteristics of the semiconductor element due to copper (Cu) contamination and an increase in the connection resistance of the through electrode TE, etc. There is.

なお、前記特許文献1(特開2010−226060号公報)については、CMP法により、ビア孔に基板貫通ビア導電体を形成する記載はあるが、貫通電極についての記載や示唆はない。また、前記特許文献2(特開2010−219526号公報)については、貫通電極を露出させる際の不具合についての記載や示唆はなく、前記特許文献2においても同様の課題が発生するものと考えられる。   In addition, in Patent Document 1 (Japanese Patent Laid-Open No. 2010-222060), there is a description that a through-substrate via conductor is formed in a via hole by a CMP method, but there is no description or suggestion about a through electrode. In addition, Patent Document 2 (Japanese Patent Laid-Open No. 2010-219526) has no description or suggestion of a problem when exposing the through electrode, and it is considered that the same problem occurs in Patent Document 2. .

(実施の形態)
≪半導体装置≫
本実施の形態によるTSVを備える半導体装置を図1及び図2を用いて説明する。図1は半導体装置の要部断面図、図2は半導体装置の要部裏面図である。
(Embodiment)
≪Semiconductor device≫
A semiconductor device including a TSV according to this embodiment will be described with reference to FIGS. FIG. 1 is a cross-sectional view of main parts of a semiconductor device, and FIG. 2 is a rear view of main parts of the semiconductor device.

半導体装置(半導体チップ)には、電界効果トランジスタ、抵抗素子及び容量素子等の種々の半導体素子が形成された領域(以下、素子形成領域と言う)と、複数の貫通電極が形成された領域(以下、TSV形成領域と言う)とが互いに異なる領域に設けられている。図1には、素子形成領域に形成された種々の半導体素子のうち、電界効果トランジスタを代表するnチャネル型のMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示する。また、以下の説明においては、nチャネル型のMISFETをnMISFETと略す。   In a semiconductor device (semiconductor chip), a region in which various semiconductor elements such as a field effect transistor, a resistance element, and a capacitor element are formed (hereinafter referred to as an element formation region) and a region in which a plurality of through electrodes are formed ( (Hereinafter referred to as TSV formation regions) are provided in different regions. FIG. 1 illustrates an n-channel type MISFET (Metal Insulator Semiconductor Field Effect Transistor) representing a field effect transistor among various semiconductor elements formed in the element formation region. In the following description, the n-channel type MISFET is abbreviated as nMISFET.

まず、素子形成領域に形成されたnMISFETの構成について図1を用いて説明する。   First, the configuration of the nMISFET formed in the element formation region will be described with reference to FIG.

図1に示すように、素子形成領域の半導体基板SWの主面(表面、第1主面)S1には、分離溝の内部に絶縁膜が埋め込まれた分離部IRが形成されており、その分離部IRによってnMISFETが形成される活性領域が規定されている。半導体基板SWの厚さは、例えば50μm程度である。半導体基板SWの主面S1にはp型ウェルPWが形成されており、そのp型ウェルPWが形成された領域にnMISFETが形成されている。半導体基板SWの主面S1上にはnMISFETのゲート絶縁膜GIを介してゲート電極GEが形成されている。ゲート絶縁膜GIは、例えば熱酸化法により形成された酸化シリコン(SiO)からなり、ゲート電極GEは、例えばCVD(Chemical Vapor Deposition)法により形成された多結晶シリコン(Si)からなる。 As shown in FIG. 1, an isolation portion IR in which an insulating film is embedded in an isolation groove is formed on the main surface (surface, first main surface) S1 of the semiconductor substrate SW in the element formation region. An active region where the nMISFET is formed is defined by the isolation part IR. The thickness of the semiconductor substrate SW is, for example, about 50 μm. A p-type well PW is formed on the main surface S1 of the semiconductor substrate SW, and an nMISFET is formed in the region where the p-type well PW is formed. A gate electrode GE is formed on the main surface S1 of the semiconductor substrate SW via a gate insulating film GI of the nMISFET. The gate insulating film GI is made of, for example, silicon oxide (SiO 2 ) formed by a thermal oxidation method, and the gate electrode GE is made of, for example, polycrystalline silicon (Si) formed by a CVD (Chemical Vapor Deposition) method.

nMISFETのゲート電極GEの側面にはサイドウォールSLが形成されている。このサイドウォールSLは、例えば酸化シリコン(SiO)または窒化シリコン(Si)からなる。また、nMISFETのゲート電極GEの両側のp型ウェルPWにはチャネル領域を挟んでソース・ドレインとして機能するn型半導体領域SDが形成されている。 A sidewall SL is formed on the side surface of the gate electrode GE of the nMISFET. The sidewall SL is made of, for example, silicon oxide (SiO 2 ) or silicon nitride (Si 3 N 4 ). An n-type semiconductor region SD that functions as a source / drain is formed in the p-type well PW on both sides of the gate electrode GE of the nMISFET with the channel region interposed therebetween.

さらに、nMISFETはストッパ絶縁膜SIF及び層間絶縁膜ILD1によって覆われている。ストッパ絶縁膜SIFは、例えば窒化シリコン(Si)からなる。また、層間絶縁膜ILD1は、例えば酸化シリコン(SiO)からなり、その表面は平坦化されている。ストッパ絶縁膜SIF及び層間絶縁膜ILD1にはゲート電極GEに達する接続孔(図示は省略)及びn型半導体領域SDに達する接続孔CNが形成されている。接続孔CNは柱状であり、その径は、第1層目の配線M1の線幅と同じか、またはそれよりも小さく設定され、例えば0.06μm程度である。接続孔CNの内部には、バリアメタル膜BPを介して金属からなる接続電極(プラグ)CEが形成されている。 Further, the nMISFET is covered with a stopper insulating film SIF and an interlayer insulating film ILD1. The stopper insulating film SIF is made of, for example, silicon nitride (Si 3 N 4 ). The interlayer insulating film ILD1 is made of, for example, silicon oxide (SiO 2 ), and the surface thereof is flattened. In the stopper insulating film SIF and the interlayer insulating film ILD1, a connection hole (not shown) reaching the gate electrode GE and a connection hole CN reaching the n-type semiconductor region SD are formed. The connection hole CN has a columnar shape, and the diameter thereof is set to be equal to or smaller than the line width of the first layer wiring M1, and is about 0.06 μm, for example. Inside the connection hole CN, a connection electrode (plug) CE made of metal is formed via a barrier metal film BP.

接続電極CE上には、例えば銅(Cu)膜からなる第1層目の配線M1が、接続電極CEに接続してシングルダマシン(Single Damascene)法により形成されている。すなわち、第1層目の配線M1は、接続電極CE及び層間絶縁膜ILD1上に堆積された層間絶縁膜ILD2に配線形成用の溝TRaを形成し、その内部に銅(Cu)膜を埋め込むことによって形成されている。第1層目の配線M1の線幅は、例えば0.1μm程度である。   On the connection electrode CE, a first layer wiring M1 made of, for example, a copper (Cu) film is connected to the connection electrode CE and formed by a single damascene method. That is, in the first layer wiring M1, a trench TRa for wiring formation is formed in the interlayer insulating film ILD2 deposited on the connection electrode CE and the interlayer insulating film ILD1, and a copper (Cu) film is embedded therein. Is formed by. The line width of the first layer wiring M1 is, for example, about 0.1 μm.

さらに、第1層目の配線M1上には、例えば銅(Cu)膜からなる第2層目の配線M2が、接続部材を介して第1層目の配線M1に接続してデュアルダマシン(Dual Damascene)法により形成されている。すなわち、第2層目の配線M2は、第1層目の配線M1及び層間絶縁膜ILD2上に堆積された層間絶縁膜ILD3に配線形成用の溝TAを形成し、さらに、配線形成用の溝TAと第1層目の配線M1とを繋ぐ部分に接続孔TBを形成し、これらの内部に銅(Cu)膜を埋め込むことによって形成されている。接続孔TBの内部には第2層目の配線M2と一体に形成される接続部材が形成されている。なお、第2層目の配線M2は、第1層目の配線M1と同様に、シングルダマシン法により形成してもよい。   Further, on the first-layer wiring M1, a second-layer wiring M2 made of, for example, a copper (Cu) film is connected to the first-layer wiring M1 through a connecting member, and dual damascene (Dual Damascene) method. That is, the second-layer wiring M2 forms a wiring-forming groove TA in the interlayer insulating film ILD3 deposited on the first-layer wiring M1 and the interlayer insulating film ILD2, and further, a wiring-forming groove. A connection hole TB is formed in a portion connecting TA and the first layer wiring M1, and a copper (Cu) film is embedded in the connection hole TB. A connection member formed integrally with the second-layer wiring M2 is formed inside the connection hole TB. Note that the second-layer wiring M2 may be formed by a single damascene method in the same manner as the first-layer wiring M1.

さらに、第2層目の配線M2上には、第3層目の配線M3、第4層目の配線M4、第5層目の配線M5及び第6層目の配線M6が形成されている。さらに、第6層目の配線M6を覆うように絶縁膜IL及び封止樹脂RSが形成されている。これら絶縁膜IL及び封止樹脂RSには、第6層目の配線M6に達する開口部VOが形成されており、この開口部VOの内部に、第6層目の配線M6に接続して銅(Cu)バンプCBが形成され、さらに銅(Cu)バンプCBに接続して半球状の外部端子(はんだボール)SBが形成されている。   Furthermore, a third-layer wiring M3, a fourth-layer wiring M4, a fifth-layer wiring M5, and a sixth-layer wiring M6 are formed on the second-layer wiring M2. Further, an insulating film IL and a sealing resin RS are formed so as to cover the sixth-layer wiring M6. In the insulating film IL and the sealing resin RS, an opening VO reaching the sixth layer wiring M6 is formed, and a copper layer is connected to the sixth layer wiring M6 inside the opening VO. A (Cu) bump CB is formed, and a hemispherical external terminal (solder ball) SB is formed in connection with the copper (Cu) bump CB.

なお、実施の形態では、6層の配線(配線M1〜配線M6)を例示したが、これに限定されるものではない。5層以下の配線または7層以上の配線であってもよく、最上層の配線に接続して上記銅(Cu)バンプCB及び外部端子SBが形成される。   In the embodiment, six layers of wiring (wiring M1 to wiring M6) are illustrated, but the present invention is not limited to this. The wiring may be five or less layers or seven or more layers, and the copper (Cu) bump CB and the external terminal SB are formed by connecting to the uppermost layer wiring.

次に、TSV形成領域に形成されたTSVの構成について図1及び図2を用いて説明する。   Next, the structure of the TSV formed in the TSV formation region will be described with reference to FIGS.

図1に示すように、TSV形成領域の半導体基板SW、ストッパ絶縁膜SIF及び層間絶縁膜ILD1には、これらを貫通するビア(貫通穴、接続孔)VIが形成されている。ビアVIの直径は、例えば10μm程度、その深さは、例えば50μm程度である。ビアVIの側面にはライナ膜(第1絶縁膜)IF1が形成されている。ライナ膜IF1は、例えば酸化シリコン(SiO)膜、炭素含有酸化シリコン(SiOC)膜または有機絶縁膜である。さらに、ビアVIの内部には、バリアメタル膜BMを介して銅(Cu)膜または銅(Cu)を主成分とする金属膜からなる貫通電極TEが形成されている。 As shown in FIG. 1, the semiconductor substrate SW, the stopper insulating film SIF, and the interlayer insulating film ILD1 in the TSV formation region are formed with vias (through holes, connection holes) VI penetrating them. The diameter of the via VI is, for example, about 10 μm, and the depth thereof is, for example, about 50 μm. A liner film (first insulating film) IF1 is formed on the side surface of the via VI. The liner film IF1 is, for example, a silicon oxide (SiO 2 ) film, a carbon-containing silicon oxide (SiOC) film, or an organic insulating film. Further, a through electrode TE made of a copper (Cu) film or a metal film containing copper (Cu) as a main component is formed inside the via VI via a barrier metal film BM.

ライナ膜IF1は、貫通電極TEからの金属汚染を防止する保護膜として機能する。また、このライナ膜IF1は貫通電極TEと半導体基板SWとを絶縁分離する機能も果たす。ライナ膜IF1の厚さは、例えば0.1〜0.4μm程度である。   The liner film IF1 functions as a protective film that prevents metal contamination from the through electrode TE. The liner film IF1 also functions to insulate and separate the through electrode TE and the semiconductor substrate SW. The thickness of the liner film IF1 is, for example, about 0.1 to 0.4 μm.

バリアメタル膜BMは、例えばチタン(Ti)、タンタル(Ta)、コバルト(Co)、ルテニウム(Ru)またはニッケル(Ni)からなる金属膜、あるいはチタン(Ti)、タンタル(Ta)、コバルト(Co)、ルテニウム(Ru)またはニッケル(Ni)を主成分とする合金膜である。また、バリアメタル膜BMは、例えば金属材料が互いに異なる複数の上記金属膜からなる積層膜、金属材料が互いに異なる複数の上記合金膜からなる積層膜、あるいは金属材料が互いに異なる上記金属膜及び上記合金膜からなる積層膜である。ここでは、バリアメタル膜BMを用いた場合の実施の形態を記載しているが、バリアメタル膜BMに代えて、ライナ膜IF1及び半導体基板SWへの銅(Cu)拡散防止性能を有する絶縁膜、たとえば窒化シリコン(Si)膜などを用いても良い。 The barrier metal film BM is, for example, a metal film made of titanium (Ti), tantalum (Ta), cobalt (Co), ruthenium (Ru), or nickel (Ni), or titanium (Ti), tantalum (Ta), cobalt (Co ), Ruthenium (Ru) or nickel (Ni) as the main component. In addition, the barrier metal film BM includes, for example, a laminated film made of a plurality of the above metal films with different metal materials, a laminated film made of the above plurality of the alloy films with different metal materials, or the above metal films with different metal materials and the above It is a laminated film made of an alloy film. Here, although the embodiment in the case of using the barrier metal film BM is described, instead of the barrier metal film BM, an insulating film having a copper (Cu) diffusion preventing performance to the liner film IF1 and the semiconductor substrate SW. For example, a silicon nitride (Si 3 N 4 ) film or the like may be used.

半導体基板SWの主面S1側の貫通電極TEは、第1層目の配線M1と同一層である接続パッドMCと接続している。接続パッドMCは、層間絶縁膜ILD2に接続パッド形成用の溝TRbを形成し、その内部に銅(Cu)膜を埋め込むことによって形成されている。   The through electrode TE on the main surface S1 side of the semiconductor substrate SW is connected to a connection pad MC that is the same layer as the first layer wiring M1. The connection pad MC is formed by forming a connection pad forming trench TRb in the interlayer insulating film ILD2 and embedding a copper (Cu) film therein.

半導体基板SWの裏面(第2主面)S2には、絶縁膜(第2絶縁膜)IF2が形成されている。この絶縁膜IF2は、半導体基板SWの裏面S2からの金属汚染を防止する保護膜としても機能する。   An insulating film (second insulating film) IF2 is formed on the back surface (second main surface) S2 of the semiconductor substrate SW. The insulating film IF2 also functions as a protective film that prevents metal contamination from the back surface S2 of the semiconductor substrate SW.

さらに、半導体基板SWの裏面S2には、貫通電極TEの底部が突出している。貫通電極TEの底部の底面は露出し、その側面はバリアメタル膜BM、ライナ膜IF1及び絶縁膜IF2により覆われている。   Furthermore, the bottom of the through electrode TE protrudes from the back surface S2 of the semiconductor substrate SW. The bottom surface of the bottom portion of the through electrode TE is exposed, and its side surface is covered with the barrier metal film BM, the liner film IF1, and the insulating film IF2.

複数の貫通電極TEが形成される領域の大きさは、メモリなどの汎用品との接続を保つため、規格によって定められる。例えば図2に示すように、縦6mm×横6mmのサイズの半導体チップ(半導体装置)SCでは、半導体チップSCの中央部に、貫通電極TEが40μmピッチで縦に50個、横に6個配置されたTSV形成領域ATSVが4つ配置されている。すなわち、半導体チップSCの中央部が複数の貫通電極TEが形成されるTSV形成領域ATSVであり、それ以外の領域が複数の半導体素子が形成される素子形成領域となる。なお、図2には、半導体チップSCの中央部にTSV形成領域ATSVを設けたが、中央部に限定されるものではない。   The size of the region where the plurality of through electrodes TE are formed is determined by a standard in order to maintain connection with a general-purpose product such as a memory. For example, as shown in FIG. 2, in a semiconductor chip (semiconductor device) SC having a size of 6 mm in length × 6 mm in width, 50 through electrodes TE are arranged vertically at a pitch of 40 μm and 6 in the center in the semiconductor chip SC. Four TSV formation areas ATSV thus formed are arranged. That is, the central portion of the semiconductor chip SC is a TSV formation region ATSV where a plurality of through electrodes TE are formed, and the other region is an element formation region where a plurality of semiconductor elements are formed. In FIG. 2, the TSV formation region ATSV is provided in the central portion of the semiconductor chip SC, but the present invention is not limited to the central portion.

≪半導体装置の製造方法≫
次に、本実施の形態によるビア・ミドル方式によるTSV技術を採用した半導体装置の製造方法について図3〜図14を用いて工程順に説明する。図3〜図12及び図14は、半導体装置の製造工程中の半導体装置の要部断面図であり、素子形成領域の一部及びTSV形成領域の一部を示している。図13(a)及び(b)は、半導体装置の製造工程中の複数の貫通電極を示す要部断面図である。また、図3〜図12及び図14の素子形成領域には、半導体素子としてnMISFETを例示する。また、半導体装置には、例えば100個以上の貫通電極が形成されるが、便宜上、図3〜図12及び図14には1個の貫通電極及びその周辺部を記載し、図13には4個の貫通電極を記載する。
≪Semiconductor device manufacturing method≫
Next, a method for manufacturing a semiconductor device employing the TSV technology based on the via / middle method according to the present embodiment will be described in the order of steps with reference to FIGS. 3 to 12 and 14 are cross-sectional views of the main part of the semiconductor device during the manufacturing process of the semiconductor device, showing a part of the element formation region and a part of the TSV formation region. FIGS. 13A and 13B are cross-sectional views of main parts showing a plurality of through electrodes in the manufacturing process of the semiconductor device. Also, nMISFETs are exemplified as semiconductor elements in the element formation regions of FIGS. 3 to 12 and 14. Further, for example, 100 or more through electrodes are formed in the semiconductor device. For convenience, FIGS. 3 to 12 and FIG. 14 show one through electrode and its peripheral portion, and FIG. One through electrode is described.

<半導体素子の形成工程>
まず、図3に示すように、例えば単結晶シリコン(Si)からなる半導体基板(ウエハと称する平面略円形状の半導体の薄板)SWを用意する。半導体基板SWの厚さ(第1厚さ)は、例えば700μm程度である。次に、半導体基板SWの主面(表面、第1主面)の素子分離領域に絶縁膜からなる分離部IRを形成する。続いて、nMISFETが形成される領域の半導体基板SWにp型の導電性を示す不純物をイオン注入してp型ウェルPWを形成する。
<Semiconductor element formation process>
First, as shown in FIG. 3, a semiconductor substrate (semiconductor plate having a substantially circular plane called a wafer) SW made of, for example, single crystal silicon (Si) is prepared. The thickness (first thickness) of the semiconductor substrate SW is, for example, about 700 μm. Next, the isolation part IR made of an insulating film is formed in the element isolation region of the main surface (surface, first main surface) of the semiconductor substrate SW. Subsequently, an impurity exhibiting p-type conductivity is ion-implanted into the semiconductor substrate SW in a region where the nMISFET is to be formed, thereby forming a p-type well PW.

次に、半導体基板SWの主面にnMISFETのゲート絶縁膜GIを形成した後、ゲート絶縁膜GI上にnMISFETのゲート電極GEを形成する。続いて、ゲート電極GEの側面にサイドウォールSLを形成した後、ゲート電極GEの両側のp型ウェルPWにn型の導電性を示す不純物をイオン注入し、nMISFETのソース・ドレインとして機能するn型半導体領域SDをゲート電極GE及びサイドウォールSLに対して自己整合的に形成する。   Next, after forming the gate insulating film GI of the nMISFET on the main surface of the semiconductor substrate SW, the gate electrode GE of the nMISFET is formed on the gate insulating film GI. Subsequently, after the sidewall SL is formed on the side surface of the gate electrode GE, an n-type conductivity impurity is ion-implanted into the p-type well PW on both sides of the gate electrode GE to function as a source / drain of the nMISFET. The type semiconductor region SD is formed in a self-aligned manner with respect to the gate electrode GE and the sidewall SL.

次に、半導体基板SWの主面上にストッパ絶縁膜SIF及び層間絶縁膜ILD1を順次形成する。ストッパ絶縁膜SIFは層間絶縁膜ILD1を加工する際にエッチングストッパとなる膜であり、層間絶縁膜ILD1に対してエッチング選択比を有する材料を用いる。ストッパ絶縁膜SIFは、例えば窒化シリコン(Si)膜であり、層間絶縁膜ILD1は、例えば酸化シリコン(SiO)膜である。 Next, a stopper insulating film SIF and an interlayer insulating film ILD1 are sequentially formed on the main surface of the semiconductor substrate SW. The stopper insulating film SIF is a film that serves as an etching stopper when the interlayer insulating film ILD1 is processed, and a material having an etching selectivity with respect to the interlayer insulating film ILD1 is used. The stopper insulating film SIF is, for example, a silicon nitride (Si 3 N 4 ) film, and the interlayer insulating film ILD1 is, for example, a silicon oxide (SiO 2 ) film.

次に、レジストパターンをマスクとしたドライエッチングにより、層間絶縁膜ILD1及びストッパ絶縁膜SIFを順次加工して素子形成領域に接続孔CNを形成する。接続孔CNはn型半導体領域SD上及びゲート電極GE上などのnMISFETを動作させるために電圧印加を必要とする部分に形成する。   Next, the interlayer insulating film ILD1 and the stopper insulating film SIF are sequentially processed by dry etching using the resist pattern as a mask to form a connection hole CN in the element formation region. The connection hole CN is formed in a portion that requires voltage application in order to operate the nMISFET such as on the n-type semiconductor region SD and the gate electrode GE.

次に、半導体基板SWの主面上に、例えばスパッタリング法によりバリアメタル膜BPを形成する。バリアメタル膜BPは、例えばチタン(Ti)膜、タンタル(Ta)膜、窒化チタン(TiN)膜または窒化タンタル(TaN)膜等であり、その厚さは、例えば0.1μm程度である。続いて、バリアメタル膜BP上に、例えばCVD法またはスパッタリング法によりタングステン(W)膜を形成する。続いて、接続孔CNの内部以外の領域のタングステン(W)膜及びバリアメタル膜BPをCMP法により除去して、接続孔CNの内部にタングステン(W)膜からなる接続電極(プラグ)CEを形成する。   Next, a barrier metal film BP is formed on the main surface of the semiconductor substrate SW by, for example, a sputtering method. The barrier metal film BP is, for example, a titanium (Ti) film, a tantalum (Ta) film, a titanium nitride (TiN) film, or a tantalum nitride (TaN) film, and the thickness thereof is, for example, about 0.1 μm. Subsequently, a tungsten (W) film is formed on the barrier metal film BP by, for example, a CVD method or a sputtering method. Subsequently, the tungsten (W) film and the barrier metal film BP in a region other than the inside of the connection hole CN are removed by a CMP method, and a connection electrode (plug) CE made of a tungsten (W) film is formed inside the connection hole CN. Form.

<貫通電極の形成工程>
次に、図4に示すように、レジストパターンをマスクとして、TSV形成領域の層間絶縁膜ILD1、ストッパ絶縁膜SIF及び半導体基板SWを順次エッチングして、層間絶縁膜ILD1、ストッパ絶縁膜SIF及び半導体基板SWにビア(貫通穴、接続孔)VIを形成する。ビアVIの径は、例えば10μm程度、その深さは、例えば50μm程度である。
<Penetration electrode formation process>
Next, as shown in FIG. 4, using the resist pattern as a mask, the interlayer insulating film ILD1, the stopper insulating film SIF, and the semiconductor substrate SW in the TSV formation region are sequentially etched to form the interlayer insulating film ILD1, the stopper insulating film SIF, and the semiconductor Vias (through holes, connection holes) VI are formed in the substrate SW. The diameter of the via VI is, for example, about 10 μm, and the depth thereof is, for example, about 50 μm.

次に、図5に示すように、ビアVIの底面及び側面を含む半導体基板SWの主面上にライナ膜(第1絶縁膜)IF1を形成する。ライナ膜IF1は、例えばプラズマCVD法により形成される酸化シリコン(SiO)膜または炭素含有酸化シリコン(SiOC)膜、あるいは有機絶縁膜であり、その厚さは、例えば1.0μm程度である。 Next, as shown in FIG. 5, a liner film (first insulating film) IF1 is formed on the main surface of the semiconductor substrate SW including the bottom and side surfaces of the via VI. The liner film IF1 is, for example, a silicon oxide (SiO 2 ) film, a carbon-containing silicon oxide (SiOC) film, or an organic insulating film formed by a plasma CVD method, and the thickness thereof is, for example, about 1.0 μm.

次に、図6に示すように、半導体基板SWの主面上(ライナ膜IF1上)にバリアメタル膜BMを形成した後、バリアメタル膜BM上に銅(Cu)のシード層(図示は省略)を形成し、さらに電解めっき法を用いてシード層上に銅(Cu)めっき膜(銅(Cu)膜または銅(Cu)を主成分とする合金膜)CPを形成する。   Next, as shown in FIG. 6, after forming a barrier metal film BM on the main surface (on the liner film IF1) of the semiconductor substrate SW, a copper (Cu) seed layer (not shown) is formed on the barrier metal film BM. Further, a copper (Cu) plating film (copper (Cu) film or alloy film containing copper (Cu) as a main component) CP is formed on the seed layer by electrolytic plating.

バリアメタル膜BMは、例えばチタン(Ti)、タンタル(Ta)、コバルト(Co)、ルテニウム(Ru)またはニッケル(Ni)からなる金属膜、あるいはチタン(Ti)、タンタル(Ta)、コバルト(Co)、ルテニウム(Ru)またはニッケル(Ni)を主成分とする合金膜である。また、バリアメタル膜BMは、例えば金属材料が互いに異なる複数の上記金属膜からなる積層膜、金属材料が互いに異なる複数の上記合金膜からなる積層膜、あるいは金属材料が互いに異なる上記金属膜及び上記合金膜からなる積層膜である。ここでは、バリアメタル膜BMを用いた場合の実施の形態を記載しているが、バリアメタル膜BMに代えて、ライナ膜IF1及び半導体基板SWへの銅(Cu)拡散防止性能を有する絶縁膜、たとえば窒化シリコン(Si)膜などを用いても良い。 The barrier metal film BM is, for example, a metal film made of titanium (Ti), tantalum (Ta), cobalt (Co), ruthenium (Ru), or nickel (Ni), or titanium (Ti), tantalum (Ta), cobalt (Co ), Ruthenium (Ru) or nickel (Ni) as the main component. In addition, the barrier metal film BM includes, for example, a laminated film made of a plurality of the above metal films with different metal materials, a laminated film made of the above plurality of the alloy films with different metal materials, or the above metal films with different metal materials and It is a laminated film made of an alloy film. Here, although the embodiment in the case of using the barrier metal film BM is described, instead of the barrier metal film BM, an insulating film having a copper (Cu) diffusion preventing performance to the liner film IF1 and the semiconductor substrate SW. For example, a silicon nitride (Si 3 N 4 ) film or the like may be used.

次に、図7に示すように、ビアVIの内部以外の領域の銅(Cu)めっき膜CP、シード層、バリアメタル膜BM及びライナ膜IF1をCMP法により除去して、ビアVIの内部に銅(Cu)膜からなる貫通電極TEを形成する。   Next, as shown in FIG. 7, the copper (Cu) plating film CP, the seed layer, the barrier metal film BM, and the liner film IF1 in the region other than the inside of the via VI are removed by the CMP method to be inside the via VI. A through electrode TE made of a copper (Cu) film is formed.

<多層配線及び外部端子の形成工程>
次に、図8に示すように、半導体基板SWの主面側に第1層目の配線M1、接続パッドMC、第2層目の配線M2、銅(Cu)バンプCB及び外部端子(はんだボール)SBを順次形成する。
<Process for forming multilayer wiring and external terminals>
Next, as shown in FIG. 8, the first layer wiring M1, the connection pad MC, the second layer wiring M2, the copper (Cu) bump CB, and the external terminals (solder balls) on the main surface side of the semiconductor substrate SW. ) SB is formed sequentially.

まず、シングルダマシン法により素子形成領域に第1層目の配線M1を形成し、TSV形成領域に接続パッドMCを形成する。   First, the first layer wiring M1 is formed in the element formation region by the single damascene method, and the connection pad MC is formed in the TSV formation region.

半導体基板SWの主面上に層間絶縁膜ILD2を形成する。層間絶縁膜ILD2は、例えばプラズマCVD法により形成される酸化シリコン(SiO)膜または炭素含有酸化シリコン(SiOC)膜である。続いて、レジストパターンをマスクとして、層間絶縁膜ILD2をドライエッチングして、素子形成領域の第1層目の配線M1が形成される領域に、層間絶縁膜ILD2の上面から下面に貫通し、接続電極CEに達する配線形成用の溝TRaを形成する。同時に、TSV形成領域の接続パッドMCが形成される領域に、層間絶縁膜ILD2の上面から下面に貫通し、貫通電極TEに達する接続パッド形成用の溝TRbを形成する。 An interlayer insulating film ILD2 is formed on the main surface of the semiconductor substrate SW. The interlayer insulating film ILD2 is, for example, a silicon oxide (SiO 2 ) film or a carbon-containing silicon oxide (SiOC) film formed by a plasma CVD method. Subsequently, using the resist pattern as a mask, the interlayer insulating film ILD2 is dry-etched to penetrate from the upper surface to the lower surface of the interlayer insulating film ILD2 in the region where the first-layer wiring M1 in the element formation region is formed. A trench TRa for wiring formation reaching the electrode CE is formed. At the same time, a trench TRb for forming a connection pad that penetrates from the upper surface to the lower surface of the interlayer insulating film ILD2 and reaches the through electrode TE is formed in a region where the connection pad MC in the TSV formation region is formed.

続いて、半導体基板SWの主面上にバリアメタル膜B1を形成する。バリアメタル膜B1は、例えばチタン(Ti)膜、タンタル(Ta)膜、窒化チタン(TiN)膜または窒化タンタル(TaN)膜等の単層膜、あるいはこれら膜の幾つかを積層した積層膜である。続いて、CVD法またはスパッタリング法によりバリアメタル膜B1上に銅(Cu)のシード層(図示は省略)を形成し、さらに電解めっき法を用いてシード層上に銅(Cu)めっき膜(図示は省略)を形成する。この銅(Cu)めっき膜によって配線形成用の溝TRaの内部及び接続パッド形成用の溝TRbの内部を埋め込む。   Subsequently, a barrier metal film B1 is formed on the main surface of the semiconductor substrate SW. The barrier metal film B1 is a single-layer film such as a titanium (Ti) film, a tantalum (Ta) film, a titanium nitride (TiN) film, or a tantalum nitride (TaN) film, or a laminated film in which several of these films are laminated. is there. Subsequently, a seed layer (not shown) of copper (Cu) is formed on the barrier metal film B1 by CVD or sputtering, and a copper (Cu) plating film (not shown) is further formed on the seed layer by electrolytic plating. Is omitted). The copper (Cu) plating film fills the inside of the wiring formation trench TRa and the inside of the connection pad formation trench TRb.

続いて、配線形成用の溝TRaの内部及び接続パッド形成用の溝TRbの内部以外の領域の銅(Cu)めっき膜、シード層及びバリアメタル膜B1をCMP法により除去する。これにより、配線形成用の溝TRaの内部に、銅(Cu)膜からなる第1層目の配線M1を形成する。同時に、接続パッド形成用の溝TRbの内部に、銅(Cu)膜からなる接続パッドMCを形成する。なお、実施の形態では、第1層目の配線M1及び接続パッドMCを構成する銅(Cu)膜を電解めっき法により形成したが、CVD法、スパッタリング法またはスパッタリフロー法等により形成してもよい。   Subsequently, the copper (Cu) plating film, the seed layer, and the barrier metal film B1 in regions other than the inside of the wiring formation trench TRa and the inside of the connection pad formation trench TRb are removed by CMP. As a result, the first layer wiring M1 made of a copper (Cu) film is formed inside the wiring formation trench TRa. At the same time, a connection pad MC made of a copper (Cu) film is formed in the trench TRb for forming the connection pad. In the embodiment, the copper (Cu) film constituting the first layer wiring M1 and the connection pad MC is formed by an electrolytic plating method, but may be formed by a CVD method, a sputtering method, a sputter reflow method, or the like. Good.

次に、デュアルダマシン法により素子形成領域及びTSV形成領域に第2層目の配線M2を形成する。   Next, a second-layer wiring M2 is formed in the element formation region and the TSV formation region by a dual damascene method.

半導体基板SWの主面上に、例えばプラズマCVD法により層間絶縁膜ILD3を形成する。層間絶縁膜ILD3は、その下層の層間絶縁膜ILD2、第1層目の配線M1及び接続パッドMCのそれぞれの表面形状に倣って形成されるが、これらの表面はほぼ平坦であることから、層間絶縁膜ILD3の表面もほぼ平坦である。続いて、レジストパターンをマスクとして、層間絶縁膜ILD3をドライエッチングして、第2層目の配線M2が形成される領域に配線形成用の溝TAを形成する。さらに、配線形成用の溝TAと第1層目の配線M1とを繋ぐ部分に第1層目の配線M1に達する接続孔TBを形成する。同時に、配線形成用の溝TAと接続パッドMCとを繋ぐ部分に接続パッドMCに達する接続孔TBを形成する。   An interlayer insulating film ILD3 is formed on the main surface of the semiconductor substrate SW by, for example, a plasma CVD method. The interlayer insulating film ILD3 is formed following the surface shapes of the underlying interlayer insulating film ILD2, the first-layer wiring M1, and the connection pad MC. Since these surfaces are substantially flat, The surface of the insulating film ILD3 is also almost flat. Subsequently, using the resist pattern as a mask, the interlayer insulating film ILD3 is dry-etched to form a wiring formation trench TA in a region where the second-layer wiring M2 is to be formed. Further, a connection hole TB reaching the first layer wiring M1 is formed in a portion connecting the wiring formation trench TA and the first layer wiring M1. At the same time, a connection hole TB reaching the connection pad MC is formed at a portion connecting the trench TA for wiring formation and the connection pad MC.

続いて、半導体基板SWの主面上にバリアメタル膜B2を形成した後、バリアメタル膜B2上に銅(Cu)のシード層(図示は省略)を形成し、さらに電解めっき法を用いてシード層上に銅(Cu)めっき膜(図示は省略)を形成する。バリアメタル膜B2は、例えばチタン(Ti)膜、タンタル(Ta)膜、窒化チタン(TiN)膜または窒化タンタル(TaN)膜等の単層膜、あるいはこれら膜の幾つかを積層した積層膜である。   Subsequently, after the barrier metal film B2 is formed on the main surface of the semiconductor substrate SW, a copper (Cu) seed layer (not shown) is formed on the barrier metal film B2, and then seeded by electrolytic plating. A copper (Cu) plating film (not shown) is formed on the layer. The barrier metal film B2 is a single-layer film such as a titanium (Ti) film, a tantalum (Ta) film, a titanium nitride (TiN) film, or a tantalum nitride (TaN) film, or a laminated film in which several of these films are laminated. is there.

続いて、配線形成用の溝TA及び接続孔TBの内部以外の領域の銅(Cu)めっき膜、シード層及びバリアメタル膜B2をCMP法により除去して、配線形成用の溝TAの内部に銅(Cu)膜からなる第2層目の配線M2を形成し、接続孔TBの内部に第2層目の配線M2と一体に形成される接続部材を形成する。   Subsequently, the copper (Cu) plating film, the seed layer, and the barrier metal film B2 in a region other than the inside of the wiring forming groove TA and the connection hole TB are removed by CMP to be inside the wiring forming groove TA. A second-layer wiring M2 made of a copper (Cu) film is formed, and a connection member formed integrally with the second-layer wiring M2 is formed inside the connection hole TB.

その後、前述の第1層目の配線M1または第2層目の配線M2と同様にして、さらに上層の配線を形成する(前述の図1参照)が、ここでの説明は省略する。   Thereafter, an upper layer wiring is formed in the same manner as the first layer wiring M1 or the second layer wiring M2 (see FIG. 1 described above), but the description thereof is omitted here.

次に、銅(Cu)バンプCB及び外部端子(はんだボール)SBを形成する。   Next, copper (Cu) bumps CB and external terminals (solder balls) SB are formed.

半導体基板SWの主面側に、最上層の配線(例えば前述の図1に示す第6層目の配線M6)を覆うように、絶縁膜(図示は省略)及び封止樹脂RSを形成する。続いて、絶縁膜及び封止樹脂RSに、最上層の配線に達する開口部VOを形成した後、電解めっき法を用いて、この開口部VOを埋め込むように銅(Cu)バンプCBを形成する。   An insulating film (not shown) and a sealing resin RS are formed on the main surface side of the semiconductor substrate SW so as to cover the uppermost wiring (for example, the sixth wiring M6 shown in FIG. 1). Subsequently, an opening VO reaching the uppermost wiring is formed in the insulating film and the sealing resin RS, and then a copper (Cu) bump CB is formed so as to fill the opening VO using an electrolytic plating method. .

続いて、開口部VOから露出した銅(Cu)バンプCBに外部端子(はんだボール)SBを接続する。外部端子SBは、例えばボール状のはんだ剤をボール供給法で供給した後、熱処理を施すことによって形成される。   Subsequently, an external terminal (solder ball) SB is connected to the copper (Cu) bump CB exposed from the opening VO. The external terminal SB is formed, for example, by supplying a ball-shaped soldering agent by a ball supply method and then performing a heat treatment.

<貫通電極の突出工程>
次に、図9に示すように、半導体基板SWの主面側に、接着層CLを介してガラス支持体GHを貼り付ける。このガラス支持体GHに代えて、シリコン(Si)基板を支持体に用いてもよい。
<Projection process of through electrode>
Next, as shown in FIG. 9, a glass support GH is attached to the main surface side of the semiconductor substrate SW via an adhesive layer CL. Instead of the glass support GH, a silicon (Si) substrate may be used as the support.

次に、図10に示すように、半導体基板SWの主面と反対側である裏面(第2主面)を研削、研磨またはエッチバックして、半導体基板SWの厚さ(第2厚さ)を、例えば50μm以下とする。これにより、半導体基板SWの裏面からライナ膜IF1及びバリアメタル膜BMに覆われた貫通電極TEの底部を突出させる。半導体基板SWの裏面から突出した貫通電極TEの底部の高さは、例えば2〜5μm程度である。   Next, as shown in FIG. 10, the back surface (second main surface) opposite to the main surface of the semiconductor substrate SW is ground, polished, or etched back, so that the thickness (second thickness) of the semiconductor substrate SW is obtained. Is, for example, 50 μm or less. Accordingly, the bottom of the through electrode TE covered with the liner film IF1 and the barrier metal film BM is projected from the back surface of the semiconductor substrate SW. The height of the bottom portion of the through electrode TE protruding from the back surface of the semiconductor substrate SW is, for example, about 2 to 5 μm.

次に、図11に示すように、突出した貫通電極TEの底部を覆うように、半導体基板SWの裏面に絶縁膜(第2絶縁膜)IF2を形成する。絶縁膜IF2は、例えば酸化シリコン(SiO)膜、または酸化シリコン(SiO)膜と窒化シリコン(Si)膜との積層膜である。ここで、絶縁膜IF2は、半導体基板SWの裏面から突出した貫通電極TEの底部の高さより薄く形成する。絶縁膜IF2は、半導体基板SWの裏面からの金属汚染を防止する保護膜としても機能する。 Next, as shown in FIG. 11, an insulating film (second insulating film) IF2 is formed on the back surface of the semiconductor substrate SW so as to cover the bottom of the protruding through electrode TE. The insulating film IF2 is, for example, a silicon oxide (SiO 2 ) film or a stacked film of a silicon oxide (SiO 2 ) film and a silicon nitride (Si 3 N 4 ) film. Here, the insulating film IF2 is formed thinner than the height of the bottom portion of the through electrode TE protruding from the back surface of the semiconductor substrate SW. The insulating film IF2 also functions as a protective film that prevents metal contamination from the back surface of the semiconductor substrate SW.

次に、図12に示すように、CMP法により、絶縁膜IF2、ライナ膜IF1及びバリアメタル膜BMを研磨して、貫通電極TEの底面を露出させる。CMP法には、バリアメタル膜BMの研磨レートが、絶縁膜IF2及びライナ膜IF1の研磨レートよりも5倍以上高く、貫通電極TEの研磨レートが、絶縁膜IF2及びライナ膜IF1の研磨レートよりも2倍以上高いスラリを用いる。言い換えると、絶縁膜IF2及びライナ膜IF1に対するバリアメタル膜BMの研磨選択比が5倍以上で、かつ、絶縁膜IF2及びライナ膜IF1に対する貫通電極TEの研磨選択比が2倍以上のスラリを用いる。素子形成領域における絶縁膜IF2及びライナ膜IF1の研磨レートは、例えば5nm/min以下である。   Next, as shown in FIG. 12, the insulating film IF2, the liner film IF1, and the barrier metal film BM are polished by CMP to expose the bottom surface of the through electrode TE. In the CMP method, the polishing rate of the barrier metal film BM is five times higher than the polishing rate of the insulating film IF2 and the liner film IF1, and the polishing rate of the through electrode TE is higher than the polishing rate of the insulating film IF2 and the liner film IF1. Also use a slurry more than twice as high. In other words, a slurry having a polishing selection ratio of the barrier metal film BM to the insulating film IF2 and the liner film IF1 of 5 times or more and a polishing selection ratio of the through electrode TE to the insulating film IF2 and the liner film IF1 of 2 times or more is used. . The polishing rate of the insulating film IF2 and the liner film IF1 in the element formation region is, for example, 5 nm / min or less.

一例として、実施の形態では、チタン(Ti)からなるバリアメタル膜BMの研磨レートが酸化シリコン(SiO)からなる絶縁膜IF2及び酸化シリコン(SiO)からなるライナ膜IF1の研磨レートの10倍で、銅(Cu)からなる貫通電極TEの研磨レートが酸化シリコン(SiO)からなる絶縁膜IF2及び酸化シリコン(SiO)からなるライナ膜IF1の研磨レートの2倍のスラリを用いた。言い換えると、絶縁膜IF2及びライナ膜IF1に対するバリアメタル膜BMの研磨選択比が10倍で、かつ、絶縁膜IF2及びライナ膜IF1に対する貫通電極TEの研磨選択比が2倍のスラリを用いた。すなわち、研磨選択比がSiO:Ti:Cu=1:10:2のスラリを用いた。 10 As an example, in the embodiment, the polishing rate of the liner film IF1 polishing rate of the barrier metal film BM formed of titanium (Ti) is made of an insulating film IF2 and the silicon oxide of silicon oxide (SiO 2) (SiO 2) in times, the polishing rate of the through-electrodes TE made of copper (Cu) was used twice the slurry polishing rate of the liner film IF1 made of a silicon oxide insulating film IF2 and silicon oxide consisting of (SiO 2) (SiO 2) . In other words, a slurry in which the polishing selection ratio of the barrier metal film BM to the insulating film IF2 and the liner film IF1 is 10 times and the polishing selection ratio of the through electrode TE to the insulating film IF2 and the liner film IF1 is doubled is used. That is, a slurry having a polishing selection ratio of SiO 2 : Ti: Cu = 1: 10: 2 was used.

また、スラリには、例えばコロイダルシリカを用いる。その組成は、例えばphは中性から酸性(ph7未満)、シリカ濃度は13%以下であり、Cu防食剤(BTA(Benzo triazole)等)を含んでいる。また、研磨パッドには、ハードパッド(IC1000研磨パッド)を用い、研磨時の圧力は、例えば2.5〜3.5psiである。   For the slurry, for example, colloidal silica is used. As for the composition, for example, ph is neutral to acidic (less than ph7), the silica concentration is 13% or less, and contains a Cu anticorrosive (BTA (Benzotriazole) or the like). Moreover, a hard pad (IC1000 polishing pad) is used as the polishing pad, and the pressure during polishing is, for example, 2.5 to 3.5 psi.

上記研磨条件において、絶縁膜IF2、ライナ膜IF1及びバリアメタル膜BMを研磨する。   Under the above polishing conditions, the insulating film IF2, the liner film IF1, and the barrier metal film BM are polished.

このとき、突出した貫通電極TEの底面上の絶縁膜IF2は、CMP装置に備わるプラテン上の研磨パッドに押さえつけられる圧力が高くなる。このため、素子形成領域の絶縁膜IF2の研磨レートは低いが、素子形成領域の絶縁膜IF2の研磨レートに比べて、TSV形成領域の絶縁膜IF2の研磨レートが高くなる。従って、図13(a)及び(b)に示すように、バリアメタル膜BMが露出するまで絶縁膜IF2及びライナ膜IF1を研磨しても、素子形成領域の絶縁膜IF2の研磨量は少ないので、素子形成領域の絶縁膜IF2は十分な厚さを維持している。   At this time, the pressure that the insulating film IF2 on the bottom surface of the protruding through electrode TE is pressed against the polishing pad on the platen provided in the CMP apparatus is increased. Therefore, the polishing rate of the insulating film IF2 in the element forming region is low, but the polishing rate of the insulating film IF2 in the TSV forming region is higher than the polishing rate of the insulating film IF2 in the element forming region. Therefore, as shown in FIGS. 13A and 13B, even if the insulating film IF2 and the liner film IF1 are polished until the barrier metal film BM is exposed, the amount of polishing of the insulating film IF2 in the element formation region is small. The insulating film IF2 in the element formation region maintains a sufficient thickness.

また、バリアメタル膜BMの研磨レートは、絶縁膜IF2及びライナ膜IF1の研磨レートよりも高いことから、図13(b)に示すように、素子形成領域の絶縁膜IF2の研磨量を少なくして、バリアメタル膜BMを研磨することが可能である。また、貫通電極TEの研磨レートは、バリアメタル膜BMの研磨レートよりも低いことから、バリアメタル膜BMが貫通電極TEの底面から除去された後に、貫通電極TEが過剰に研磨されることはなく、貫通電極TEの研磨量を1μm以下に抑えることができる。   Further, since the polishing rate of the barrier metal film BM is higher than the polishing rate of the insulating film IF2 and the liner film IF1, the amount of polishing of the insulating film IF2 in the element formation region is reduced as shown in FIG. Thus, the barrier metal film BM can be polished. Further, since the polishing rate of the through electrode TE is lower than the polishing rate of the barrier metal film BM, the through electrode TE is excessively polished after the barrier metal film BM is removed from the bottom surface of the through electrode TE. In addition, the polishing amount of the through electrode TE can be suppressed to 1 μm or less.

これにより、貫通電極TEが形成されていない素子形成領域の半導体基板SWの裏面を絶縁膜IF2で確実に覆うことができる。また、半導体基板SWの裏面から突出する貫通電極TEの高さのばらつきを抑え、かつ、貫通電極TEの底面のバリアメタル膜BMを確実に除去することができる。よって、貫通電極TEを構成する銅(Cu)の半導体基板SW内への拡散を防止することができて、銅(Cu)汚染による半導体素子の動作特性の変動を抑えることができる。また、貫通電極TEの接続抵抗の増大を防止することができる。   Thereby, the back surface of the semiconductor substrate SW in the element formation region where the through electrode TE is not formed can be reliably covered with the insulating film IF2. In addition, it is possible to suppress variations in the height of the through electrode TE protruding from the back surface of the semiconductor substrate SW and to reliably remove the barrier metal film BM on the bottom surface of the through electrode TE. Therefore, diffusion of copper (Cu) constituting the through electrode TE into the semiconductor substrate SW can be prevented, and fluctuations in operating characteristics of the semiconductor element due to copper (Cu) contamination can be suppressed. In addition, an increase in connection resistance of the through electrode TE can be prevented.

次に、図14に示すように、ガラス支持体GH及び接着層CLを除去する。その後、半導体素子及び貫通電極TE等が形成された半導体基板SWをダイシングラインに沿ってダイシングして、半導体装置を個々に分割する。以上の工程により、半導体装置が略完成する。   Next, as shown in FIG. 14, the glass support GH and the adhesive layer CL are removed. Thereafter, the semiconductor substrate SW on which the semiconductor element, the through electrode TE, and the like are formed is diced along dicing lines to divide the semiconductor device individually. The semiconductor device is substantially completed through the above steps.

このように、実施の形態によれば、貫通電極TEを構成する銅(Cu)の半導体基板SW内への拡散を防止することができて、銅(Cu)汚染による半導体素子の動作特性の変動を抑えることができる。また、貫通電極TEの接続抵抗の増大を防止することができる。これらにより、半導体装置の信頼性の低下を回避することができる。   Thus, according to the embodiment, diffusion of copper (Cu) constituting the through electrode TE into the semiconductor substrate SW can be prevented, and fluctuations in operating characteristics of the semiconductor element due to copper (Cu) contamination. Can be suppressed. In addition, an increase in connection resistance of the through electrode TE can be prevented. As a result, it is possible to avoid a decrease in the reliability of the semiconductor device.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

ATSV TSV形成領域
B1,B2,BM,BP バリアメタル膜
CB 銅バンプ
CE 接続電極(プラグ)
CL 接着層
CN 接続孔
CP 銅めっき膜
GE ゲート電極
GH ガラス支持体
GI ゲート絶縁膜
IF1 ライナ膜(第1絶縁膜)
IF2 絶縁膜(第2絶縁膜)
IR 分離部
IL 絶縁膜
ILD,ILD1,ILD2,ILD3 層間絶縁膜
M1,M2,M3,M4,M5,M6 配線
MC 接続パッド
PW p型ウェル
RS 封止樹脂
S1 主面(表面、第1主面)
S2 裏面(第2主面)
SB 外部端子(はんだボール)
SC 半導体チップ(半導体基板)
SD n型半導体領域
SIF ストッパ絶縁膜
SL サイドウォール
SW 半導体基板
TA 溝
TB 接続孔
TE 貫通電極
TRa 配線形成用の溝
TRb 接続パッド形成用の溝
VI ビア(貫通穴、接続孔)
VO 開口部
ATSV TSV formation region B1, B2, BM, BP Barrier metal film CB Copper bump CE Connection electrode (plug)
CL adhesive layer CN connection hole CP copper plating film GE gate electrode GH glass support GI gate insulating film IF1 liner film (first insulating film)
IF2 insulating film (second insulating film)
IR isolation part IL Insulating film ILD, ILD1, ILD2, ILD3 Interlayer insulating film M1, M2, M3, M4, M5, M6 Wiring MC Connection pad PW p-type well RS Sealing resin S1 Main surface (surface, first main surface)
S2 Back side (second main surface)
SB External terminal (solder ball)
SC semiconductor chip (semiconductor substrate)
SD n-type semiconductor region SIF Stopper insulating film SL Side wall SW Semiconductor substrate TA Groove TB Connection hole TE Through electrode TRa Wiring formation groove TRb Connection pad formation groove VI Via (through hole, connection hole)
VO opening

Claims (6)

以下の工程を含む半導体装置の製造方法:
(a)第1厚さを有する半導体基板の第1主面に、複数のビアを形成する工程;
(b)前記複数のビアの内部に、第1絶縁膜及びバリア膜を介して複数の貫通電極を形成する工程;
(c)前記半導体基板を前記第1主面と反対側の第2主面から加工して、前記半導体基板の前記第1厚さを前記第1厚さよりも薄い第2厚さとし、前記第1絶縁膜及び前記バリア膜に被覆された前記複数の貫通電極の底部を前記半導体基板の前記第2主面から突出させる工程;
(d)前記工程(c)の後に、前記半導体基板の前記第2主面上に第2絶縁膜を形成する工程;
(e)前記工程(d)の後に、CMP法により、前記第2絶縁膜、前記第1絶縁膜及び前記バリア膜を研磨して、前記複数の貫通電極の底面を露出させる工程、
ここで、前記工程(e)における前記CMP法では、前記バリア膜の研磨レートが前記第2絶縁膜の研磨レートよりも5倍以上高く、前記複数の貫通電極の研磨レートが前記第2絶縁膜の研磨レートよりも2倍以上高いスラリを用いる。
A semiconductor device manufacturing method including the following steps:
(A) forming a plurality of vias on a first main surface of a semiconductor substrate having a first thickness;
(B) forming a plurality of through electrodes in the plurality of vias via a first insulating film and a barrier film;
(C) processing the semiconductor substrate from a second main surface opposite to the first main surface to make the first thickness of the semiconductor substrate a second thickness smaller than the first thickness; Projecting bottom portions of the plurality of through electrodes covered with an insulating film and the barrier film from the second main surface of the semiconductor substrate;
(D) a step of forming a second insulating film on the second main surface of the semiconductor substrate after the step (c);
(E) After the step (d), polishing the second insulating film, the first insulating film, and the barrier film by a CMP method to expose bottom surfaces of the plurality of through electrodes,
Here, in the CMP method in the step (e), the polishing rate of the barrier film is five times higher than the polishing rate of the second insulating film, and the polishing rate of the plurality of through electrodes is the second insulating film. Use a slurry that is at least twice as high as the polishing rate.
請求項1記載の半導体装置の製造方法において、
前記工程(d)で形成される前記第2絶縁膜は、酸化シリコン膜と窒化シリコン膜との積層膜である。
In the manufacturing method of the semiconductor device according to claim 1,
The second insulating film formed in the step (d) is a laminated film of a silicon oxide film and a silicon nitride film.
請求項1記載の半導体装置の製造方法において、
前記工程(d)で形成される前記第2絶縁膜の厚さは、前記半導体基板の前記第2主面から突出する前記複数の貫通電極の高さよりも薄い。
In the manufacturing method of the semiconductor device according to claim 1,
The thickness of the second insulating film formed in the step (d) is smaller than the height of the plurality of through electrodes protruding from the second main surface of the semiconductor substrate.
請求項1記載の半導体装置の製造方法において、
前記半導体基板の前記第2主面から突出する前記複数の貫通電極の高さは、2〜5μmである。
In the manufacturing method of the semiconductor device according to claim 1,
A height of the plurality of through electrodes protruding from the second main surface of the semiconductor substrate is 2 to 5 μm.
請求項1記載の半導体装置の製造方法において、
前記複数の貫通電極が形成されていない領域における前記第2絶縁膜の研磨レートは、5nm/min以下である。
In the manufacturing method of the semiconductor device according to claim 1,
The polishing rate of the second insulating film in the region where the plurality of through electrodes are not formed is 5 nm / min or less.
請求項1記載の半導体装置の製造方法において、
前記複数の貫通電極は、銅または銅を主成分とする合金膜であり、
前記バリア膜は、チタン、タンタル、コバルト、ルテニウムまたはニッケルからなる金属膜、チタン、タンタル、コバルト、ルテニウムまたはニッケルを主成分とする合金膜、金属材料が互いに異なる複数の前記金属膜からなる積層膜、金属材料が互いに異なる複数の前記合金膜からなる積層膜、あるいは金属材料が互いに異なる前記金属膜及び前記合金膜からなる積層膜であり、
前記第1絶縁膜は、酸化シリコン膜、炭素含有酸化シリコン膜または有機絶縁膜である。
In the manufacturing method of the semiconductor device according to claim 1,
The plurality of through electrodes are copper or an alloy film containing copper as a main component,
The barrier film includes a metal film made of titanium, tantalum, cobalt, ruthenium or nickel, an alloy film containing titanium, tantalum, cobalt, ruthenium or nickel as a main component, and a laminated film made of a plurality of the metal films having different metal materials. A laminated film made of a plurality of the alloy films having different metal materials, or a laminated film made of the metal film and the alloy film having different metal materials,
The first insulating film is a silicon oxide film, a carbon-containing silicon oxide film, or an organic insulating film.
JP2012184001A 2012-08-23 2012-08-23 Manufacturing method of semiconductor device Expired - Fee Related JP5960549B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012184001A JP5960549B2 (en) 2012-08-23 2012-08-23 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012184001A JP5960549B2 (en) 2012-08-23 2012-08-23 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2014041951A true JP2014041951A (en) 2014-03-06
JP5960549B2 JP5960549B2 (en) 2016-08-02

Family

ID=50393973

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012184001A Expired - Fee Related JP5960549B2 (en) 2012-08-23 2012-08-23 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5960549B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR20160033489A (en) * 2014-09-18 2016-03-28 에스케이하이닉스 주식회사 Semiconductor device having through via, semiconductor package including the same and the method for manufacturing semiconductor device
WO2019078029A1 (en) * 2017-10-19 2019-04-25 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device, image pickup apparatus, and manufacturing apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6697411B2 (en) 2017-03-29 2020-05-20 キオクシア株式会社 Method of manufacturing semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310817A (en) * 2004-04-16 2005-11-04 Seiko Epson Corp Method of manufacturing semiconductor device, circuit board, and electronic apparatus
US20120074584A1 (en) * 2010-09-27 2012-03-29 Samsung Electronics Co., Ltd. Multi-layer tsv insulation and methods of fabricating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310817A (en) * 2004-04-16 2005-11-04 Seiko Epson Corp Method of manufacturing semiconductor device, circuit board, and electronic apparatus
US20120074584A1 (en) * 2010-09-27 2012-03-29 Samsung Electronics Co., Ltd. Multi-layer tsv insulation and methods of fabricating the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US9064941B2 (en) 2012-08-03 2015-06-23 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR20160033489A (en) * 2014-09-18 2016-03-28 에스케이하이닉스 주식회사 Semiconductor device having through via, semiconductor package including the same and the method for manufacturing semiconductor device
KR102222485B1 (en) * 2014-09-18 2021-03-04 에스케이하이닉스 주식회사 Semiconductor device having through via, semiconductor package including the same and the method for manufacturing semiconductor device
WO2019078029A1 (en) * 2017-10-19 2019-04-25 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device, image pickup apparatus, and manufacturing apparatus
US11444005B2 (en) 2017-10-19 2022-09-13 Sony Semiconductor Solutions Corporation Semiconductor device, imaging device, and manufacturing apparatus

Also Published As

Publication number Publication date
JP5960549B2 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
JP5955706B2 (en) Semiconductor device and manufacturing method thereof
TWI503981B (en) Through-substrate vias and methods for forming the same
JP5622433B2 (en) Semiconductor device and manufacturing method thereof
TWI512896B (en) Metal pad structure over tsv to reduce shorting of upper metal layer
TWI397972B (en) Semiconductor device manufacturing method
TWI569366B (en) Devices, systems and methods for manufacturing through-substrate vias and front-side structures
US9853005B2 (en) Semiconductor device and method of manufacturing the same
US9978637B2 (en) Mechanism for forming patterned metal pad connected to multiple through silicon vias (TSVs)
US8658529B2 (en) Method for manufacturing semiconductor device
US20110298097A1 (en) Semiconductor device and method for manufacturing the same
JP5601380B2 (en) Manufacturing method of semiconductor device
JP2015079960A (en) Integrated circuit element and method for manufacturing the same
US20160118355A1 (en) Planar passivation for pads
JP5960549B2 (en) Manufacturing method of semiconductor device
TW201721746A (en) Semiconductor device and method of manufacturing semiconductor device
US7553743B2 (en) Wafer bonding method of system in package
TW202133369A (en) Semiconductor device and manufacturing method thereof characterized in that the semiconductor device can form a solder pad suitable for bonding
TWI760831B (en) Semiconductor device and method of manufacturing the same
JP6173531B2 (en) Semiconductor device and manufacturing method thereof
JP5041088B2 (en) Semiconductor device
JP5291946B2 (en) Semiconductor device and manufacturing method thereof
TWI595671B (en) Capacitor fabricating method
JP4007317B2 (en) Semiconductor device and manufacturing method thereof
TW201639162A (en) Semiconductor device and manufacturing method thereof
KR20110078186A (en) Method for fabricating system in package

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160623

R150 Certificate of patent or registration of utility model

Ref document number: 5960549

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees