JP2013534712A - Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element - Google Patents

Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element Download PDF

Info

Publication number
JP2013534712A
JP2013534712A JP2012556308A JP2012556308A JP2013534712A JP 2013534712 A JP2013534712 A JP 2013534712A JP 2012556308 A JP2012556308 A JP 2012556308A JP 2012556308 A JP2012556308 A JP 2012556308A JP 2013534712 A JP2013534712 A JP 2013534712A
Authority
JP
Japan
Prior art keywords
plasma
doping
substrate
processed
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012556308A
Other languages
Japanese (ja)
Inventor
紳治 久保田
正弘 堀込
博一 上田
孝明 松岡
俊久 野沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012556308A priority Critical patent/JP2013534712A/en
Publication of JP2013534712A publication Critical patent/JP2013534712A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】ドーピングにおいて、被処理基板に対するダメージを低減すると共に、均一な処理を行うことができるプラズマドーピング装置を提供する。
【解決手段】プラズマドーピング装置31は、その内部で被処理基板にドーパントを注入させる処理容器32と、処理容器32内にドーピングガスおよびプラズマ励起用の不活性ガスを供給するガス供給部33と、処理容器32内に配置され、その上で被処理基板Wを支持する支持台34と、マイクロ波を用い、処理容器32内にプラズマを発生させるプラズマ発生機構39と、支持台34にバイアス電力を供給可能な高周波電源58と、プラズマドーピング装置31を制御する制御部28とを備える。ここで、制御部28は、ドーパントのイオンエネルギーが100eV未満となるよう高周波電源58により供給するバイアス電力を制御する。
【選択図】図2
Disclosed is a plasma doping apparatus capable of reducing damage to a substrate to be processed and performing uniform processing in doping.
A plasma doping apparatus includes a processing vessel for injecting a dopant into a substrate to be processed therein, a gas supply unit for supplying a doping gas and an inert gas for plasma excitation into the processing vessel, A biasing power is applied to the support base 34, which is disposed in the processing container 32 and supports the substrate W to be processed thereon, a plasma generation mechanism 39 that generates plasma in the processing container 32 using microwaves, and the support base 34. A high-frequency power source 58 that can be supplied and a control unit 28 that controls the plasma doping apparatus 31 are provided. Here, the control unit 28 controls the bias power supplied from the high-frequency power source 58 so that the ion energy of the dopant is less than 100 eV.
[Selection] Figure 2

Description

この発明は、プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子に関するものであり、特に、半導体素子を製造する際に使用されるプラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子に関するものである。   The present invention relates to a plasma doping apparatus, a plasma doping method, a method for manufacturing a semiconductor element, and a semiconductor element, and in particular, a plasma doping apparatus, a plasma doping method, and a semiconductor element used for manufacturing a semiconductor element. The present invention relates to a method and a semiconductor device.

LSI(Large Scale Integrated circuit)やMOS(Metal Oxide Semiconductor)トランジスタ等の半導体素子は、被処理基板となる半導体基板(ウェハ)に対して、ドーピング、エッチング、CVD(Chemical Vapor Deposition)、スパッタリング等の処理を施して製造される。   Semiconductor elements such as LSI (Large Scale Integrated Circuit) and MOS (Metal Oxide Semiconductor) transistors are used for doping, etching, CVD (Chemical Vapor Deposition), sputtering, etc. on a semiconductor substrate (wafer) to be processed. It is manufactured by applying.

ここで、被処理基板にドーパントを注入、すなわち、ドーピングを行うイオン注入に関し、プラズマを用いてドーピングを行うプラズマドーピング装置に関する技術が、特開2008−300687号公報(特許文献1)に開示されている。特許文献1によると、マイクロ波を利用して発生させたプラズマを用い、所定のドーパントのドーピングを行うこととしている。   Here, a technique related to a plasma doping apparatus that performs doping using a plasma in relation to ion implantation for doping a substrate to be processed, that is, doping, is disclosed in Japanese Patent Laid-Open No. 2008-300687 (Patent Document 1). Yes. According to Patent Document 1, a predetermined dopant is doped using plasma generated using microwaves.

特開2008−300687号公報JP 2008-300687 A

被処理基板に対するドーパントの注入については、ドーズ量の制御等の容易性の観点から、従来においては、イオン注入装置が用いられていた。しかし、このようなイオン注入装置によると、以下の問題があった。   Conventionally, an ion implantation apparatus has been used for implanting a dopant into a substrate to be processed from the viewpoint of ease of controlling a dose amount. However, such an ion implantation apparatus has the following problems.

従来のイオン注入装置は、イオンクラスターをドーピング対象物である被処理基板に順次衝突させてイオン注入を行う方式であるが、イオンのエネルギーが高いためにドーピング時における結晶構造へのダメージが生じやすく、ドーピングされる側の結晶構造を大きくアモルファス化していた。このようなアモルファス化された層においては、ドーピングの後の処理となるアニール工程において回復を行うことができるが、アモルファス層の形成領域が多かったり、深かったりすると、このアニール工程についても長時間、および高温での処理が要求される。   The conventional ion implantation apparatus is a system in which ion clusters are sequentially collided with a substrate to be processed as a doping target, and ion implantation is performed. However, since the ion energy is high, damage to the crystal structure during doping is likely to occur. The crystal structure on the doped side has been made largely amorphous. In such an amorphized layer, recovery can be performed in an annealing process that is a process after doping, but if the formation region of the amorphous layer is large or deep, this annealing process also takes a long time. And processing at high temperatures is required.

また、ドーピング時に意図しない金属イオン等の汚染物質もドーピングしてしまうおそれもあった。すなわち、エネルギーの高いイオンが被処理基板のみならず、処理装置内部を構成する部材に衝突し、そこから意図しない金属イオン等の汚染物質が発生する。そして、このような意図しない金属イオン等の汚染物質が、まだドーピングを行っていない被処理基板上の領域に堆積する。それらの汚染物質が、イオン注入の際にドーパントと共に被処理基板の内部に打ち込まれてしまう問題があった。特に、昨今では、30nmトランジスタにおいて要求されるPN接合時の接合耐圧や接合リークの低減の観点から、極浅接合(Xj)時における被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減が求められる。さらに、イオン注入装置では、被処理基板の上方側からイオンクラスターを衝突させてドーピングを行っているため、等方的なイオン注入が困難であった。例えば、アスペクト比の高い凹部の形成された被処理基板に対して、上部側の層と側部側の層とを、均一に、具体的には、所望のドーピング深さにほぼ同量のドーピングを行うことが困難である。すなわち、等方的なドーピングを行うのが難しいという問題もある。   In addition, there is a risk that contaminants such as unintended metal ions may be doped during doping. That is, ions having high energy collide with not only the substrate to be processed but also members constituting the inside of the processing apparatus, and unintentional contaminants such as metal ions are generated therefrom. Such unintentional contaminants such as metal ions are deposited in a region on the substrate to be processed that has not been doped. There has been a problem that these contaminants are implanted into the substrate to be processed together with the dopant during ion implantation. In particular, in recent years, from the viewpoint of reducing the junction breakdown voltage and junction leakage at the PN junction required in a 30 nm transistor, the damage to the substrate to be processed at the ultra-shallow junction (Xj) is reduced, and the contamination of the doped contaminants Reduction is required. Further, in the ion implantation apparatus, isotropic ion implantation is difficult because doping is performed by colliding ion clusters from above the substrate to be processed. For example, with respect to the substrate to be processed having a concave portion with a high aspect ratio, the upper side layer and the side side layer are uniformly and, more specifically, doped in substantially the same amount to a desired doping depth. Is difficult to do. That is, there is a problem that isotropic doping is difficult.

ここで、ICP(Inductively−coupled Plasma)等により発生させたプラズマを用いてドーピングを行うことも考えられる。しかし、ICPプラズマによっても、電子温度が比較的高い状態でプラズマドーピングを行うことになり、発生するイオンエネルギーが大きいために、被処理基板に対するプラズマダメージは大きい。よって、さらなるダメージの低減が求められている。さらに、昨今においては、ドーピング時における処理の均一性の向上も強く要求されていることから、ICP等を用いたプラズマドーピングを行う際の課題となっている。   Here, it is conceivable to perform doping using plasma generated by ICP (Inductively-coupled Plasma) or the like. However, even with ICP plasma, plasma doping is performed in a state where the electron temperature is relatively high, and the generated ion energy is large, so that plasma damage to the substrate to be processed is large. Therefore, further reduction of damage is required. Furthermore, in recent years, improvement in processing uniformity at the time of doping is also strongly demanded, which is a problem when performing plasma doping using ICP or the like.

この発明の目的は、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができるプラズマドーピング装置を提供することである。   An object of the present invention is to provide a plasma doping apparatus capable of reducing damage to a substrate to be processed and reducing contaminants to be doped.

この発明の他の目的は、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができるプラズマドーピング方法を提供することである。   Another object of the present invention is to provide a plasma doping method capable of reducing damage to a substrate to be processed and reducing contaminants to be doped.

この発明のさらに他の目的は、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる半導体素子の製造方法を提供することである。   Still another object of the present invention is to provide a method of manufacturing a semiconductor device capable of reducing damage to a substrate to be processed and reducing contaminants to be doped.

この発明のさらに他の目的は、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる半導体素子を提供することである。   Still another object of the present invention is to provide a semiconductor device capable of reducing damage to a substrate to be processed and reducing contaminants to be doped.

この発明に係るプラズマドーピング装置は、プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング装置であって、その内部で被処理基板にドーパントを注入させる処理容器と、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給するガス供給部と、処理容器内に配置され、その上で被処理基板を支持する支持台と、マイクロ波を用い、処理容器内にプラズマを発生させるプラズマ発生機構と、処理容器内の圧力を調整する圧力調整機構と、支持台にバイアス電力を供給可能なバイアス電力供給機構と、プラズマドーピング装置を制御する制御部とを備える。制御部は、ドーパントのイオンエネルギーが100eV未満となるようバイアス電力供給機構により供給するバイアス電力を制御する。   A plasma doping apparatus according to the present invention is a plasma doping apparatus that performs doping by injecting a dopant into a substrate to be processed using plasma, and a processing container for injecting the dopant into the substrate to be processed therein, and a processing container A gas supply unit for supplying a doping gas and an inert gas for plasma excitation therein, a support base disposed in the processing container and supporting a substrate to be processed thereon, and a plasma in the processing container using microwaves A plasma generating mechanism for generating the pressure, a pressure adjusting mechanism for adjusting the pressure in the processing container, a bias power supply mechanism capable of supplying bias power to the support base, and a controller for controlling the plasma doping apparatus. The control unit controls the bias power supplied by the bias power supply mechanism so that the ion energy of the dopant is less than 100 eV.

このように、プラズマを用いてドーピングを行う際に、マイクロ波を用いてプラズマを発生させ、プラズマドーピング時におけるドーパントのイオンエネルギーを100eV未満となるようにバイアス電力が制御されているため、プラズマドーピング時における被処理基板に対するプラズマダメージを低減することができると共に、ドーピング時における結晶構造のアモルファス化を抑制することができる。このようなアモルファス化の抑制により、被処理基板の表面状態を良好にすることができると共に、後に形成されたアモルファス層の回復を行うためのアニール処理の省略や簡略化したりすることができる。また、このようなマイクロ波を用いたプラズマによるドーピングによると、被処理基板の全面をほぼ一様に処理することができると共に、ドーピング時にプラズマ励起用の不活性ガスの供給による被処理基板の表面状態のクリーニングを行うことができるため、コンタミとなる金属イオンや意図しない不純物としてのイオン等の汚染物質のドーピングのおそれを低減することができる。さらには、このような汚染物質の堆積がないため、堆積物によるエネルギーのロスが少なく、低いエネルギーでより深くドーピングすることができる。また、被処理基板の形状に起因することなく、一様に表層から所望の深さにドーピングすることができるため、アスペクト比の高い凹部が形成された被処理基板であっても、均一な処理を行うことができる。すなわち、等方的なドーピングを行うことができる。したがって、このようにしてドーピングされた層は、その特性が非常に良好である。以上より、このようなプラズマドーピング装置は、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる。   Thus, when doping is performed using plasma, plasma is generated using microwaves, and the bias power is controlled so that the ion energy of the dopant during plasma doping is less than 100 eV. At the same time, plasma damage to the substrate to be processed can be reduced, and the amorphous structure of the crystal structure at the time of doping can be suppressed. By suppressing the amorphization, the surface state of the substrate to be processed can be improved, and the annealing process for recovering the amorphous layer formed later can be omitted or simplified. Moreover, according to such plasma doping using microwaves, the entire surface of the substrate to be processed can be processed almost uniformly, and the surface of the substrate to be processed by supplying an inert gas for plasma excitation during doping. Since the state can be cleaned, it is possible to reduce the risk of doping with contaminants such as metal ions that become contaminants or ions as unintended impurities. Furthermore, since there is no deposition of such contaminants, energy loss due to the deposit is small, and deeper doping can be performed with low energy. In addition, since it can be uniformly doped from the surface layer to a desired depth without causing the shape of the substrate to be processed, even a substrate to be processed having a recess with a high aspect ratio can be processed uniformly. It can be performed. That is, isotropic doping can be performed. Thus, the layer doped in this way has very good properties. As described above, such a plasma doping apparatus can reduce damage to the substrate to be processed and reduce contaminants to be doped.

この発明の他の局面において、プラズマドーピング方法は、プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング方法であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう支持台にバイアス電力を供給し、被処理基板にドーパントを注入する。   In another aspect of the present invention, a plasma doping method is a plasma doping method that performs doping by injecting a dopant into a substrate to be processed using plasma, the plasma doping method being performed on a support table disposed in a processing vessel. A substrate is supported, a doping gas and an inert gas for plasma excitation are supplied into the processing container, plasma is generated in the processing container using microwaves, and the ion energy of the dopant is less than 100 eV. Bias power is supplied to inject dopant into the substrate to be processed.

この発明のさらに他の局面において、プラズマドーピング方法は、プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング方法であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させて、支持台にバイアス電力を供給せずに被処理基板にドーパントを注入する。   In still another aspect of the present invention, a plasma doping method is a plasma doping method for performing doping by injecting a dopant into a substrate to be processed using plasma, the plasma doping method being performed on a support base disposed in a processing container. A processing substrate is supported, a doping gas and an inert gas for plasma excitation are supplied into the processing container, plasma is generated in the processing container using microwaves, and a bias power is not supplied to the support base. A dopant is implanted into the processing substrate.

この発明のさらに他の局面において、半導体素子の製造方法は、プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子の製造方法であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう支持台にバイアス電力を供給し、被処理基板にドーパントを注入する工程を含む。   In still another aspect of the present invention, a method for manufacturing a semiconductor device is a method for manufacturing a semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma, and a support disposed in a processing container. A substrate to be processed is supported on a stage, a doping gas and an inert gas for plasma excitation are supplied into the processing container, plasma is generated in the processing container using microwaves, and the ion energy of the dopant is less than 100 eV. A step of supplying a bias power to the support base and implanting a dopant into the substrate to be processed is included.

この発明のさらに他の局面において、半導体素子の製造方法は、プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子の製造方法であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させ、支持台にバイアス電力を供給せずに被処理基板にドーパントを注入する工程を含む。   In still another aspect of the present invention, a method for manufacturing a semiconductor device is a method for manufacturing a semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma, and a support disposed in a processing container. A substrate to be processed is supported on a table, a doping gas and an inert gas for plasma excitation are supplied into the processing container, plasma is generated in the processing container using microwaves, and bias power is supplied to the supporting table. And a step of implanting a dopant into the substrate to be processed.

この発明のさらに他の局面において、半導体素子は、プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう支持台にバイアス電力を供給し、被処理基板にドーパントを注入して製造される。   In still another aspect of the present invention, the semiconductor element is a semiconductor element manufactured by injecting a dopant into a substrate to be processed using plasma, and the substrate to be processed is placed on a support table disposed in the processing container. A doping gas and an inert gas for plasma excitation are supplied into the processing vessel, plasma is generated in the processing vessel using microwaves, and a bias is applied to the support so that the ion energy of the dopant is less than 100 eV. It is manufactured by supplying electric power and injecting a dopant into a substrate to be processed.

この発明のさらに他の局面において、半導体素子は、プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子であって、処理容器内に配置された支持台上に被処理基板を支持し、処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて処理容器内にプラズマを発生させ、支持台にバイアス電力を供給せずに被処理基板にドーパントを注入して製造される。   In still another aspect of the present invention, the semiconductor element is a semiconductor element manufactured by injecting a dopant into a substrate to be processed using plasma, and the substrate to be processed is placed on a support table disposed in the processing container. Supporting the substrate, supplying a doping gas and an inert gas for plasma excitation into the processing container, generating a plasma in the processing container using microwaves, and supplying a bias power to the substrate to be processed without supplying a bias power to the support table Manufactured by implanting dopant.

このようなプラズマドーピング装置、プラズマドーピング方法、および半導体素子の製造方法によると、プラズマを用いてドーピングを行う際に、マイクロ波を用いてプラズマを発生させ、プラズマドーピング時におけるドーパントのイオンエネルギーを100eV未満となるようにバイアス電力が制御されているため、プラズマドーピング時における被処理基板に対するプラズマダメージを低減することができると共に、ドーピング時における結晶構造のアモルファス化を抑制することができる。このようなアモルファス化の抑制により、被処理基板の表面状態を良好にすることができると共に、後に形成されたアモルファス層の回復を行うためのアニール処理の省略や簡略化したりすることができる。また、このようなマイクロ波を用いたプラズマによるドーピングによると、被処理基板の全面をほぼ一様に処理することができると共に、ドーピング時にプラズマ励起用の不活性ガスの供給による被処理基板の表面状態のクリーニングを行うことができるため、コンタミとなる金属イオンや意図しない不純物としてのイオン等の汚染物質のドーピングのおそれを低減することができる。さらには、このような汚染物質の堆積がないため、堆積物によるエネルギーのロスが少なく、低いエネルギーでより深くドーピングすることができる。また、被処理基板の形状に起因することなく、一様に表層から所望の深さにドーピングすることができるため、アスペクト比の高い凹部が形成された被処理基板であっても、均一な処理を行うことができる。すなわち、等方的なドーピングを行うことができる。したがって、このようにしてドーピングされた層は、その特性が非常に良好である。以上より、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる。   According to such a plasma doping apparatus, a plasma doping method, and a semiconductor device manufacturing method, when doping is performed using plasma, plasma is generated using microwaves, and the ion energy of the dopant during plasma doping is set to 100 eV. Since the bias power is controlled to be less than the value, plasma damage to the substrate to be processed at the time of plasma doping can be reduced, and the amorphous structure of the crystal structure at the time of doping can be suppressed. By suppressing the amorphization, the surface state of the substrate to be processed can be improved, and the annealing process for recovering the amorphous layer formed later can be omitted or simplified. Moreover, according to such plasma doping using microwaves, the entire surface of the substrate to be processed can be processed almost uniformly, and the surface of the substrate to be processed by supplying an inert gas for plasma excitation during doping. Since the state can be cleaned, it is possible to reduce the risk of doping with contaminants such as metal ions that become contaminants or ions as unintended impurities. Furthermore, since there is no deposition of such contaminants, energy loss due to the deposit is small, and deeper doping can be performed with low energy. In addition, since it can be uniformly doped from the surface layer to a desired depth without causing the shape of the substrate to be processed, even a substrate to be processed having a recess with a high aspect ratio can be processed uniformly. It can be performed. That is, isotropic doping can be performed. Thus, the layer doped in this way has very good properties. As described above, damage to the substrate to be processed can be reduced and contaminants to be doped can be reduced.

また、このような半導体素子は、被処理基板へのダメージが軽減されており、ドーピングされる汚染物質の低減が図られている。   Further, in such a semiconductor element, damage to a substrate to be processed is reduced, and a contaminant to be doped is reduced.

MOS型半導体素子の一部を示す概略断面図である。It is a schematic sectional drawing which shows a part of MOS type semiconductor element. この発明の一実施形態に係る半導体素子の製造方法に用いられるプラズマドーピング装置の要部を示す概略断面図である。It is a schematic sectional drawing which shows the principal part of the plasma doping apparatus used for the manufacturing method of the semiconductor element concerning one Embodiment of this invention. 図2に示すプラズマドーピング装置に含まれるスロットアンテナ板を板厚方向から見た図である。It is the figure which looked at the slot antenna board contained in the plasma doping apparatus shown in FIG. 2 from the plate | board thickness direction. 誘電体窓の下面からの距離とプラズマの電子温度との関係を示すグラフである。It is a graph which shows the relationship between the distance from the lower surface of a dielectric material window, and the electron temperature of plasma. 誘電体窓の下面からの距離とプラズマの電子密度との関係を示すグラフである。It is a graph which shows the relationship between the distance from the lower surface of a dielectric material window, and the electron density of plasma. ICPおよびRLSAプラズマにおける電子温度と電子密度との関係を示すグラフである。It is a graph which shows the relationship between the electron temperature and electron density in ICP and RLSA plasma. プラズマドーピングを行う前のシリコン基板の概略図である。It is the schematic of the silicon substrate before performing plasma doping. プラズマを生成させた状態におけるシリコン基板の概略図である。It is a schematic diagram of a silicon substrate in the state where plasma was generated. プラズマドーピングを行ったシリコン基板の概略図である。It is the schematic of the silicon substrate which performed plasma doping. イオン注入装置において、イオンクラスターをシリコン基板に衝突させた状態を示す概略図である。It is the schematic which shows the state which made the ion cluster collide with the silicon substrate in an ion implantation apparatus. イオン注入装置においてドーピングを行ったシリコン基板の概略図である。It is the schematic of the silicon substrate which doped in the ion implantation apparatus. ICPによるドーピングにおいて、DCパルスバイアスがオフの場合の概略図である。It is the schematic in the case where DC pulse bias is OFF in doping by ICP. ICPによるドーピングにおいて、DCパルスバイアスがオンの場合の概略図である。FIG. 6 is a schematic diagram when a DC pulse bias is on in doping by ICP. P(リン)を本願発明に係るプラズマドーピング装置でドーピングした場合の被処理基板の一部をアトムプローブで分析した状態を示す図である。It is a figure which shows the state which analyzed a part of to-be-processed substrate at the time of doping with P (phosphorus) with the plasma doping apparatus which concerns on this invention with the atom probe. バイアス電力が0Wの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of the silicon substrate when the bias power is 0 W. バイアス電力が100Wの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W. バイアス電力が200Wの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of the silicon substrate when the bias power is 200 W. バイアス電力が300Wの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of a silicon substrate when bias power is 300W. ICPによりプラズマドーピングを行った場合のシリコン基板の表面付近におけるTEM写真である。It is a TEM photograph near the surface of a silicon substrate at the time of performing plasma doping by ICP. バイアス電力が100Wであって、バイアス周波数が13.56MHzの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W and the bias frequency is 13.56 MHz. バイアス電力が100Wであって、バイアス周波数が400kHzの場合のシリコン基板の表面付近のTEM写真である。It is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W and the bias frequency is 400 kHz. FinFET(Field Effect Transistor)の外観を示す概略図である。It is the schematic which shows the external appearance of FinFET (Field Effect Transistor). FinFET構造において、イオン注入装置によるドーピングを行う状態を示す概略図である。It is the schematic which shows the state which performs doping by an ion implantation apparatus in a FinFET structure. FinFET構造において、プラズマドーピングによるドーピングを行う状態を示す概略図である。It is the schematic which shows the state which performs doping by plasma doping in a FinFET structure. FinFET構造において、バイアス電力を0Wとしてプラズマドーピングを行った場合のSSRM(Scanning spreading resistance microscopy)イメージ図である。In a FinFET structure, it is an SSRM (Scanning Spreading Resistance Microscopy) image diagram when performing plasma doping with a bias power of 0 W. FIG. FinFET構造において、バイアス電力を100Wとし、バイアス周波数を400kHzとしてプラズマドーピングを行った場合のSSRMイメージ図である。In the FinFET structure, it is an SSRM image diagram when plasma doping is performed with a bias power of 100 W and a bias frequency of 400 kHz. FinFET構造において、バイアス電力を100Wとし、バイアス周波数を13.56MHzとしてプラズマドーピングを行った場合のSSRMイメージ図である。In the FinFET structure, it is an SSRM image diagram when plasma doping is performed with a bias power of 100 W and a bias frequency of 13.56 MHz.

以下、この発明の実施の形態を、図面を参照して説明する。まず、この発明の一実施形態に係る半導体素子の構成について説明する。図1は、この発明の一実施形態に係るMOS型半導体素子の一部を示す概略断面図である。なお、図1に示すMOS型半導体素子において、導電層をハッチングで示している。   Embodiments of the present invention will be described below with reference to the drawings. First, the configuration of a semiconductor element according to an embodiment of the present invention will be described. FIG. 1 is a schematic sectional view showing a part of a MOS type semiconductor device according to an embodiment of the present invention. In the MOS type semiconductor device shown in FIG. 1, the conductive layer is indicated by hatching.

図1によれば、MOS型半導体素子11には、シリコン基板12上に、素子分離領域13、p型ウェル14a、n型ウェル14b、高濃度n型不純物拡散領域15a、高濃度p型不純物拡散領域15b、n型不純物拡散領域16a、p型不純物拡散領域16b、およびゲート酸化膜17が形成されている。ゲート酸化膜17を間に挟むように形成される高濃度n型不純物拡散領域15aのいずれか一方、およびゲート酸化膜17を間に挟むように形成される高濃度p型不純物拡散領域15bのいずれか一方は、ドレインとなり、他方はソースとなる。   According to FIG. 1, the MOS type semiconductor element 11 includes an element isolation region 13, a p-type well 14a, an n-type well 14b, a high-concentration n-type impurity diffusion region 15a, and a high-concentration p-type impurity diffusion on a silicon substrate 12. Region 15b, n-type impurity diffusion region 16a, p-type impurity diffusion region 16b, and gate oxide film 17 are formed. Either of the high-concentration n-type impurity diffusion regions 15a formed with the gate oxide film 17 interposed therebetween, or any of the high-concentration p-type impurity diffusion regions 15b formed with the gate oxide film 17 interposed therebetween One of them becomes a drain and the other becomes a source.

また、ゲート酸化膜17の上には、導電層となるゲート電極18が形成されており、ゲート電極18の側部には、絶縁膜となるゲート側壁部19が形成される。さらに、上記したゲート電極18等が形成されたシリコン基板12の上には、絶縁膜21が形成される。絶縁膜21には、高濃度n型不純物拡散領域15aおよび高濃度p型不純物拡散領域15bに接続してコンタクトホール22が形成され、コンタクトホール22内には穴埋め電極23が形成される。さらにその上に導電層となるメタル配線層24が形成される。さらに、絶縁層となる層間絶縁膜(図示せず)および導電層となるメタル配線層を交互に形成し、最後に外部との接点となるパッド(図示せず)を形成する。このようにMOS型半導体素子11が形成されている。   In addition, a gate electrode 18 serving as a conductive layer is formed on the gate oxide film 17, and a gate sidewall 19 serving as an insulating film is formed on a side portion of the gate electrode 18. Furthermore, an insulating film 21 is formed on the silicon substrate 12 on which the gate electrode 18 and the like are formed. A contact hole 22 is formed in the insulating film 21 so as to be connected to the high-concentration n-type impurity diffusion region 15 a and the high-concentration p-type impurity diffusion region 15 b, and a buried electrode 23 is formed in the contact hole 22. Further, a metal wiring layer 24 serving as a conductive layer is formed thereon. Further, an interlayer insulating film (not shown) to be an insulating layer and a metal wiring layer to be a conductive layer are alternately formed, and finally a pad (not shown) to be a contact point with the outside is formed. Thus, the MOS type semiconductor element 11 is formed.

この発明の一実施形態に係るMOS型半導体素子11は、n型不純物拡散領域16a、およびp型不純物拡散領域16bにおいて、後述するプラズマドーピング装置によって、ドーパントを注入、すなわち、ドーピングすることにより形成されている。具体的には、例えば、n型不純物拡散領域16aにおいて、ドーピングガスとしてB2H6ガスが用いられ、ドーパントとしてB(ボロン:ホウ素)が注入されている。このような領域においては、極浅接合、いわゆるシャロージャンクションの形成が要求され、接合耐圧や接合リークの低減が求められているが、後述するこの発明の一実施形態に係るプラズマドーピング装置によると、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる。   The MOS type semiconductor device 11 according to an embodiment of the present invention is formed by implanting a dopant in a n-type impurity diffusion region 16a and a p-type impurity diffusion region 16b by a plasma doping apparatus described later, that is, doping. ing. Specifically, for example, in the n-type impurity diffusion region 16a, B2H6 gas is used as a doping gas, and B (boron: boron) is implanted as a dopant. In such a region, formation of an ultra-shallow junction, so-called shallow junction is required, and a reduction in junction breakdown voltage and junction leakage is required, but according to a plasma doping apparatus according to an embodiment of the present invention described later, It is possible to reduce damage to the substrate to be processed and reduce contaminants to be doped.

次に、この発明の一実施形態に係る半導体素子の製造方法に用いられるプラズマドーピング装置の構成および動作について説明する。   Next, the configuration and operation of a plasma doping apparatus used in the method for manufacturing a semiconductor device according to one embodiment of the present invention will be described.

図2は、この発明の一実施形態に係るプラズマドーピング装置の要部を示す概略断面図である。また、図3は、図2に示すプラズマドーピング装置に含まれるスロットアンテナ板を下方側、すなわち、図2中の矢印IIIの方向から見た図である。なお、図2において、理解を容易とするために、部材の一部のハッチングを省略している。   FIG. 2 is a schematic cross-sectional view showing the main part of the plasma doping apparatus according to one embodiment of the present invention. FIG. 3 is a view of the slot antenna plate included in the plasma doping apparatus shown in FIG. 2 as viewed from below, that is, from the direction of arrow III in FIG. In FIG. 2, some of the members are not hatched for easy understanding.

図2および図3を参照して、プラズマドーピング装置31は、その内部で被処理基板Wにプラズマドーピングを行う処理容器32と、処理容器32内にプラズマ励起用のガスや、注入するドーパントの基となるドーピングガスを供給するガス供給部33と、その上で被処理基板Wを支持する円板状の支持台34と、マイクロ波を用い、処理容器32内にプラズマを発生させるプラズマ発生機構39と、プラズマドーピング装置31全体の動作を制御する制御部28とを備える。制御部28は、ガス供給部33におけるガス流量、処理容器32内の圧力等、プラズマドーピング装置31全体の制御を行う。   2 and 3, a plasma doping apparatus 31 includes a processing container 32 for performing plasma doping on the substrate W to be processed therein, a plasma excitation gas in the processing container 32, and a dopant base to be injected. A gas supply unit 33 for supplying a doping gas, a disk-like support base 34 for supporting the substrate W to be processed, and a plasma generating mechanism 39 for generating plasma in the processing chamber 32 using microwaves. And a control unit 28 that controls the operation of the entire plasma doping apparatus 31. The control unit 28 controls the entire plasma doping apparatus 31 such as the gas flow rate in the gas supply unit 33 and the pressure in the processing container 32.

処理容器32は、支持台34の下方側に位置する底部41と、底部41の外周から上方向に延びる側壁42とを含む。側壁42は、略円筒状である。処理容器32の底部41には、その一部を貫通するように排気用の排気孔43が設けられている。処理容器32の上部側は開口しており、処理容器32の上部側に配置される蓋部44、後述する誘電体窓36、および誘電体窓36と蓋部44との間に介在するシール部材としてのOリング45によって、処理容器32は密封可能に構成されている。   The processing container 32 includes a bottom portion 41 located on the lower side of the support base 34 and a side wall 42 extending upward from the outer periphery of the bottom portion 41. The side wall 42 is substantially cylindrical. An exhaust hole 43 for exhaust is provided in the bottom 41 of the processing container 32 so as to penetrate a part thereof. The upper side of the processing container 32 is open, and a lid 44 disposed on the upper side of the processing container 32, a dielectric window 36 described later, and a seal member interposed between the dielectric window 36 and the lid 44. The processing container 32 is configured to be hermetically sealed by an O-ring 45 as a sealing member.

ガス供給部33は、被処理基板Wの中央に向かってガスを吹付ける第一のガス供給部46と、被処理基板Wの外側からガスを吹付ける第二のガス供給部47とを含む。第一のガス供給部46においてガスを供給するガス供給孔30は、誘電体窓36の径方向中央であって、支持台34と対向する対向面となる誘電体窓36の下面48よりも誘電体窓36の内方側に後退した位置に設けられている。第一のガス供給部46は、第一のガス供給部46に接続されたガス供給系49により流量等を調整しながらプラズマ励起用の不活性ガスやドーピングガスを供給する。第二のガス供給部47は、側壁42の上部側の一部において、処理容器32内にプラズマ励起用の不活性ガスやドーピングガスを供給する複数のガス供給孔50を設けることにより形成されている。複数のガス供給孔50は、周方向に均等に設けられている。第一のガス供給部46および第二のガス供給部47には、同じガス供給源から同じ種類のプラズマ励起用の不活性ガスやドーピングガスが供給される。なお、要求や制御内容に応じて、第一のガス供給部46および第二のガス供給部47から別のガスを供給することもでき、それらの流量比等を調整することもできる。   The gas supply unit 33 includes a first gas supply unit 46 that blows gas toward the center of the substrate to be processed W, and a second gas supply unit 47 that blows gas from the outside of the substrate to be processed W. The gas supply hole 30 for supplying gas in the first gas supply section 46 is more dielectric than the lower surface 48 of the dielectric window 36 which is the center in the radial direction of the dielectric window 36 and faces the support base 34. It is provided at a position retracted inward of the body window 36. The first gas supply unit 46 supplies an inert gas and a doping gas for plasma excitation while adjusting a flow rate and the like by a gas supply system 49 connected to the first gas supply unit 46. The second gas supply unit 47 is formed by providing a plurality of gas supply holes 50 for supplying an inert gas and a doping gas for plasma excitation in the processing container 32 in a part of the upper side of the side wall 42. Yes. The plurality of gas supply holes 50 are equally provided in the circumferential direction. The first gas supply unit 46 and the second gas supply unit 47 are supplied with the same type of inert gas and doping gas for plasma excitation from the same gas supply source. In addition, according to a request | requirement and the content of control, another gas can also be supplied from the 1st gas supply part 46 and the 2nd gas supply part 47, and those flow ratios etc. can also be adjusted.

支持台34には、RF(radio frequency)バイアス用の高周波電源58がマッチングユニット59を介して支持台34内の電極に電気的に接続されている。この高周波電源58は、例えば、13.56MHzの高周波を所定の電力(バイアスパワー)で出力可能である。マッチングユニット59は、高周波電源58側のインピーダンスと、主に電極、プラズマ、処理容器32といった負荷側のインピーダンスとの間で整合をとるための整合器を収容しており、この整合器の中に自己バイアス生成用のブロッキングコンデンサが含まれている。   A high frequency power source 58 for RF (radio frequency) bias is electrically connected to the electrode in the support table 34 via the matching unit 59. The high frequency power supply 58 can output a high frequency of 13.56 MHz, for example, with a predetermined power (bias power). The matching unit 59 accommodates a matching unit for matching between the impedance on the high frequency power source 58 side and the impedance on the load side such as an electrode, plasma, and the processing vessel 32, and the matching unit is included in this matching unit. A blocking capacitor for self-bias generation is included.

支持台34は、静電チャック(図示せず)によりその上に被処理基板Wを支持可能である。また、支持台34は、加熱のためのヒータ(図示せず)等を備え、支持台34の内部に設けられた温度調整機構29により所望の温度に設定可能である。支持台34は、底部41の下方側から垂直上方に延びる絶縁性の筒状支持部51に支持されている。上記した排気孔43は、筒状支持部51の外周に沿って処理容器32の底部41の一部を貫通するように設けられている。環状の排気孔43の下方側には排気管(図示せず)を介して排気装置(図示せず)が接続されている。排気装置は、ターボ分子ポンプなどの真空ポンプを有している。排気装置により、処理容器32内を所定の圧力まで減圧することができる。   The support base 34 can support the substrate W to be processed thereon by an electrostatic chuck (not shown). The support table 34 includes a heater (not shown) for heating and the like, and can be set to a desired temperature by a temperature adjustment mechanism 29 provided in the support table 34. The support base 34 is supported by an insulating cylindrical support 51 that extends vertically upward from the lower side of the bottom 41. The exhaust hole 43 described above is provided so as to penetrate a part of the bottom 41 of the processing container 32 along the outer periphery of the cylindrical support part 51. An exhaust device (not shown) is connected to the lower side of the annular exhaust hole 43 via an exhaust pipe (not shown). The exhaust device has a vacuum pump such as a turbo molecular pump. The inside of the processing container 32 can be depressurized to a predetermined pressure by the exhaust device.

プラズマ発生機構39は、処理容器32外に設けられており、プラズマ励起用のマイクロ波を発生させるマイクロ波発生器35と、支持台34と対向する位置に配置され、マイクロ波発生器35により発生させたマイクロ波を処理容器32内に導入する誘電体窓36と、複数のスロット孔40が設けられており、誘電体窓36の上方側に配置され、マイクロ波を誘電体窓36に放射するスロットアンテナ板37と、スロットアンテナ板37の上方側に配置され、後述する同軸導波管56により導入されたマイクロ波を径方向に伝播する誘電体部材38とを含む。   The plasma generation mechanism 39 is provided outside the processing vessel 32, and is disposed at a position facing the microwave generator 35 for generating plasma excitation microwaves and the support base 34, and is generated by the microwave generator 35. A dielectric window 36 for introducing the microwaves into the processing container 32 and a plurality of slot holes 40 are provided. The dielectric window 36 is disposed above the dielectric window 36 and radiates the microwaves to the dielectric window 36. It includes a slot antenna plate 37 and a dielectric member 38 disposed above the slot antenna plate 37 and propagating in the radial direction a microwave introduced by a coaxial waveguide 56 described later.

マッチング機構53を有するマイクロ波発生器35は、モード変換器54および導波管55を介して、マイクロ波を導入する同軸導波管56の上部に接続されている。例えば、マイクロ波発生器35で発生させたTEモードのマイクロ波は、導波管55を通り、モード変換器54によりTEMモードへ変換され、同軸導波管56を伝播する。マイクロ波発生器35において発生させるマイクロ波の周波数としては、例えば、2.45GHzが選択される。   A microwave generator 35 having a matching mechanism 53 is connected to an upper portion of a coaxial waveguide 56 for introducing a microwave through a mode converter 54 and a waveguide 55. For example, a TE mode microwave generated by the microwave generator 35 passes through the waveguide 55, is converted to a TEM mode by the mode converter 54, and propagates through the coaxial waveguide 56. For example, 2.45 GHz is selected as the frequency of the microwave generated by the microwave generator 35.

誘電体窓36は、略円板状であって、誘電体で構成されている。誘電体窓36の下面48の一部には、導入されたマイクロ波による定在波の発生を容易にするためのテーパ状に凹んだ環状の凹部57が設けられている。この凹部57により、誘電体窓36の下部側にマイクロ波によるプラズマを効率的に生成することができる。なお、誘電体窓36の具体的な材質としては、石英やアルミナ等が挙げられる。   The dielectric window 36 has a substantially disk shape and is made of a dielectric. A part of the lower surface 48 of the dielectric window 36 is provided with an annular recess 57 that is recessed in a tapered shape for facilitating generation of a standing wave by the introduced microwave. Due to the concave portion 57, microwave plasma can be efficiently generated on the lower side of the dielectric window 36. Specific materials for the dielectric window 36 include quartz and alumina.

スロットアンテナ板37は、薄板状であって、円板状である。複数の長孔状のスロット孔40については、図3に示すように、一対のスロット孔40が略ハの字状に直交するように設けられており、一対をなしたスロット孔40が周方向に所定の間隔を開けて設けられている。また、径方向においても、複数の一対のスロット孔40が所定の間隔を開けて設けられている。   The slot antenna plate 37 has a thin plate shape and a disk shape. As shown in FIG. 3, the plurality of slot-shaped slot holes 40 are provided so that a pair of slot holes 40 are orthogonal to each other in a substantially letter C shape, and the pair of slot holes 40 is circumferential. Are provided at predetermined intervals. Also in the radial direction, a plurality of pairs of slot holes 40 are provided at predetermined intervals.

マイクロ波発生器35により発生させたマイクロ波は、同軸導波管56を通って、誘電体部材38に伝播される。マイクロ波は、その内部に冷媒等を循環させる循環路29を有し、誘電体部材38等の温度調整を行なう冷却ジャケット52とスロットアンテナ板37に挟まれた誘電体部材38の内部を径方向外側に向かって放射状に広がり、スロットアンテナ板37に設けられた複数のスロット孔40から誘電体窓36に放射される。誘電体窓36を透過したマイクロ波は、誘電体窓36の直下に電界を生じさせ、処理容器32内にプラズマを生成させる。すなわち、プラズマドーピング装置31において処理に供されるマイクロ波プラズマは、上記した構成の冷却ジャケット52、スロットアンテナ板37および誘電体部材38からなるラジアルラインスロットアンテナ(RLSA:Radial Line Slot Antena)から放射されるマイクロ波により処理容器32内に生成される。なお、以下の説明においては、このようにして生成されたプラズマを、RLSAプラズマという場合がある。   The microwave generated by the microwave generator 35 is propagated to the dielectric member 38 through the coaxial waveguide 56. The microwave has a circulation path 29 for circulating a refrigerant and the like inside thereof, and the inside of the dielectric member 38 sandwiched between the cooling jacket 52 and the slot antenna plate 37 for adjusting the temperature of the dielectric member 38 and the like is arranged in the radial direction. It spreads radially outward and is radiated to the dielectric window 36 from a plurality of slot holes 40 provided in the slot antenna plate 37. The microwave transmitted through the dielectric window 36 generates an electric field immediately below the dielectric window 36 and generates plasma in the processing chamber 32. That is, microwave plasma subjected to processing in the plasma doping apparatus 31 is radiated from a radial line slot antenna (RLSA) including the cooling jacket 52, the slot antenna plate 37, and the dielectric member 38 having the above-described configuration. Is generated in the processing container 32 by the microwave. In the following description, the plasma generated in this way may be referred to as RLSA plasma.

図4は、プラズマドーピング装置31においてプラズマを発生させた際の処理容器32内における誘電体窓36の下面48からの距離とプラズマの電子温度との関係を示すグラフである。図5は、プラズマドーピング装置31においてプラズマを発生させた際の処理容器32内における誘電体窓36の下面48からの距離とプラズマの電子密度との関係を示すグラフである。   FIG. 4 is a graph showing the relationship between the distance from the lower surface 48 of the dielectric window 36 in the processing chamber 32 and the plasma electron temperature when plasma is generated in the plasma doping apparatus 31. FIG. 5 is a graph showing the relationship between the distance from the lower surface 48 of the dielectric window 36 in the processing chamber 32 and the electron density of the plasma when plasma is generated in the plasma doping apparatus 31.

図4および図5を参照して、誘電体窓36の直下の領域、具体的には、一点鎖線で示すおおよそ10mm程度までの領域26は、いわゆるプラズマ生成領域と呼ばれる。この領域26においては、電子温度が高く、電子密度が1×1012cm−3よりも大きい。一方、二点鎖線で示す10mmを越える領域27は、プラズマ拡散領域と呼ばれる。この領域27においては、電子温度が1.0〜1.3eV程度、少なくとも1.5eVよりも低く、電子密度が1×1012cm−3程度、少なくとも1×1011cm−3よりも高い。後述する被処理基板Wに対するプラズマドーピングは、例えば、このようなプラズマ拡散領域で行われる。すなわち、プラズマドーピング工程は、被処理基板Wの表面近傍において、プラズマの電子温度が1.5eVよりも低く、かつプラズマの電子密度が1×1012cm−3よりも高いマイクロ波プラズマを用いることが望ましい。 Referring to FIGS. 4 and 5, the region immediately below dielectric window 36, specifically, region 26 up to approximately 10 mm indicated by the alternate long and short dash line is called a so-called plasma generation region. In this region 26, the electron temperature is high and the electron density is higher than 1 × 10 12 cm −3 . On the other hand, a region 27 exceeding 10 mm indicated by a two-dot chain line is called a plasma diffusion region. In this region 27, the electron temperature is about 1.0 to 1.3 eV, lower than at least 1.5 eV, and the electron density is higher than about 1 × 10 12 cm −3 , and at least higher than 1 × 10 11 cm −3 . Plasma doping for the substrate W to be processed, which will be described later, is performed in such a plasma diffusion region, for example. That is, in the plasma doping process, microwave plasma having a plasma electron temperature lower than 1.5 eV and a plasma electron density higher than 1 × 10 12 cm −3 is used in the vicinity of the surface of the substrate W to be processed. Is desirable.

なお、参考までに、ICPにおける電子温度と電子密度の関係について説明する。図6は、ICPおよび上記したRLSAを用いたマイクロ波プラズマ、いわゆるRLSAプラズマにおける電子温度と電子密度との関係を示すグラフである。図6中、縦軸は、電子温度(eV)を示し、横軸は、電子密度(/cm)を示す。図6中、黒三角印が、処理容器内の圧力を20mTorrとしたICPの場合を示し、白抜き三角印が、処理容器内の圧力を50mTorrとしたICPの場合を示し、黒丸印が、処理容器内の圧力を20mTorrとしたRLSAプラズマの場合を示し、白抜き丸印が、処理容器内の圧力を50mTorrとしたRLSAプラズマの場合を示す。 For reference, the relationship between the electron temperature and the electron density in ICP will be described. FIG. 6 is a graph showing a relationship between electron temperature and electron density in microwave plasma using ICP and the above-described RLSA, so-called RLSA plasma. In FIG. 6, the vertical axis indicates the electron temperature (eV), and the horizontal axis indicates the electron density (/ cm 3 ). In FIG. 6, the black triangle mark indicates the case of ICP in which the pressure in the processing container is 20 mTorr, the white triangle mark indicates the case of ICP in which the pressure in the processing container is 50 mTorr, and the black circle mark indicates the treatment The case of RLSA plasma in which the pressure in the vessel is 20 mTorr is shown, and the white circle indicates the case of RLSA plasma in which the pressure in the processing vessel is 50 mTorr.

図6を参照して、プラズマドーピング処理において、高い電子密度および低い電子温度を実現するには、データがグラフの紙面右下側に寄っている方が好ましい。これについては、以下のことが考えられる。高い電子密度の状態は、イオン密度が高い状態ともなり、ドーピングするドーパントの数が多くなって、ドーピングが効率的、すなわち、短時間でより多くのドーパントを注入することができるからである。また、高い電子密度の状態は、ドーピングガスの解離を完全にする方向に働くため、ドーピングに好適なドーパント、すなわち、完全に原子まで分解されたドーパント、具体的には、例えば、PH分子よりも、P原子をより多く生成することができる状態とすることができるからである。また、低い電子密度の状態においては、プラズマドーピングにおける被処理基板への低ダメージを図ることができるからである。   Referring to FIG. 6, in the plasma doping process, in order to realize a high electron density and a low electron temperature, it is preferable that the data is close to the lower right side of the graph. Regarding this, the following can be considered. This is because the high electron density state also means a high ion density, and the number of dopants to be doped increases, so that doping is efficient, that is, more dopants can be implanted in a short time. In addition, since the high electron density state works in the direction of complete dissociation of the doping gas, it is more suitable than a dopant suitable for doping, that is, a dopant that is completely decomposed into atoms, specifically, for example, a PH molecule. This is because a state in which more P atoms can be generated can be obtained. In addition, in a low electron density state, low damage to the substrate to be processed in plasma doping can be achieved.

なお、ICPの場合、圧力が高い状態においては、そのプラズマ発生の機構から、プラズマの均一性が非常に悪いものとなる。また、電子とドーピングガスとの衝突が多くなるので、密度が減少することになる。すなわち、ICPの場合、高い圧力で高密度のプラズマの状態を形成することが極めて困難である。したがって、ICPの場合においては、一般に数mTorrという非常に低い圧力で、処理を行うこととなり、プロセスの観点からも好ましくないものである。   In the case of ICP, when the pressure is high, the plasma uniformity is very poor due to the plasma generation mechanism. In addition, since the collision between the electrons and the doping gas increases, the density decreases. That is, in the case of ICP, it is extremely difficult to form a high-density plasma state at a high pressure. Therefore, in the case of ICP, processing is generally performed at a very low pressure of several mTorr, which is not preferable from the viewpoint of the process.

一方、本願発明によれば、処理容器内の圧力を50mTorr以上としたRLSAプラズマにおいて、より高い電子密度および低い電子温度を実現することができる。すなわち、処理容器内の圧力を高くしたRLSAプラズマによれば、比較的低い電子温度および比較的高い電子密度でプラズマ処理を行うことができる。   On the other hand, according to the present invention, higher electron density and lower electron temperature can be realized in RLSA plasma in which the pressure in the processing vessel is 50 mTorr or more. That is, according to the RLSA plasma in which the pressure in the processing container is increased, plasma processing can be performed at a relatively low electron temperature and a relatively high electron density.

なお、上記した構成のプラズマドーピング装置31は、プラズマエッチング装置、およびプラズマCVD装置としても適用可能である。すなわち、例えば、エッチングガスおよびプラズマ励起用のガスをガス供給部から供給し、エッチングにおける好適な所定の条件とすることにより、被処理基板Wに対するエッチングを行うことができる。   The plasma doping apparatus 31 having the above-described configuration can also be applied as a plasma etching apparatus and a plasma CVD apparatus. That is, for example, the etching of the substrate W to be processed can be performed by supplying an etching gas and a gas for plasma excitation from the gas supply unit and setting the conditions to be suitable for the etching.

次に、上記したプラズマドーピング装置31を用いて、被処理基板にドーパントを注入して製造される半導体素子の製造方法について説明する。   Next, a method for manufacturing a semiconductor element manufactured by implanting a dopant into a substrate to be processed using the plasma doping apparatus 31 described above will be described.

図1〜図6、および後述する図7〜図9を参照して、まず、処理容器32内に配置された支持台34上に半導体素子の基となる被処理基板Wを静電チャックにより支持させる。ここで、被処理基板としてのシリコン基板については、その表面に極薄の自然酸化膜が形成されている。この自然酸化膜については、CH4やCO2、H2O等の汚染物質が吸着している。この状態を、図7を用いて示す。図7は、被処理基板としてのシリコン基板61の表面に形成された自然酸化膜62に汚染物質63が吸着した断面を示す概略断面図である。なお、自然酸化膜62の厚みとしては、おおよそ1nm未満である。   With reference to FIGS. 1 to 6 and FIGS. 7 to 9 to be described later, first, a substrate W to be processed serving as a base of a semiconductor element is supported by an electrostatic chuck on a support base 34 disposed in the processing container 32. Let Here, an extremely thin natural oxide film is formed on the surface of a silicon substrate as a substrate to be processed. On this natural oxide film, contaminants such as CH4, CO2, and H2O are adsorbed. This state is shown using FIG. FIG. 7 is a schematic cross-sectional view showing a cross section in which the contaminant 63 is adsorbed to the natural oxide film 62 formed on the surface of the silicon substrate 61 as the substrate to be processed. Note that the thickness of the natural oxide film 62 is approximately less than 1 nm.

次に、Heガス、Arガス、Xeガス、Krガス等の不活性ガスをプラズマ励起用のガスとしてガス供給部33から供給する。ここで、バイアス電力供給機構により供給されるバイアス電力を、ドーパントのイオンエネルギーが100eV未満となるようにする。また、処理容器32内の圧力が50mTorrとなるよう処理容器32内の圧力を制御しながら行う。また、支持台34の内部に設けられている温度調整機構29を用いて、支持台34上に支持されたシリコン基板61の温度を400℃とする。すなわち、後述するように、ドーピングを行う被処理基板の表面に、選択的にプラズマによって分解したドーピングガスの分子または原子を吸着させる温度に、被処理基板を加熱する。このような状態で、処理容器32内にマイクロ波プラズマを生成させる。   Next, an inert gas such as He gas, Ar gas, Xe gas, or Kr gas is supplied from the gas supply unit 33 as a plasma excitation gas. Here, the bias power supplied by the bias power supply mechanism is set so that the ion energy of the dopant is less than 100 eV. Further, it is performed while controlling the pressure in the processing container 32 so that the pressure in the processing container 32 becomes 50 mTorr. Further, the temperature of the silicon substrate 61 supported on the support table 34 is set to 400 ° C. using the temperature adjustment mechanism 29 provided in the support table 34. That is, as will be described later, the substrate to be processed is heated to a temperature at which molecules or atoms of the doping gas decomposed selectively by plasma are adsorbed on the surface of the substrate to be doped. In such a state, microwave plasma is generated in the processing container 32.

図8は、マイクロ波プラズマ65を生成した状態を示す概略図である。この場合、シリコン基板61の表面に形成され、汚染物質63が吸着した自然酸化膜62は、生成されたマイクロ波プラズマ65により除去され、クリーンなシリコン基板61の表面64となる。この場合、プラズマを発生させる前の不活性ガスの供給により、汚染物質の除去等のクリーニングを行うこともできる。   FIG. 8 is a schematic view showing a state in which the microwave plasma 65 is generated. In this case, the natural oxide film 62 formed on the surface of the silicon substrate 61 and adsorbed with the contaminant 63 is removed by the generated microwave plasma 65, and becomes a clean surface 64 of the silicon substrate 61. In this case, cleaning such as removal of contaminants can be performed by supplying an inert gas before generating plasma.

次に、処理容器32内にドーピングガスを供給し、プラズマドーピングを行う。図9は、ドーピングガスを供給した状態を示す概略図である。ドーピングガスの供給は、ガス供給部33を用いて行う。この場合、プラズマ励起用の不活性ガスに混合するような形で、ドーピングガスを供給する。なお、ドーピング種としては、B(ホウ素)、P(リン)、As(ヒ素)、C(炭素)、N(窒素)、F(フッ素)、Ge(ゲルマニウム)、Si(ケイ素)、BF(フッ化ホウ素)等が挙げられる。そして、このようなドーピング種をドーピングするガス種としては、B、PH、AsH、GeH、CH、NH、NF、N、HF、およびSiH等のガスがある。すなわち、ガス供給部33から供給されるドーピングガスは、B、PH、AsH、GeH、CH、NH、NF、N、HF、およびSiHからなる群から選択される少なくとも一種のガスを含むものである。 Next, a doping gas is supplied into the processing container 32 to perform plasma doping. FIG. 9 is a schematic view showing a state in which a doping gas is supplied. The doping gas is supplied using the gas supply unit 33. In this case, the doping gas is supplied so as to be mixed with an inert gas for plasma excitation. As doping species, B (boron), P (phosphorus), As (arsenic), C (carbon), N (nitrogen), F (fluorine), Ge (germanium), Si (silicon), BF 2 ( Boron fluoride) and the like. Gas species for doping such a doping species include gases such as B 2 H 6 , PH 3 , AsH 3 , GeH 4 , CH 4 , NH 3 , NF 3 , N 2 , HF, and SiH 4. is there. That is, the doping gas supplied from the gas supply unit 33 is selected from the group consisting of B 2 H 6 , PH 3 , AsH 3 , GeH 4 , CH 4 , NH 3 , NF 3 , N 2 , HF, and SiH 4. Containing at least one kind of gas.

この場合、まず、ドーピングガスにより供給されたドーパント66は、図9に示すように、まず、シリコン基板61の表面64付近に吸着する。この場合、シリコン基板61の表面64は、不活性ガスからなるマイクロ波プラズマ65によりあらかじめクリーンな状態とされているため、汚染物質63の吸着がほとんどない状態で吸着する。このとき、被処理基板の温度が例えば400℃程度に加熱されていれば、CHやCO、HO等の汚染物質が吸着しにくい状態とすることができる。 In this case, first, the dopant 66 supplied by the doping gas is first adsorbed near the surface 64 of the silicon substrate 61 as shown in FIG. In this case, the surface 64 of the silicon substrate 61 is cleaned in advance by the microwave plasma 65 made of an inert gas, and therefore adsorbs with almost no adsorption of the contaminant 63. At this time, if the temperature of the substrate to be processed is heated to, for example, about 400 ° C., a contaminant such as CH 4 , CO 2 , and H 2 O can be hardly adsorbed.

そして、マイクロ波プラズマ65と、シリコン基板61との間にできるプラズマシース部分でイオンが加速され、このイオンが表面に吸着した分子あるいは原子と衝突したエネルギーにより内部にドーパントが拡散する。このようにして、マイクロ波プラズマによるプラズマドーピングが行われる。このようにしてプラズマドーピングを行い、この発明の一実施形態に係るシリコン基板を含む半導体素子を得る。   Then, ions are accelerated in a plasma sheath portion formed between the microwave plasma 65 and the silicon substrate 61, and the dopant diffuses in the interior by energy colliding with molecules or atoms adsorbed on the surface. In this way, plasma doping with microwave plasma is performed. In this way, plasma doping is performed to obtain a semiconductor device including a silicon substrate according to an embodiment of the present invention.

このように、プラズマを用いてドーピングを行う際に、マイクロ波を用いてプラズマを発生させ、プラズマドーピング時におけるドーパントのイオンエネルギーを100eV未満となるように制御されているため、プラズマドーピング時における被処理基板に対するプラズマダメージを低減することができると共に、ドーピング時における結晶構造のアモルファス化を抑制することができる。そのため、ドーピング後に必要となる形成されたアモルファス層の回復を行うためのアニール処理の省略または簡略化ができる。また、被処理基板の全面をほぼ一様に処理することができると共に、ドーピング時にプラズマ励起用の不活性ガスの供給による被処理基板の表面状態のクリーニングを行うことができるため、コンタミとなる金属イオンや意図しない不純物としてのイオン等の汚染物質のドーピングのおそれを低減することができる。また、被処理基板の形状に起因することなく、一様に表層から所望の深さにドーピングすることができるため、アスペクト比の高い凹部が形成された被処理基板であっても、均一な処理を行うことができる。すなわち、等方的なドーピングを行うことができる。したがって、このようにしてドーピングされた層は、その特性が非常に良好である。   As described above, when doping is performed using plasma, plasma is generated using microwaves, and the ion energy of the dopant during plasma doping is controlled to be less than 100 eV. Plasma damage to the processing substrate can be reduced, and the amorphous structure of the crystal structure at the time of doping can be suppressed. Therefore, the annealing process for recovering the formed amorphous layer required after doping can be omitted or simplified. In addition, the entire surface of the substrate to be processed can be processed almost uniformly, and the surface state of the substrate to be processed can be cleaned by supplying an inert gas for plasma excitation during doping. The risk of doping with contaminants such as ions or ions as unintended impurities can be reduced. In addition, since it can be uniformly doped from the surface layer to a desired depth without causing the shape of the substrate to be processed, even a substrate to be processed having a recess with a high aspect ratio can be processed uniformly. It can be performed. That is, isotropic doping can be performed. Thus, the layer doped in this way has very good properties.

また、処理容器32内の圧力を50mTorrとしているため、生成するRLSAプラズマにおいて、より高い電子密度およびより低い電子温度で、プラズマ処理を行うことができる。したがって、プラズマダメージを大きく低減することができる。   Moreover, since the pressure in the processing container 32 is 50 mTorr, plasma processing can be performed at a higher electron density and a lower electron temperature in the generated RLSA plasma. Therefore, plasma damage can be greatly reduced.

この場合、支持台34上に支持されたシリコン基板61の温度を400℃としているため、シリコン基板61の表面64に、低温で比較的吸着しやすい汚染物質を吸着させず、ドーピングガスを積極的に吸着させることができる。具体的には、CHやCO、HO等の汚染物質を吸着しにくくすることができる。したがって、汚染物質のイオン注入を著しく低減することができる。また、上記したように、汚染物質の堆積による打ち込み時のエネルギーロスを大きく低減することができる。 In this case, since the temperature of the silicon substrate 61 supported on the support base 34 is set to 400 ° C., the surface 64 of the silicon substrate 61 is not adsorbed with contaminants that are relatively easily adsorbed at a low temperature, and the doping gas is positively applied. Can be absorbed. Specifically, it is possible to make it difficult to adsorb contaminants such as CH 4 , CO 2 , and H 2 O. Therefore, ion implantation of contaminants can be significantly reduced. Further, as described above, the energy loss at the time of implantation due to the accumulation of contaminants can be greatly reduced.

これについて、より詳しく述べると以下の通りである。例えば、PHガスとHeガスとの混合ガスを用いてプラズマドーピングを行う場合、P、PH、PH、PH、H、H、Heのラジカル種がプラズマによって生成される。ここで、被処理基板の表面に吸着するガス成分について考える。まず、Heについては、室温においても吸着することはない。また、Hは、およそ200℃で脱離するものである。すなわち、Hが吸着するような200℃程度までの被処理基板の温度とすると、被処理基板の表面がHおよびPの吸着した状態となり、Hも一緒にPとドーピングされることになる。このHのドーピングは後のアニール処理で脱離するので、Hのドーピングに要するエネルギーが全く無駄になる。そこで、例えば、被処理基板の温度を、P電子だけしか吸着しないような200℃よりも高い温度とし、Pのみが被処理基板の表面に吸着した状態を形成すると、非常に効率的なドーピング、すなわち、意図したドーパントをより低エネルギーでより深くドーピングすることができる。 This will be described in more detail as follows. For example, when plasma doping is performed using a mixed gas of PH 3 gas and He gas, radical species of P, PH, PH 2 , PH 3 , H, H 2 , and He are generated by the plasma. Here, a gas component adsorbed on the surface of the substrate to be processed will be considered. First, He is not adsorbed even at room temperature. H is desorbed at about 200 ° C. That is, when the temperature of the substrate to be processed is about 200 ° C. at which H is adsorbed, the surface of the substrate to be processed is in a state where H and P are adsorbed, and H is also doped with P together. Since this H doping is desorbed in a later annealing process, the energy required for the H doping is completely wasted. Therefore, for example, when the temperature of the substrate to be processed is higher than 200 ° C. so that only P electrons are adsorbed, and a state in which only P is adsorbed on the surface of the substrate to be processed is formed, very efficient doping is achieved. That is, the intended dopant can be more deeply doped with lower energy.

ここで、このようなプラズマドーピングにおいては、ドーパントの拡散深さ、いわゆるドーピング深さは、不活性ガス(例えば、Heガス)などのイオンが加速された時の進入深さによって決定される。   Here, in such plasma doping, the diffusion depth of the dopant, so-called doping depth, is determined by the penetration depth when ions such as an inert gas (for example, He gas) are accelerated.

以上より、このようなプラズマドーピングによれば、被処理基板へのダメージの軽減と、ドーピングされる汚染物質の低減を図ることができる。   As described above, according to such plasma doping, it is possible to reduce damage to the substrate to be processed and reduce contaminants to be doped.

なお、参考までにイオン注入装置でドーピングを行った場合のメカニズムについて説明する。図10は、イオン注入装置を用いてドーピングを行う場合のシリコン基板の表面付近の状態を示す概略図である。   For reference, a mechanism when doping is performed with an ion implantation apparatus will be described. FIG. 10 is a schematic view showing a state in the vicinity of the surface of the silicon substrate when doping is performed using an ion implantation apparatus.

図10を参照して、イオン注入装置においては、複数のドーパント75から構成される大きな塊のイオンクラスター74を、ビームとしてシリコン基板71の各領域に走査して、イオンクラスター74を構成するドーパント75をシリコン基板71に注入するものである。シリコン基板71上には、自然酸化膜72が形成されており、自然酸化膜72の上に、種々の堆積層73が吸着している。この堆積層73は、イオンクラスター74の衝突時に生成されたドーパント75や汚染物質で構成されている。そして、イオン注入により、イオンクラスター74を、シリコン基板71に対して衝突させる。そうすると、イオンクラスター74が衝突した際に、ドーパント75の一部がシリコン基板71の内部側に注入される。このようにしてイオン注入が行われる。しかし、イオンクラスター74が衝突した際には、シリコン基板71の表面付近における内部層において、衝突のエネルギーによるダメージ76が生じる。また、ドーパント75以外にも、表層付近に堆積していた堆積層73の一部がコンタミとして注入される。さらには、シリコン基板71の表面付近についても、結晶構造が崩され、アモルファス層77が形成される。そして、衝突時に砕けたドーパント75の塊の一部が堆積層73として他の領域に堆積され、他の領域におけるイオン注入を阻害する。   Referring to FIG. 10, in the ion implantation apparatus, a large lump ion cluster 74 composed of a plurality of dopants 75 is scanned as a beam on each region of silicon substrate 71 to form dopant clusters 75 constituting ion clusters 74. Is injected into the silicon substrate 71. A natural oxide film 72 is formed on the silicon substrate 71, and various deposited layers 73 are adsorbed on the natural oxide film 72. The deposited layer 73 is composed of a dopant 75 and a contaminant generated when the ion cluster 74 collides. Then, the ion clusters 74 collide with the silicon substrate 71 by ion implantation. Then, when the ion cluster 74 collides, a part of the dopant 75 is implanted into the inner side of the silicon substrate 71. In this way, ion implantation is performed. However, when the ion clusters 74 collide, damage 76 due to collision energy occurs in the inner layer near the surface of the silicon substrate 71. In addition to the dopant 75, a part of the deposited layer 73 deposited near the surface layer is implanted as contamination. Furthermore, the crystal structure is broken even near the surface of the silicon substrate 71, and an amorphous layer 77 is formed. A part of the lump of the dopant 75 that is broken at the time of collision is deposited as a deposition layer 73 in another region, thereby inhibiting ion implantation in the other region.

このようにしてドーピングされたシリコン基板71については、図11に示すように、ドーパント75が適当に注入されているものの、表層付近におけるシリコン基板71のダメージ76が多数生じ、かつ、その表層においてアモルファス層77が形成されることになる。さらには、ドーパント75以外のものもドーピングにより注入されることになり、好ましくないものである。   With respect to the silicon substrate 71 thus doped, as shown in FIG. 11, although the dopant 75 is appropriately implanted, many damages 76 of the silicon substrate 71 occur in the vicinity of the surface layer, and the surface layer is amorphous. Layer 77 will be formed. Furthermore, other than the dopant 75 is also implanted by doping, which is not preferable.

また、参考までにICPを用いてドーピングを行った場合のメカニズムについても説明する。図12および図13は、ICPによりドーピングを行った場合のシリコン基板の表面付近の状態を示す概略図である。ICPによるドーピングの際には、DCパルスバイアスの印加が行われる。図12は、DCパルスバイアスがオフの場合を示し、図13は、DCパルスバイアスがオンの場合を示す。ここで、図12に示すように、DCパルスバイアスがオフの状態のときは、バイアス電力が印加されておらず、生成されたプラズマ83の下部側において、シリコン基板81の表面にドーパント82が吸着している状態である。そして、図13に示すように、DCパルスバイアスがオンの状態のときに、DCパルスバイアスによりシリコン基板81の内部にドーパント82が引き込まれる。ここで、ICPによって発生されたイオンのエネルギーは500〜1000eVと非常に高く、かつ、DCパルスバイアスによるイオン引き込みの影響で、上記したイオン注入装置における場合と同様に、ダメージ84がシリコン基板81の内部層に生じる。さらに、表層部分の結晶構造が崩され、アモルファス層85が形成されることになる。   For reference, a mechanism when doping is performed using ICP will also be described. 12 and 13 are schematic views showing a state in the vicinity of the surface of the silicon substrate when doping is performed by ICP. In doping with ICP, a DC pulse bias is applied. FIG. 12 shows a case where the DC pulse bias is off, and FIG. 13 shows a case where the DC pulse bias is on. Here, as shown in FIG. 12, when the DC pulse bias is off, no bias power is applied, and the dopant 82 is adsorbed on the surface of the silicon substrate 81 on the lower side of the generated plasma 83. It is in a state of being. Then, as shown in FIG. 13, when the DC pulse bias is on, the dopant 82 is drawn into the silicon substrate 81 by the DC pulse bias. Here, the energy of ions generated by the ICP is as high as 500 to 1000 eV, and the damage 84 is caused by the influence of the ion pull-in by the DC pulse bias as in the case of the ion implantation apparatus described above. Occurs in the inner layer. Furthermore, the crystal structure of the surface layer portion is destroyed, and an amorphous layer 85 is formed.

このようなシリコン基板の内部層のダメージに対しては、アニール処理による回復を行うことができるが、高温かつ長時間のアニール処理が要求される場合には、スループットが増大し、接合幅の増大の観点からも好ましくないものである。   Such damage to the inner layer of the silicon substrate can be recovered by annealing, but if high temperature and long time annealing is required, throughput increases and junction width increases. From the point of view, it is not preferable.

すなわち、本願発明においては、比較的高い電子密度であって比較的低い電子温度のプラズマを用い、バイアス電力の印加を抑えてドーピング時のイオンエネルギーを小さくすることによって、ドーパントを引き込む際に生じるシリコン基板の内部のダメージの低減を図るものである。さらに、上記したプラズマによって、ドーパントを注入する際に、堆積物を生じさせず、かつ、シリコン基板の結晶構造の表層の構造を崩さずに、シリコン基板全面に対して一度にイオン注入を行うものである。さらには、プラズマによるダメージが生じたとしても、そのダメージは非常に小さいものであり、アニール処理によりダメージの回復を図る際にも、より低温かつ短時間のアニール処理によりダメージの回復を図ることができる。   That is, in the present invention, silicon generated when a dopant is drawn by using a plasma having a relatively high electron density and a relatively low electron temperature and suppressing the application of bias power to reduce ion energy during doping. This is intended to reduce damage inside the substrate. Furthermore, when the dopant is implanted by the plasma described above, ion implantation is performed on the entire surface of the silicon substrate without causing deposits and without destroying the surface layer structure of the crystal structure of the silicon substrate. It is. Furthermore, even if plasma damage occurs, the damage is very small. When recovering damage by annealing, it can be recovered by annealing at a lower temperature for a shorter time. it can.

なお、上記の実施の形態においては、プラズマドーピング時におけるドーパントのイオンエネルギーを100eV未満となるように制御することとしたが、そのためには、バイアス電力を印加しなくてもよいし、シリコン基板に注入されるドーパントのイオンエネルギーが高くならないように比較的弱いバイアス電力を印加しながら、プラズマドーピングを行うこととしてもよい。具体的には、例えば、ドーパントのイオンエネルギーが100eV未満となるようにバイアス電力を印加し、ドーパントを注入するようにする。ドーパントのイオンエネルギーが100eVとは、例えば、バイアス電力を300W印加した場合に相当する。   In the above embodiment, the ion energy of the dopant at the time of plasma doping is controlled to be less than 100 eV. However, for that purpose, it is not necessary to apply bias power, Plasma doping may be performed while applying a relatively weak bias power so that the ion energy of the implanted dopant does not increase. Specifically, for example, the dopant is implanted by applying a bias power so that the ion energy of the dopant is less than 100 eV. A dopant ion energy of 100 eV corresponds to, for example, a case where a bias power of 300 W is applied.

また、上記の実施の形態においては、プラズマドーピングを行う際に、プラズマ励起用のガスとなる不活性ガスを、被処理基板の表面に供給し、被処理基板の表面をクリーニングすることとしたが、これに限らず、プラズマドーピングを行う前に、不活性ガスを被処理基板の表面に供給し、被処理基板の表面をクリーニングすることにしてもよい。こうすることにより、さらに汚染物質のドーピングのおそれを低減することができる。また、プラズマドーピングを行った後においても、不活性ガスを被処理基板の表面に供給し、クリーニングを行うこととしてもよい。こうすることにより、ドーピング後の工程において、汚染物質による影響を低減することができる。   In the above embodiment, when plasma doping is performed, an inert gas serving as a plasma excitation gas is supplied to the surface of the substrate to be processed, and the surface of the substrate to be processed is cleaned. Not limited to this, an inert gas may be supplied to the surface of the substrate to be processed before the plasma doping to clean the surface of the substrate to be processed. In this way, the risk of doping with contaminants can be further reduced. Further, even after the plasma doping, cleaning may be performed by supplying an inert gas to the surface of the substrate to be processed. By doing so, the influence of contaminants can be reduced in the post-doping process.

ここで、AFM(Atomic Force Microscope)を用い、シリコン基板の表面性状について観察した。表1は、各処理の後におけるシリコン基板の表面粗さを示す。表1中、表面粗さRaは、算術平均粗さを示し、表面粗さRmaxは、最大高さを示す。いずれも単位は、nm(ナノメーター)である。   Here, the surface property of the silicon substrate was observed using an AFM (Atomic Force Microscope). Table 1 shows the surface roughness of the silicon substrate after each treatment. In Table 1, the surface roughness Ra indicates the arithmetic average roughness, and the surface roughness Rmax indicates the maximum height. In either case, the unit is nm (nanometer).


表1を参照して、リファレンス、すなわち、何もドーピング処理を行っていない場合のシリコン基板の表面粗さRaは、0.10nmであり、表面粗さRmaxは、1.12nmである。これに対し、プラズマドーピングにおいてバイアス電力を印加しなかったサンプルAの場合、シリコン基板の表面粗さRaは、0.09nmであり、表面粗さRmaxは、0.97nmである。また、プラズマドーピングにおいてバイアスを印加せず、後にDIリンスを行ったサンプルBの場合、シリコン基板の表面粗さRaは、0.09nmであり、表面粗さRmaxは、1.02nmである。また、プラズマドーピングにおいてバイアス電力を100Wとし、その周波数を13.56MHzとしたサンプルCの場合、シリコン基板の表面粗さRaは、0.09nmであり、表面粗さRmaxは、1.11nmである。また、プラズマドーピングにおいてバイアス電力を100Wとし、その周波数を13.56MHzとして、DI(De Ionize:脱イオン)リンスを行ったサンプルDの場合、シリコン基板の表面粗さRaは、0.11nmであり、表面粗さRmaxは、1.13nmである。すなわち、いずれの場合もシリコン基板の表面粗さRa、表面粗さRmax共に非常に小さく、何もドーピングを行っていない場合のシリコン基板の表面に比べ、ほとんどその値が変わらないことが把握できる。したがって、AFMによるシリコン基板の表面性状の観察からは、バイアス電力が0Wから100Wまでの範囲においては、その形状が良好であることが分かる。   Referring to Table 1, the reference, that is, the surface roughness Ra of the silicon substrate when no doping process is performed is 0.10 nm, and the surface roughness Rmax is 1.12 nm. On the other hand, in the case of Sample A to which no bias power is applied in plasma doping, the surface roughness Ra of the silicon substrate is 0.09 nm and the surface roughness Rmax is 0.97 nm. Further, in the case of Sample B in which no bias is applied in plasma doping and DI rinsing is performed later, the surface roughness Ra of the silicon substrate is 0.09 nm and the surface roughness Rmax is 1.02 nm. In the case of Sample C in which the bias power is 100 W and the frequency is 13.56 MHz in the plasma doping, the surface roughness Ra of the silicon substrate is 0.09 nm and the surface roughness Rmax is 1.11 nm. . In addition, in the case of Sample D in which DI (De Ionize) rinse is performed with a bias power of 100 W and a frequency of 13.56 MHz in plasma doping, the surface roughness Ra of the silicon substrate is 0.11 nm. The surface roughness Rmax is 1.13 nm. That is, in any case, it can be understood that both the surface roughness Ra and the surface roughness Rmax of the silicon substrate are very small, and the values hardly change compared to the surface of the silicon substrate when no doping is performed. Therefore, from the observation of the surface property of the silicon substrate by AFM, it can be seen that the shape is good when the bias power is in the range from 0 W to 100 W.

すなわち、本願発明は、被処理基板の表面に敢えてアモルファス層を形成し、その層にドーピングを行うものではなく、あくまで、被処理基板に対するプラズマダメージを低減させてアモルファス層の形成を極力抑制した上で、ドーピングを行うものである。   In other words, the present invention does not dare to form an amorphous layer on the surface of the substrate to be processed and dope the layer, but only reduces plasma damage to the substrate to be processed and suppresses the formation of the amorphous layer as much as possible. Then, doping is performed.

次に、ドーピングを行った際のドーピングの状態について説明する。図14は、P(リン)を本願発明に係るプラズマドーピング装置でドーピングした場合の被処理基板の一部をアトムプローブで分析した状態を示す図である。この場合、バイアス電力を300Wとしている。図14中における黒色のドットは、リン原子の存在を示すものであり、黒色のドットの密度が濃い部分は、リン原子が多く密集しているものと考えられるものである。図14に示す領域は、後述するFinFETのいわゆる肩の部分の領域である。図14を参照して、リン原子の分布の状態は、等方的であることが把握できる。   Next, the state of doping when doping is performed will be described. FIG. 14 is a view showing a state in which a part of the substrate to be processed is analyzed by an atom probe when P (phosphorus) is doped by the plasma doping apparatus according to the present invention. In this case, the bias power is 300 W. The black dots in FIG. 14 indicate the presence of phosphorus atoms, and the portion where the density of black dots is high is considered to be that many phosphorus atoms are concentrated. The region shown in FIG. 14 is a so-called shoulder region of a FinFET described later. Referring to FIG. 14, it can be understood that the distribution state of phosphorus atoms is isotropic.

次に、バイアス電力を0W、100W、200W、300Wとしてプラズマドーピングを行った場合のそれぞれのシリコン基板の表面付近におけるTEM写真を示す。図15は、バイアス電力が0Wの場合のシリコン基板の表面付近におけるTEM写真であり、図16は、バイアス電力が100Wの場合のシリコン基板の表面付近におけるTEM写真であり、図17は、バイアス電力が200Wの場合のシリコン基板の表面付近におけるTEM写真であり、図18は、バイアス電力が300Wの場合のシリコン基板の表面付近におけるTEM写真である。図18等において、色の濃い領域、すなわち、黒い領域部分が、プラズマダメージを受けている領域を示す。   Next, TEM photographs in the vicinity of the surface of each silicon substrate when plasma doping is performed with bias power of 0 W, 100 W, 200 W, and 300 W are shown. FIG. 15 is a TEM photograph near the surface of the silicon substrate when the bias power is 0 W, FIG. 16 is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W, and FIG. FIG. 18 is a TEM photograph in the vicinity of the surface of the silicon substrate when the bias power is 300 W. FIG. In FIG. 18 and the like, a dark color area, that is, a black area portion indicates an area that has undergone plasma damage.

図15〜図18を参照して、バイアス電力が大きくなるにつれ、プラズマダメージを受けている領域が広くなっていることが把握できる。また、実線で囲む領域86、87については、EOR(End Of Range:端部層)ダメージが生じていることが把握できる。また、バイアス電力が大きくなるにつれ、その表層部分におけるアモルファス層の領域が大きくなっていることも把握できる。すなわち、バイアス電力が大きくなるにつれ、シリコン基板内に生じるプラズマダメージが大きくなり、その表層部分におけるアモルファス層の領域も増大することが把握できる。これは、図17中のAで示すアモルファス層の厚みよりも、図18中のAで示すアモルファス層の厚みの方が厚くなっていることからも把握できる。図18に示す状態は、バイアス電力が300Wの状態であり、このような状態を抑制するように、プラズマドーピングを行うのがよい。そうすると、ドーパントのイオンエネルギーとしては、バイアス電力の300Wに相当する100eV未満とするのがよい。ここで、A、Aで示すアモルファス層の厚みは、2〜3nm程度である。 Referring to FIGS. 15 to 18, it can be understood that the region subjected to plasma damage is widened as the bias power is increased. Further, it can be understood that EOR (End Of Range: end layer) damage has occurred in the regions 86 and 87 surrounded by the solid line. Further, it can be understood that the area of the amorphous layer in the surface layer portion increases as the bias power increases. That is, it can be understood that as the bias power increases, the plasma damage generated in the silicon substrate increases, and the surface area of the amorphous layer increases. This is greater than the thickness of the amorphous layer shown by A 1 in FIG. 17, it can be understood from the fact that the direction of the thickness of the amorphous layer shown by A 2 in FIG. 18 is thicker. The state shown in FIG. 18 is a state where the bias power is 300 W, and plasma doping is preferably performed so as to suppress such a state. Then, the ion energy of the dopant is preferably less than 100 eV corresponding to the bias power of 300 W. Here, the thickness of the amorphous layer represented by A 1 and A 2 is about 2 to 3 nm.

なお、参考までに、ICPによりプラズマドーピングを行った場合のシリコン基板の表面付近におけるTEM写真を図19に示す。図19を参照して、表層付近には、アモルファス層が明確に形成されていることが把握できる。ここでのアモルファス層の厚みは、約5nmである。   For reference, a TEM photograph in the vicinity of the surface of the silicon substrate when plasma doping is performed by ICP is shown in FIG. Referring to FIG. 19, it can be understood that an amorphous layer is clearly formed in the vicinity of the surface layer. The thickness of the amorphous layer here is about 5 nm.

次に、バイアスを印加した場合におけるバイアスの周波数について説明する。図20は、バイアス電力を100Wとし、バイアス周波数を400kHzとした場合のシリコン基板の表面付近におけるTEM写真である。また、図21は、バイアス電力を100Wとし、バイアス周波数を13.56MHzとした場合のシリコン基板の表面付近におけるTEM写真である。図20等においても、色の濃い領域、すなわち、黒い領域部分が、プラズマダメージを受けている領域を示す。   Next, the bias frequency when a bias is applied will be described. FIG. 20 is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W and the bias frequency is 400 kHz. FIG. 21 is a TEM photograph near the surface of the silicon substrate when the bias power is 100 W and the bias frequency is 13.56 MHz. Also in FIG. 20 and the like, a dark region, that is, a black region portion indicates a region that has undergone plasma damage.

図20および図21を参照して、図20よりも図21に示す方が、表層付近における色の濃い領域が多いことが把握できる。すなわち、バイアスを印加する場合におけるバイアスの周波数については、高い方が、プラズマダメージが小さいことがわかる。この場合、バイアス電力の周波数を13.56MHzとすることにより、プラズマダメージを低減することができる。なお、実使用状況においては、13.56MHよりも大きい領域、具体的には、マイクロ波によるプラズマ処理に適用される13.56〜300MHzの範囲内とするのがよい。   Referring to FIGS. 20 and 21, it can be understood that there are more dark regions near the surface layer in the case shown in FIG. 21 than in FIG. That is, it can be seen that the higher the bias frequency when applying the bias, the smaller the plasma damage. In this case, plasma damage can be reduced by setting the frequency of the bias power to 13.56 MHz. Note that, in an actual use situation, it is preferable to set a region larger than 13.56 MH, specifically within a range of 13.56 to 300 MHz applied to plasma processing by microwaves.

なお、このようなプラズマドーピングについては、FinFET等の3Dデバイスの構造に対して、有効に適用できる。図22は、FinFETの概略的な構成を示す概略斜視図である。図22を参照して、FinFET91においては、フィン92と呼ばれるシリコン基板の表面から上方向に長く突出した部分が紙面表裏方向に延びるように形成されている。そしてフィン92の一部を覆うようにして、ゲート93が形成されている。フィン92のうち、ゲート93の手前側にソース94が形成され、奥側にドレイン95が形成される。このようなFinFET91の構造に対するプラズマドーピングに対しては、有効に適用することができる。なお、このような構造のFinFET91に対し、図22中のハッチング96で示す領域においてプラズマドーピングが行われる。   Note that such plasma doping can be effectively applied to the structure of a 3D device such as a FinFET. FIG. 22 is a schematic perspective view showing a schematic configuration of a FinFET. Referring to FIG. 22, FinFET 91 is formed so that a portion called fin 92 that protrudes upward from the surface of the silicon substrate extends in the front and back direction of the drawing. A gate 93 is formed so as to cover a part of the fin 92. Of the fins 92, a source 94 is formed on the front side of the gate 93, and a drain 95 is formed on the back side. The present invention can be effectively applied to such plasma doping for the structure of the FinFET 91. Note that plasma doping is performed on the FinFET 91 having such a structure in a region indicated by hatching 96 in FIG.

図23は、このようなフィン92に対し、イオン注入装置を用いてドーピングを行う場合を示す図である。一方、図24は、本願発明に係るプラズマドーピングを行う場合を示す図である。まず、図23を参照して、シリコン基板97上に形成されたフォトレジスト層98がフィン92に対して比較的高く形成されている。このような場合、イオン注入装置を用いてのドーピングでは、イオン注入が異方性を有するため、図23中の点線99で示す斜め方向から照射されるイオンは、高く形成されるフォトレジスト層98の影となり、フィン92に適切にイオン注入できないことになる。すなわち、フォトレジスト層98の近傍に配置されるフィン92において、フォトレジスト層98側のイオン注入が不十分となってしまう。   FIG. 23 is a diagram showing a case where such a fin 92 is doped using an ion implantation apparatus. On the other hand, FIG. 24 is a diagram showing a case where plasma doping according to the present invention is performed. First, referring to FIG. 23, a photoresist layer 98 formed on silicon substrate 97 is formed relatively high with respect to fins 92. In such a case, in the doping using the ion implantation apparatus, since the ion implantation has anisotropy, ions irradiated from an oblique direction indicated by a dotted line 99 in FIG. As a result, ions cannot be appropriately implanted into the fin 92. That is, in the fins 92 disposed in the vicinity of the photoresist layer 98, ion implantation on the photoresist layer 98 side becomes insufficient.

これに対し、図24を参照して、マイクロ波によるプラズマにおいては、フォトレジスト層98の高さに関わらず、フィン92が形成されている領域において、均等にプラズマが生成され、拡散される。そして、フォトレジスト層98の高さに関係なく、フィン92の全面に対して、等方的にプラズマドーピングがなされる。したがって、このようなFinFET91に対し、適切なドーピングが可能になる。   On the other hand, referring to FIG. 24, in the plasma by the microwave, the plasma is evenly generated and diffused in the region where the fins 92 are formed regardless of the height of the photoresist layer 98. Regardless of the height of the photoresist layer 98, the entire surface of the fin 92 is isotropically plasma-doped. Therefore, appropriate doping is possible for such a FinFET 91.

また、このようなFinFET構造においては、エロージョン、すなわち、処理における形状の変化、具体的には、フィンの角部におけるいわゆる肩落ちを抑制することができ、かつ、処理の均一性の向上を図ることができる。図25は、バイアス電力を0Wとした場合のFinFETのSSRMを示す図である。図26は、バイアス電力を100Wとし、バイアス電力の周波数を400kHzとした場合のFinFETのSSRMを示す図である。図27は、バイアス電力を100Wとし、バイアス電力の周波数を13.56MHzとした場合のFinFETのSSRMを示す図である。図25〜図27に示すサンプルにおいては、RTA(Rapid Thermal Anneal)とも呼ばれるランプアニール、ここでは、950℃、60秒のアニール処理を施している。また、図25〜図27において、それぞれ符号67、68、69で示すグレーの比較的濃い領域が、低抵抗、すなわち、ドーパントが注入された領域を示すものである。   Further, in such a FinFET structure, erosion, that is, a change in shape in processing, specifically, so-called shoulder drop at the corners of the fins can be suppressed, and processing uniformity is improved. be able to. FIG. 25 is a diagram illustrating the SSRM of the FinFET when the bias power is 0 W. FIG. 26 is a diagram showing the SSRM of the FinFET when the bias power is 100 W and the frequency of the bias power is 400 kHz. FIG. 27 is a diagram illustrating the SSRM of the FinFET when the bias power is 100 W and the frequency of the bias power is 13.56 MHz. The samples shown in FIGS. 25 to 27 are subjected to lamp annealing, also called RTA (Rapid Thermal Anneal), here, annealing at 950 ° C. for 60 seconds. Further, in FIGS. 25 to 27, the relatively dark gray regions denoted by reference numerals 67, 68, and 69 respectively indicate low resistance, that is, regions into which dopant is implanted.

図25を参照して、バイアス電力を0Wとした場合においては、適切にフィンの形状に沿って、ドーパントが注入されていることが把握できる。すなわち、グレーの比較的濃い領域が、フィンの外形形状に沿って形成されていることが把握できる。図27においても同様に、フィンの外形形状に沿って、ドーパントが注入されていることが把握できる。一方、図26に示す場合においては、ややイオン注入が不均一となっている。これは、バイアス周波数を400kHzにすることで、13.56MHzに比較して結晶構造のダメージが多いために、アニール時の拡散が不均一になったものと思われる。すなわち、このようなFinFETのような形状にドーピングを行う際、図25、図27よりバイアス周波数が13.56MHzの場合には、ドーパントの注入の均一性を十分に確保することができるものである。   Referring to FIG. 25, when the bias power is 0 W, it can be understood that the dopant is appropriately implanted along the shape of the fin. That is, it can be understood that a relatively dark region of gray is formed along the outer shape of the fin. Similarly in FIG. 27, it can be understood that the dopant is implanted along the outer shape of the fin. On the other hand, in the case shown in FIG. 26, the ion implantation is slightly non-uniform. This is presumably because when the bias frequency was set to 400 kHz, the crystal structure was damaged as compared with 13.56 MHz, so that the diffusion during annealing became non-uniform. That is, when doping into such a FinFET shape, the uniformity of dopant implantation can be sufficiently ensured when the bias frequency is 13.56 MHz as shown in FIGS. .

なお、上記の実施の形態においては、スロットアンテナ板を用いたRLSAによるマイクロ波によりプラズマ処理を行うこととしたが、これに限らず、くし型のアンテナ部を有するマイクロ波プラズマ処理装置やスロットからマイクロ波を放射し表面波プラズマ生成するマイクロ波プラズマ処理装置を用いてもよい。   In the above-described embodiment, the plasma processing is performed by the microwave by RLSA using the slot antenna plate. However, the plasma processing is not limited to this, and the microwave plasma processing apparatus or the slot having the comb-shaped antenna unit is used. A microwave plasma processing apparatus that emits microwaves and generates surface wave plasma may be used.

また、上記の実施の形態においては、プラズマ処理は、プラズマの電子温度が1.5eVよりも低く、かつプラズマの電子密度が1×1011cm−3よりも高いマイクロ波プラズマを用いた処理としたが、これに限らず、例えば、プラズマの電子密度が1×1011cm−3よりも低い領域においても適用される。 In the above-described embodiment, the plasma treatment is a treatment using microwave plasma in which the plasma electron temperature is lower than 1.5 eV and the plasma electron density is higher than 1 × 10 11 cm −3. However, the present invention is not limited to this. For example, the present invention is also applied to a region where the electron density of plasma is lower than 1 × 10 11 cm −3 .

なお、上記の実施の形態においては、被処理基板としてシリコン基板を用いることとしたが、これに限らず、例えば、層間膜におけるドーピングを行う際にも、十分に適用できるものである。   In the above-described embodiment, the silicon substrate is used as the substrate to be processed. However, the present invention is not limited to this. For example, the present invention can be sufficiently applied to doping in an interlayer film.

以上、図面を参照してこの発明の実施形態を説明したが、この発明は、図示した実施形態のものに限定されない。図示した実施形態に対して、この発明と同一の範囲内において、あるいは均等の範囲内において、種々の修正や変形を加えることが可能である。   As mentioned above, although embodiment of this invention was described with reference to drawings, this invention is not limited to the thing of embodiment shown in figure. Various modifications and variations can be made to the illustrated embodiment within the same range or equivalent range as the present invention.

11 MOS型半導体素子、12,61,71,81,97 シリコン基板、13 素子分離領域、14a p型ウェル、14b n型ウェル、15a 高濃度n型不純物拡散領域、15b 高濃度p型不純物拡散領域、16a n型不純物拡散領域、16b p型不純物拡散領域、17 ゲート酸化膜、18 ゲート電極、19 ゲート側壁部、21 絶縁膜、22 コンタクトホール、23 穴埋め電極、24 メタル配線層、26,27,86,87 領域、28 制御部、29 温度調整機構、31 プラズマドーピング装置、32 処理容器、33,46,47 ガス供給部、34 支持台、35 マイクロ波発生器、36 誘電体窓、37 スロットアンテナ板、38 誘電体部材、39 プラズマ発生機構、40 スロット孔、41 底部、42 側壁、43 排気孔、44 蓋部、45 Oリング、48 下面、49 ガス供給系、30,50 ガス供給孔、51 筒状支持部、52 冷却ジャケット、53 マッチング機構、54 モード変換器、55 導波管、56 同軸導波管、57 凹部、58 高周波電源、59 マッチングユニット、60 循環路、62,72 自然酸化膜、63 汚染物質、64 表面、65,83 プラズマ、66,75,82 ドーパント、73 堆積層、74 イオンクラスター、76,84 ダメージ、77,85 アモルファス層、91 FinFET、92 フィン、93 ゲート、94 ソース、95 ドレイン、96 ハッチング、98 フォトレジスト層、99 点線。   11 MOS type semiconductor device, 12, 61, 71, 81, 97 silicon substrate, 13 element isolation region, 14a p type well, 14b n type well, 15a high concentration n type impurity diffusion region, 15b high concentration p type impurity diffusion region 16a n-type impurity diffusion region, 16b p-type impurity diffusion region, 17 gate oxide film, 18 gate electrode, 19 gate side wall, 21 insulating film, 22 contact hole, 23 hole filling electrode, 24 metal wiring layer, 26, 27, 86, 87 region, 28 control unit, 29 temperature adjustment mechanism, 31 plasma doping apparatus, 32 processing vessel, 33, 46, 47 gas supply unit, 34 support base, 35 microwave generator, 36 dielectric window, 37 slot antenna Plate, 38 Dielectric member, 39 Plasma generation mechanism, 40 Slot hole, 41 Bottom, 42 Wall, 43 Exhaust hole, 44 Lid, 45 O-ring, 48 Lower surface, 49 Gas supply system, 30, 50 Gas supply hole, 51 Cylindrical support, 52 Cooling jacket, 53 Matching mechanism, 54 Mode converter, 55 Wave tube, 56 coaxial waveguide, 57 recess, 58 high frequency power supply, 59 matching unit, 60 circuit, 62,72 natural oxide film, 63 contaminant, 64 surface, 65,83 plasma, 66,75,82 dopant, 73 deposition layer, 74 ion cluster, 76,84 damage, 77,85 amorphous layer, 91 FinFET, 92 fin, 93 gate, 94 source, 95 drain, 96 hatching, 98 photoresist layer, 99 dotted line.

Claims (22)

プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング装置であって、
その内部で被処理基板にドーパントを注入させる処理容器と、
前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給するガス供給部と、
前記処理容器内に配置され、その上で前記被処理基板を支持する支持台と、
マイクロ波を用い、前記処理容器内にプラズマを発生させるプラズマ発生機構と、
前記処理容器内の圧力を調整する圧力調整機構と、
前記支持台にバイアス電力を供給可能なバイアス電力供給機構と、
前記プラズマドーピング装置を制御する制御部と、
を備え、
前記制御部は、前記ドーパントのイオンエネルギーが100eV未満となるよう前記バイアス電力供給機構により供給するバイアス電力を制御する、プラズマドーピング装置。
A plasma doping apparatus that performs doping by injecting a dopant into a substrate to be processed using plasma,
A processing container for injecting a dopant into the substrate to be processed therein;
A gas supply unit for supplying a doping gas and an inert gas for plasma excitation into the processing vessel;
A support base disposed in the processing container and supporting the substrate to be processed thereon;
A plasma generation mechanism for generating plasma in the processing vessel using a microwave;
A pressure adjusting mechanism for adjusting the pressure in the processing vessel;
A bias power supply mechanism capable of supplying bias power to the support base;
A controller for controlling the plasma doping apparatus;
With
The plasma doping apparatus, wherein the control unit controls bias power supplied by the bias power supply mechanism so that ion energy of the dopant is less than 100 eV.
前記制御部は、前記支持台にバイアス電力を供給しないよう前記バイアス電力供給機構を制御する、請求項1に記載のプラズマドーピング装置。   The plasma doping apparatus according to claim 1, wherein the control unit controls the bias power supply mechanism so as not to supply bias power to the support base. 前記制御部は、前記バイアス電力供給機構により供給するバイアス電力の周波数を13.56〜300MHzの範囲とする、請求項1に記載のプラズマドーピング装置。   The plasma doping apparatus according to claim 1, wherein the control unit sets a frequency of bias power supplied by the bias power supply mechanism in a range of 13.56 to 300 MHz. 前記制御部は、前記処理容器内の圧力を50mTorr以上となるよう前記圧力調整機構を制御する、請求項1〜3の何れか一項に記載のプラズマドーピング装置。   The plasma doping apparatus according to any one of claims 1 to 3, wherein the control unit controls the pressure adjusting mechanism so that a pressure in the processing container becomes 50 mTorr or more. 前記制御部は、ドーピングを行う前記被処理基板の表面に、選択的にプラズマによって分解したドーピングガスの分子または原子を吸着させる温度に、前記被処理基板を加熱する、請求項1〜4の何れか一項に記載のプラズマドーピング装置。   The said control part heats the said to-be-processed substrate to the temperature which adsorb | sucks the molecule | numerator or atom of the doping gas selectively decomposed | disassembled by plasma on the surface of the to-be-processed substrate to which doping is performed. The plasma doping apparatus according to claim 1. 前記制御部は、前記ガス供給部から前記ドーピングガスを供給する前に、ドーピングを行う前記被処理基板の表面に、前記不活性ガスを供給する、請求項1〜5の何れか一項に記載のプラズマドーピング装置。   The said control part supplies the said inert gas to the surface of the said to-be-processed substrate which performs doping, before supplying the said doping gas from the said gas supply part. Plasma doping equipment. 前記制御部は、前記被処理基板にドーピングを行った後に、ドーピングを行った前記被処理基板の表面に、前記不活性ガスを供給する、請求項1〜6の何れか一項に記載のプラズマドーピング装置。   The said control part supplies the said inert gas to the surface of the said to-be-processed substrate which doped the said to-be-processed substrate, The plasma as described in any one of Claims 1-6. Doping equipment. 前記プラズマ発生機構は、プラズマ励起用のマイクロ波を発生させるマイクロ波発生器と、前記マイクロ波発生器により発生させたマイクロ波を前記処理容器内へ透過させる誘電体窓と、複数のスロット孔が設けられており、前記マイクロ波を前記誘電体窓に放射するスロットアンテナ板とを含む、請求項1〜7の何れか一項に記載のプラズマドーピング装置。   The plasma generation mechanism includes a microwave generator that generates a microwave for plasma excitation, a dielectric window that transmits the microwave generated by the microwave generator into the processing container, and a plurality of slot holes. The plasma doping apparatus according to claim 1, further comprising: a slot antenna plate that radiates the microwave to the dielectric window. 前記プラズマ発生機構により発生させるマイクロ波プラズマは、ラジアルラインスロットアンテナ(RLSA)により生成される、請求項8に記載のプラズマドーピング装置。   The plasma doping apparatus according to claim 8, wherein the microwave plasma generated by the plasma generation mechanism is generated by a radial line slot antenna (RLSA). 前記ガス供給部は、ドーピングガスとして、B、PH、AsH、GeH、CH、NH、NF、N、HF、およびSiHからなる群から選択される少なくとも一種のガスを供給する、請求項1〜9の何れか一項に記載のプラズマドーピング装置。 The gas supply unit is at least one selected from the group consisting of B 2 H 6 , PH 3 , AsH 3 , GeH 4 , CH 4 , NH 3 , NF 3 , N 2 , HF, and SiH 4 as a doping gas. The plasma doping apparatus according to claim 1, wherein the gas is supplied. プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング方法であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう前記支持台にバイアス電力を供給し、前記被処理基板にドーパントを注入する、プラズマドーピング方法。
A plasma doping method that performs doping by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. And applying a bias power to the support so that the ion energy of the dopant is less than 100 eV, and implanting the dopant into the substrate to be processed.
プラズマを用いて、被処理基板にドーパントを注入してドーピングを行うプラズマドーピング方法であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させて、前記支持台にバイアス電力を供給せずに前記被処理基板にドーパントを注入する、プラズマドーピング方法。
A plasma doping method that performs doping by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. Then, a plasma doping method of injecting a dopant into the substrate to be processed without supplying a bias power to the support base.
供給するバイアス電力の周波数を13.56〜300MHzの範囲とする、請求項11に記載のプラズマドーピング方法。   The plasma doping method according to claim 11, wherein the frequency of the bias power to be supplied is in the range of 13.56 to 300 MHz. 前記処理容器内の圧力を50mTorr以上として前記被処理基板にドーパントを注入する、請求項11〜13の何れか一項に記載のプラズマドーピング方法。   The plasma doping method according to any one of claims 11 to 13, wherein a dopant is implanted into the substrate to be processed at a pressure in the processing vessel of 50 mTorr or higher. ドーピングを行う前記被処理基板の表面に、選択的にプラズマによって分解したドーピングガスの分子または原子を吸着させる温度に、前記被処理基板を加熱する、請求項11〜14の何れか一項に記載のプラズマドーピング方法。   The said to-be-processed substrate is heated to the temperature which adsorb | sucks the molecule | numerator or atom of the doping gas selectively decomposed | disassembled by the plasma on the surface of the to-be-processed substrate which performs doping. Plasma doping method. 前記ドーピングガスを供給する前に、ドーピングを行う前記被処理基板の表面に、前記不活性ガスを供給する、請求項11〜15の何れか一項に記載のプラズマドーピング方法。   The plasma doping method according to any one of claims 11 to 15, wherein the inert gas is supplied to the surface of the substrate to be processed before the doping gas is supplied. 前記被処理基板にドーピングを行った後に、ドーピングを行った前記被処理基板の表面に、前記不活性ガスを供給する、請求項11〜16の何れか一項に記載のプラズマドーピング方法。   The plasma doping method according to any one of claims 11 to 16, wherein the inert gas is supplied to a surface of the doped substrate after doping the substrate to be treated. マイクロ波を用いて発生させるプラズマは、ラジアルラインスロットアンテナ(RLSA)により生成される、請求項11〜17の何れか一項に記載のプラズマドーピング方法。   The plasma doping method according to claim 11, wherein the plasma generated using the microwave is generated by a radial line slot antenna (RLSA). プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子の製造方法であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう前記支持台にバイアス電力を供給し、前記被処理基板にドーパントを注入する工程を含む、半導体素子の製造方法。
A method of manufacturing a semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. And supplying a bias power to the support so that the ion energy of the dopant is less than 100 eV, and injecting the dopant into the substrate to be processed.
プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子の製造方法であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させ、前記支持台にバイアス電力を供給せずに前記被処理基板にドーパントを注入する工程を含む、半導体素子の製造方法。
A method of manufacturing a semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. And a step of implanting a dopant into the substrate to be processed without supplying bias power to the support base.
プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させ、ドーパントのイオンエネルギーが100eV未満となるよう前記支持台にバイアス電力を供給し、前記被処理基板にドーパントを注入して製造される、半導体素子。
A semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. A semiconductor device manufactured by supplying a bias power to the support so that the ion energy of the dopant is less than 100 eV and injecting the dopant into the substrate to be processed.
プラズマを用いて、被処理基板にドーパントを注入して製造される半導体素子であって、
処理容器内に配置された支持台上に被処理基板を支持し、前記処理容器内にドーピングガスおよびプラズマ励起用の不活性ガスを供給し、マイクロ波を用いて前記処理容器内にプラズマを発生させ、前記支持台にバイアス電力を供給せずに前記被処理基板にドーパントを注入して製造される、半導体素子。
A semiconductor device manufactured by injecting a dopant into a substrate to be processed using plasma,
A substrate to be processed is supported on a support table arranged in a processing container, a doping gas and an inert gas for plasma excitation are supplied into the processing container, and plasma is generated in the processing container using microwaves. A semiconductor device manufactured by implanting a dopant into the substrate to be processed without supplying bias power to the support base.
JP2012556308A 2010-06-23 2011-06-23 Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element Withdrawn JP2013534712A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012556308A JP2013534712A (en) 2010-06-23 2011-06-23 Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US39839810P 2010-06-23 2010-06-23
US61/398,398 2010-06-23
JP2010276934 2010-12-13
JP2010276934 2010-12-13
PCT/JP2011/003584 WO2011161965A1 (en) 2010-06-23 2011-06-23 Plasma doping device, plasma doping method, method for manufacturing semiconductor element, and semiconductor element
JP2012556308A JP2013534712A (en) 2010-06-23 2011-06-23 Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element

Publications (1)

Publication Number Publication Date
JP2013534712A true JP2013534712A (en) 2013-09-05

Family

ID=45371170

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012556308A Withdrawn JP2013534712A (en) 2010-06-23 2011-06-23 Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element

Country Status (3)

Country Link
JP (1) JP2013534712A (en)
TW (1) TW201205648A (en)
WO (1) WO2011161965A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015128108A (en) * 2013-12-27 2015-07-09 東京エレクトロン株式会社 Doping method, doping device and semiconductor element manufacturing method
JP2016122769A (en) * 2014-12-25 2016-07-07 東京エレクトロン株式会社 Doping method and manufacturing method of semiconductor element

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5742810B2 (en) * 2012-10-02 2015-07-01 東京エレクトロン株式会社 Plasma doping apparatus, plasma doping method, and semiconductor device manufacturing method
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
US9373512B2 (en) 2013-12-03 2016-06-21 GlobalFoundries, Inc. Apparatus and method for laser heating and ion implantation
CN116631916B (en) * 2023-07-14 2024-01-12 深圳快捷芯半导体有限公司 Semiconductor silicon wafer local doping device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
CN101548190A (en) * 2006-12-18 2009-09-30 应用材料股份有限公司 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
JP2008300687A (en) * 2007-05-31 2008-12-11 Tokyo Electron Ltd Plasma doping method, and device therefor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015128108A (en) * 2013-12-27 2015-07-09 東京エレクトロン株式会社 Doping method, doping device and semiconductor element manufacturing method
JP2016122769A (en) * 2014-12-25 2016-07-07 東京エレクトロン株式会社 Doping method and manufacturing method of semiconductor element

Also Published As

Publication number Publication date
TW201205648A (en) 2012-02-01
WO2011161965A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
CN108431925B (en) Method for doping substrate and semiconductor device and system for doping substrate
TWI543239B (en) A method for processing a substrate having a non-planar substrate surface
TWI480932B (en) A technique for processing a substrate having a non-planar surface
JP2013534712A (en) Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element
US9472404B2 (en) Doping method, doping apparatus and method of manufacturing semiconductor device
US20060205192A1 (en) Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
WO2005093800A1 (en) Method of impurity introduction, impurity introduction apparatus and semiconductor device produced with use of the method
WO2013105324A1 (en) Plasma doping apparatus, plasma doping method, semiconductor element manufacturing method, and semiconductor element
US20130023104A1 (en) Method for manufacturing semiconductor device
WO2012068088A1 (en) Doping of planar or three-dimensional structures at elevated temperatures
TW201601221A (en) Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
WO2010051283A1 (en) Doping profile modification in p3i process
KR20140135115A (en) Method for forming an interfacial layer on a semiconductor using hydrogen plasma
Ensinger Semiconductor processing by plasma immersion ion implantation
JP5097538B2 (en) Plasma doping method and apparatus used therefor
KR101544938B1 (en) Plasma doping apparatus and plasma doping method
JP5520974B2 (en) Method for treating substrate to be treated
JP5742810B2 (en) Plasma doping apparatus, plasma doping method, and semiconductor device manufacturing method
WO2016104206A1 (en) Doping method, doping device, and semiconductor element manufacturing method
TW201407669A (en) Method for injecting dopant into base body to be processed, and plasma doping apparatus
JP3578345B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2016122769A (en) Doping method and manufacturing method of semiconductor element
JP2016225356A (en) Semiconductor element manufacturing method
JP2007194653A (en) Semiconductor device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140902