JP2013131070A - Apparatus, method, and program for supporting circuit design - Google Patents

Apparatus, method, and program for supporting circuit design Download PDF

Info

Publication number
JP2013131070A
JP2013131070A JP2011280539A JP2011280539A JP2013131070A JP 2013131070 A JP2013131070 A JP 2013131070A JP 2011280539 A JP2011280539 A JP 2011280539A JP 2011280539 A JP2011280539 A JP 2011280539A JP 2013131070 A JP2013131070 A JP 2013131070A
Authority
JP
Japan
Prior art keywords
target path
arrangement information
cell
wiring
jitter value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011280539A
Other languages
Japanese (ja)
Inventor
Takayuki Oshima
孝幸 大嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011280539A priority Critical patent/JP2013131070A/en
Publication of JP2013131070A publication Critical patent/JP2013131070A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a circuit design support apparatus capable of supporting designing of a low power consumption circuit whose jitter value remains within a tolerance range even if source voltage fluctuates due to power source noise.SOLUTION: A circuit design support apparatus includes; a condition setting section 11 which sets target paths of a designing object, a signal cycle, and a tolerable jitter value based on user inputs; a voltage computation section 12 for computing average power source voltage, which is a period-average of power source voltage supplied to the target paths, and a maximum and minimum thereof; a cell setting section 14 for sequentially setting cell arrangement information in a manner which reduces driving capability of the target paths; a wiring length computation section 15 for computing wiring length information corresponding to a case where the difference between a first delay of the target paths, when the maximum average power source voltage is supplied, and a second delay of the target paths, when the minimum average power source voltage is supplied is the smallest; a jitter value computation section 16 for sequentially computing jitter values for the target paths corresponding to the arrangement information in order of descending driving capability based on the average power source voltage; and a determination section 17 for determining whether or not the jitter values exceed the tolerable jitter value.

Description

本発明は回路設計支援装置に関し、特にジッタ及び消費電力を考慮した回路設計に関する。   The present invention relates to a circuit design support apparatus, and more particularly to a circuit design that takes jitter and power consumption into consideration.

電源ノイズなどに起因するジッタは、チップ間通信のエラーなどの実機不良を引き起こす原因となるため、様々なジッタの低減方法が考えられている。図1は、様々なジッタの低減方法を示した図である。図1に示すように、ジッタの低減方法は大別すると4つの方法が考えられる。ノイズ源を低減する方法(攻撃者側の対策)には、(1)電源配線を強化する方法、(2)消費電流を低減する方法がある。ノイズの影響を低減する方法(被害者側の対策)には、(3)ジッタを直接低減する方法、(4)電源ノイズの影響を低減することによりジッタを低減する方法がある。   Since jitter caused by power supply noise causes an actual machine failure such as an error in communication between chips, various methods for reducing jitter have been considered. FIG. 1 is a diagram showing various jitter reduction methods. As shown in FIG. 1, the jitter reduction methods can be roughly divided into four methods. There are (1) a method for strengthening power supply wiring and (2) a method for reducing current consumption as methods for reducing noise sources (measures on the attacker side). There are (3) a method of directly reducing jitter and (4) a method of reducing jitter by reducing the influence of power supply noise.

本発明は、(4)電源ノイズの影響を低減することによりジッタを低減する方法に関し、特に、パス構成に基づいてジッタの低減を行うものである。パス構成に関連する技術としては以下のような文献が開示されている。非特許文献1には、パス遅延を最小化する技術が開示されている。図2は、非特許文献1において、パス遅延に関する図である。図2を参照すると、リピータの挿入数と、パス遅延との関係が示されている。非特許文献1では、パス遅延の最小化を目的として、等間隔でセル(リピータ)を何段挿入したら、パス遅延が最小になるかについて開示している。   The present invention relates to (4) a method of reducing jitter by reducing the influence of power supply noise, and particularly to reducing jitter based on a path configuration. The following documents are disclosed as techniques related to the path configuration. Non-Patent Document 1 discloses a technique for minimizing path delay. FIG. 2 is a diagram regarding path delay in Non-Patent Document 1. Referring to FIG. 2, the relationship between the number of repeater insertions and the path delay is shown. Non-Patent Document 1 discloses how many stages of cells (repeaters) are inserted at equal intervals to minimize path delay for the purpose of minimizing path delay.

特許文献1(特開2011−8410号公報)には、製造ばらつき、及び、環境変動等のパラメータの条件の変動に対して、耐性の強い信号パスの回路設計を行うことができる回路設計支援装置が開示されている。この回路設計支援装置は、信号パスでの前段回路と後段回路との間を接続する配線として取り得る配線長ごとに、複数のパラメータの所定の条件における信号パスの遅延時間から、複数のパラメータの個別の条件の変動が遅延時間に与える影響度合いを算出する算出手段と、算出された影響度合いに基づいて信号パスの配置情報を決定する決定手段とを備えることを特徴としている。   Patent Document 1 (Japanese Patent Application Laid-Open No. 2011-8410) discloses a circuit design support apparatus that can perform circuit design of a signal path that is highly resistant to fluctuations in parameter conditions such as manufacturing variations and environmental variations. Is disclosed. This circuit design support device uses a delay time of a plurality of parameters from a delay time of a signal path under a predetermined condition of a plurality of parameters for each wiring length that can be taken as a wiring connecting a front circuit and a rear circuit in a signal path. It is characterized by comprising a calculating means for calculating the degree of influence of fluctuation of individual conditions on the delay time, and a determining means for determining signal path arrangement information based on the calculated degree of influence.

また、図1の(3)ジッタを直接低減する方法であって、ジッタ低減回路に関する技術としては以下のような文献が開示されている。特許文献2(特開2010−191644号公報)には、クロックジッタを低減できる半導体設計支援プログラムが開示されている。この半導体設計支援プログラムは、調整対象回路指定手段と、ノイズ周期特定手段と、遅延時間特定手段と、調整時間決定手段とを備える。調整対象回路指定手段は、調整対象となるクロック分配回路を指定する。ノイズ周期特定手段は、クロック分配回路に周期的なノイズの影響を与えるノイズ源のノイズ周期を特定する。遅延時間特定手段は、クロック分配回路の伝播遅延時間を特定する。調整時間決定手段は、伝播遅延時間に調整時間を加えた調整後伝播遅延時間が、ノイズ周期の自然数倍となるように調整時間を決定する。このような半導体設計支援プログラムによれば、クロック信号のジッタ量を低減した半導体装置を容易に設計できるとされている。   1 is a method for directly reducing jitter (3), and the following documents are disclosed as techniques relating to the jitter reduction circuit. Patent Document 2 (Japanese Patent Laid-Open No. 2010-191644) discloses a semiconductor design support program that can reduce clock jitter. The semiconductor design support program includes an adjustment target circuit specifying unit, a noise period specifying unit, a delay time specifying unit, and an adjustment time determining unit. The adjustment target circuit designating unit designates a clock distribution circuit to be adjusted. The noise period specifying means specifies a noise period of a noise source that has an influence of periodic noise on the clock distribution circuit. The delay time specifying means specifies the propagation delay time of the clock distribution circuit. The adjustment time determining means determines the adjustment time so that the adjusted propagation delay time obtained by adding the adjustment time to the propagation delay time is a natural number multiple of the noise period. According to such a semiconductor design support program, it is said that a semiconductor device in which the jitter amount of the clock signal is reduced can be easily designed.

特許文献3(特開2010−39631号公報)には、電源ノイズによる電源電圧変動を考慮して論理セルを配置するLSIの設計方法が開示されている。このLSIの設計方法は、電源線上の複数の点における電圧波形を取得し、電圧波形から最小電圧を求め、電源線の複数の点の中の2点間の距離に対する最小電圧の空間相関を表す第1の相関係数を求め、第1の相関係数に基づいて、複数の論理セルの配置を決定することを特徴としている。   Patent Document 3 (Japanese Patent Laid-Open No. 2010-39631) discloses an LSI design method in which logic cells are arranged in consideration of power supply voltage fluctuation due to power supply noise. This LSI design method obtains a voltage waveform at a plurality of points on a power supply line, obtains a minimum voltage from the voltage waveform, and expresses a spatial correlation of the minimum voltage with respect to a distance between two points among the plurality of points on the power supply line. The first correlation coefficient is obtained, and the arrangement of the plurality of logic cells is determined based on the first correlation coefficient.

特許文献4(特開2008−227624号公報)には、電源ノイズの影響を軽減する方法が開示されている。この電源ノイズの影響低減方法は、電子回路の第1の信号線を伝播する第1の信号に乗っている電源ノイズが、第2の信号線を伝播する第2の信号に与える影響を軽減する方法であって、第2の信号に影響を与える電源ノイズ内の特定の周波数成分のノイズを測定し、測定された特定の周波数成分のノイズの発生頻度に応じて第1又は第2の信号の成分を制御することを特徴としている。これによって、第2の信号に生じる電源ノイズの影響を低減できるとされている。   Japanese Patent Application Laid-Open No. 2008-227624 discloses a method for reducing the influence of power supply noise. This method of reducing the influence of power supply noise reduces the influence of power supply noise riding on the first signal propagating through the first signal line of the electronic circuit on the second signal propagating through the second signal line. A method of measuring noise of a specific frequency component in power supply noise that affects the second signal, and measuring the noise of the first or second signal according to the frequency of occurrence of noise of the measured specific frequency component. It is characterized by controlling the components. As a result, the influence of power supply noise generated in the second signal can be reduced.

特許文献5(特開2007−193658号公報)には、クロック遅延値を調整する機能を備える半導体装置が開示されている。この半導体装置は、発振源から出力されるクロックを、半導体装置の内部回路へ分配するクロック分配手段と、クロックを外部回路に供給するクロック供給手段と、外部回路に供給されるクロックとクロック分配手段の終端におけるクロックとの位相差を検出する位相差検出手段と、位相差検出手段で検出された位相差のデータを元にクロック供給手段から出力されるクロックの遅延を調整するクロック遅延調整手段とを含むことを特徴としている。このような半導体装置は、内部ブロックの動作による電源変動によっても、外部に出力するクロックに影響を及ぼさないため、安定したクロック信号を供給することが可能であるとしている。   Japanese Patent Laid-Open No. 2007-193658 discloses a semiconductor device having a function of adjusting a clock delay value. The semiconductor device includes a clock distribution unit that distributes a clock output from an oscillation source to an internal circuit of the semiconductor device, a clock supply unit that supplies a clock to an external circuit, a clock that is supplied to the external circuit, and a clock distribution unit A phase difference detecting means for detecting a phase difference from the clock at the end of the clock, and a clock delay adjusting means for adjusting a delay of the clock output from the clock supply means based on the phase difference data detected by the phase difference detecting means; It is characterized by including. Such a semiconductor device can supply a stable clock signal because it does not affect the clock output to the outside even if the power supply fluctuates due to the operation of the internal block.

特開2011−8410号公報JP 2011-8410 A 特開2010−191644号公報JP 2010-191644 A 特開2010−39631号公報JP 2010-39631 A 特開2008−227624号公報JP 2008-227624 A 特開2007−193658号公報JP 2007-193658 A

G.Chen and E.G.Friedman,“Low−Power Repeaters Driving RC and RLC Interconnects With Delay and Bandwidth Constraints,” IEEE Trans. VLSI SYSTEMS,VOL.14,NO.2,FEBRUARY 2006.G. Chen and E.M. G. Friedman, “Low-Power Repeaters Driving RC and RLC Interconnects With Delay and Bandwidth Constants,” IEEE Trans. VLSI SYSTEMS, VOL. 14, NO. 2, FEBRUARY 2006.

非特許文献1に記載されたような方法では、パス遅延(セル遅延+配線遅延)を最小化するためにリピータを挿入しているため、パス遅延のうちでセル遅延の割合が大きくなる。配線遅延は電圧が変動しても変動しにくいが、セル遅延は電圧の変動に基づいて変動しやすい性質がある。従って、非特許文献1のようにリピータの挿入によってパス遅延を最小化したとしても、電圧の変動による影響を受けやすくなり、その結果、電源ノイズによるパス遅延の変動(ジッタ値)が大きくなる問題がある。   In the method described in Non-Patent Document 1, since a repeater is inserted to minimize the path delay (cell delay + wiring delay), the ratio of the cell delay in the path delay increases. Although the wiring delay hardly changes even when the voltage changes, the cell delay tends to change based on the voltage change. Therefore, even if the path delay is minimized by inserting a repeater as in Non-Patent Document 1, it is likely to be affected by voltage fluctuation, and as a result, path delay fluctuation (jitter value) due to power supply noise becomes large. There is.

以下に、発明を実施するための形態で使用される符号を括弧付きで用いて、課題を解決するための手段を記載する。この符号は、特許請求の範囲の記載と発明を実施するための形態の記載との対応を明らかにするために付加されたものであり、特許請求の範囲に記載されている発明の技術的範囲の解釈に用いてはならない。   In the following, means for solving the problems will be described using the reference numerals used in the embodiments for carrying out the invention in parentheses. This symbol is added to clarify the correspondence between the description of the claims and the description of the mode for carrying out the invention, and the technical scope of the invention described in the claims. Must not be used to interpret

本発明の回路設計支援装置(1)は、信号を伝搬させる設計対象である対象パス(23)と、信号の周期と、信号の許容ジッタ値とをユーザ入力に基づいて設定する条件設定部(11)と、対象パス(23)に供給される電源電圧(V)を周期(T)毎に平均化した平均電源電圧(VA)と、平均電源電圧(VA)の最大値(VAmax)及び最小値(VAmin)とを算出する電圧算出部(12)と、対象パス(23)の要素として配置される駆動能力の異なる複数のセル種(CELL)の各々の論理段数(n)の組合せである配置情報を、対象パス(23)の駆動能力が減少していくように順番に設定するセル設定部(14)と、駆動能力が高い配置情報の順に、配置情報で規定される対象パス(23)に対して、最大値(VAmax)が供給されたときの対象パス(23)の第1遅延と、最小値(VAmin)が供給されたときの対象パス(23)の第2遅延との差が最小となるときの、複数のセル種(CELL)の各々の後段の最適配線長(l)の組合せである配線情報を算出する配線長算出部(15)と、駆動能力が高い配置情報の順に、配置情報と配線情報とで規定される対象パス(23)に対して、平均電源電圧(VA)に基づいてジッタ値(J)を算出するジッタ値算出部(16)と、駆動能力が高い配置情報の順に、ジッタ値(J)が許容ジッタ値を超えているか否かを判定する判定部(17)とを具備する。判定部(17)は、第1配置情報と第1配線情報とで規定される対象パス(23)の第1ジッタ値(J)が許容ジッタ値を越えている場合、第1配置情報と第1配線情報よりも前に算出されている、第2配置情報と第2配線情報とを対象パス(23)の要素として決定する。 A circuit design support device (1) according to the present invention includes a condition setting unit (23) that sets a target path (23) that is a design target for signal propagation, a signal cycle, and an allowable jitter value of a signal based on user input. 11), the average power supply voltage (VA k ) obtained by averaging the power supply voltage (V) supplied to the target path (23) every period (T), and the maximum value (VA max ) of the average power supply voltage (VA k ) ) And a minimum value (VA min ), and the number of logical stages (n) of each of a plurality of cell types (CELL i ) having different driving capabilities arranged as elements of the target path (23). i ) is defined by arrangement information in the order of the cell setting unit (14) that sets the arrangement information in order so that the driving capability of the target path (23) decreases and the arrangement information having the highest driving capability. For the target path (23) (VA max) and the first delay of the target path (23) when it is supplied, when the difference between the second delay target path (23) is minimized when the minimum value (VA min) is supplied Of the plurality of cell types (CELL i ), the wiring length calculation unit (15) that calculates the wiring information that is the combination of the optimal wiring length (l i ) at the subsequent stage, and the arrangement information in the order of arrangement information with high driving capability A jitter value calculation unit (16) for calculating a jitter value (J) based on an average power supply voltage (VA) for a target path (23) defined by the information and the wiring information, and arrangement information having a high driving capability And a determination unit (17) for determining whether or not the jitter value (J) exceeds the allowable jitter value. When the first jitter value (J) of the target path (23) defined by the first arrangement information and the first wiring information exceeds the allowable jitter value, the determination unit (17) The second arrangement information and the second wiring information calculated before the one wiring information are determined as elements of the target path (23).

本発明の回路設計支援方法は、信号を伝搬させる設計対象である対象パス(23)と、信号の周期(T)と、信号の許容ジッタ値とをユーザ入力に基づいて設定するステップと、対象パス(23)に供給される電源電圧(V)を周期(T)毎に平均化した平均電源電圧(VA)と、平均電源電圧(VA)の最大値(VAmax)及び最小値(VAmin)とを算出するステップと、対象パス(23)の要素として配置される駆動能力の異なる複数のセル種(CELL)の各々の論理段数(n)の組合せである配置情報に基づいて、電源電圧(V)が変動しても対象パス(23)の遅延の変動が小さい対象パス(23)の要素を決定するステップとを具備する。
対象パス(23)の要素を決定するステップは、第1配置情報を設定するステップと、第1配置情報で規定される対象パス(23)に対して、最大値(VAmax)が供給されたときの対象パス(23)の第1遅延と、最小値(VAmin)が供給されたときの対象パス(23)の第2遅延との差が最小となるときの、第1配置情報に含まれる複数のセル種(CELL)の各々の後段の最適配線長(l)の組合せである第1配線情報を算出するステップと、第1配置情報と第1配線情報とで規定される対象パス(23)に対して、平均電源電圧(VA)に基づいて第1ジッタ値(J)を算出するステップと、第1ジッタ値(J)が許容ジッタ値以下であることを判定するステップと、第1配置情報よりも駆動能力の低い第2配置情報を設定するステップと、第2配置情報で規定される対象パス(23)に対して、最大値(VAmax)が供給されたときの対象パス(23)の第3遅延と、最小値(VAmin)が供給されたときの対象パス(23)の第4遅延との差が最小となるときの、第2配置情報に含まれる複数のセル種(CELL)の各々の後段の最適配線長(l)の組合せである第2配線情報を算出するステップと、第2配置情報と第2配線情報とで規定される対象パス(23)に対して、平均電源電圧(VA)に基づいて第2ジッタ値(J)を算出するステップと、第2ジッタ値(J)が許容ジッタ値を超えたか否かを判定するステップと、第2ジッタ値(J)が許容ジッタ値を越えている場合、第1配置情報と第1配線情報とを対象パス(23)の要素として決定するステップを備える。
The circuit design support method of the present invention includes a step of setting a target path (23) that is a design target for signal propagation, a signal period (T), and an allowable jitter value of the signal based on user input, The average power supply voltage (VA k ) obtained by averaging the power supply voltage (V) supplied to the path (23) every period (T), and the maximum value (VA max ) and the minimum value of the average power supply voltage (VA k ) ( VA min ) and arrangement information that is a combination of the number of logical stages (n i ) of each of a plurality of cell types (CELL i ) having different driving capabilities arranged as elements of the target path (23). And determining an element of the target path (23) in which the delay variation of the target path (23) is small even if the power supply voltage (V) varies.
In the step of determining the element of the target path (23), the maximum value (VA max ) is supplied to the step of setting the first arrangement information and the target path (23) defined by the first arrangement information. Included in the first arrangement information when the difference between the first delay of the target path (23) at the time and the second delay of the target path (23) when the minimum value (VA min ) is supplied is minimum A step of calculating first wiring information that is a combination of the optimum wiring length (l i ) at the subsequent stage of each of the plurality of cell types (CELL i ), and an object defined by the first arrangement information and the first wiring information A step of calculating a first jitter value (J) for the path (23) based on the average power supply voltage (VA k ), and a step of determining that the first jitter value (J) is less than or equal to an allowable jitter value. And a second arrangement having a lower driving capability than the first arrangement information A step of setting information, a third delay of the target path (23) when the maximum value (VA max ) is supplied to the target path (23) defined by the second arrangement information, and a minimum value ( VA min ) when the difference from the fourth delay of the target path (23) is minimized, the optimal wiring in the subsequent stage of each of the plurality of cell types (CELL i ) included in the second arrangement information The average power supply voltage (VA k ) is calculated for the target path (23) defined by the step of calculating the second wiring information that is a combination of the length (l i ) and the second arrangement information and the second wiring information. A step of calculating a second jitter value (J) based on the step, a step of determining whether or not the second jitter value (J) exceeds the allowable jitter value, and the second jitter value (J) exceeds the allowable jitter value. If the first path information and the first wiring information are the target path (2 The step of determining as an element of 3) is provided.

本発明の回路設計支援装置は、電源ノイズに起因して電圧が変動しても、ジッタ値が許容範囲内に収まる低消費電力回路の設計を支援することができる。   The circuit design support device of the present invention can support the design of a low power consumption circuit in which the jitter value is within an allowable range even when the voltage fluctuates due to power supply noise.

図1は、様々なジッタの低減方法を示した図である。FIG. 1 is a diagram showing various jitter reduction methods. 図2は、非特許文献1において、パス遅延に関する図である。FIG. 2 is a diagram regarding path delay in Non-Patent Document 1. 図3Aは、半導体装置の設計フローを示した図である。FIG. 3A is a diagram illustrating a design flow of a semiconductor device. 図3Bは、本発明の回路設計支援装置1の概要である。FIG. 3B is an outline of the circuit design support apparatus 1 of the present invention. 図4は、本発明の回路設計支援装置1の構成例を示したブロック図である。FIG. 4 is a block diagram showing a configuration example of the circuit design support apparatus 1 of the present invention. 図5は、ライブラリ部10が格納するライブラリの例である。FIG. 5 is an example of a library stored in the library unit 10. 図6は、式(1)のステージ遅延の関数を図示したものである。FIG. 6 illustrates the stage delay function of equation (1). 図7は、ステージ遅延とパス遅延との関係を示す図である。FIG. 7 is a diagram illustrating the relationship between stage delay and path delay. 図8は、条件設定部11が設定するジッタ値を低減させる対象パス23を示す図である。FIG. 8 is a diagram illustrating the target path 23 for reducing the jitter value set by the condition setting unit 11. 図9は、クロック信号を示す図である。FIG. 9 is a diagram illustrating a clock signal. 図10は、クロック信号のジッタを示す図である。FIG. 10 is a diagram illustrating jitter of a clock signal. 図11は、電圧波形情報示す図である。FIG. 11 is a diagram showing voltage waveform information. 図12は、セル設定部14が各セル種CELLの論理段数nの組合せを変更していく処理を示す図である。FIG. 12 is a diagram illustrating a process in which the cell setting unit 14 changes the combination of the number of logical stages n i of each cell type CELL i . 図13は、図12に対応した対象パス23を示す図である。FIG. 13 is a diagram showing the target path 23 corresponding to FIG. 図14は、線形計画法によって算出されたCELLが取り得る配線長lと、CELLとが取り得る配線長lとの全ての組み合わせを実行可能領域として示す図である。FIG. 14 is a diagram showing all combinations of the wiring length l 1 that can be taken by CELL 1 and the wiring length l 2 that can be taken by CELL 2 as executable regions, calculated by linear programming. 図15は、最大値VAmaxのときのパス遅延と、最小値VAminのときのパス遅延との差を最小化することを示した概念図である。FIG. 15 is a conceptual diagram showing that the difference between the path delay at the maximum value VA max and the path delay at the minimum value VA min is minimized. 図16は、周期ジッタ値の算出対象となる対象パス23を示す図である。FIG. 16 is a diagram illustrating a target path 23 that is a calculation target of a periodic jitter value. 図17は、入力クロック信号と、出力クロック信号とに基づいて、ジッタ値Jを算出することを示す図である。FIG. 17 is a diagram illustrating calculation of the jitter value J based on the input clock signal and the output clock signal. 図18は、判定部17の判定回数と、判定対象のジッタ値Jとの関係を示した図である。FIG. 18 is a diagram illustrating the relationship between the number of determinations by the determination unit 17 and the jitter value J to be determined. 図19は、回路設計支援装置1の実施の形態における、ハードウエア構成例を示すブロック図である。FIG. 19 is a block diagram illustrating a hardware configuration example in the embodiment of the circuit design support device 1. 図20は、本発明の回路設計支援装置1の第1の実施の形態による処理動作を示したフローチャートである。FIG. 20 is a flowchart showing the processing operation according to the first embodiment of the circuit design support apparatus 1 of the present invention. 図21は、本発明の第2の実施の形態による回路設計支援装置1aの構成例を示したブロック図である。FIG. 21 is a block diagram showing a configuration example of a circuit design support apparatus 1a according to the second embodiment of the present invention. 図22Aは、クロックレイテンシ許容値を含む全ての条件を満たす実行可能領域がないことを示した図である。FIG. 22A is a diagram showing that there is no executable region that satisfies all the conditions including the clock latency tolerance value. 図22Bは、実行可能領域がある場合を示した図である。FIG. 22B is a diagram showing a case where there is an executable area. 図22Cは、実行可能領域がある場合を示した図である。FIG. 22C is a diagram showing a case where there is an executable area. 図23は、本発明の第2の実施の形態による回路設計支援装置1aの処理動作を示したフローチャートである。FIG. 23 is a flowchart showing the processing operation of the circuit design support apparatus 1a according to the second embodiment of the present invention.

以下、添付図面を参照して本発明の実施の形態による回路設計支援装置を説明する。   Hereinafter, a circuit design support apparatus according to an embodiment of the present invention will be described with reference to the accompanying drawings.

(第1の実施の形態)
本発明の第1の実施の形態を説明する。まず、本発明の回路設計支援装置の適用箇所について図3A、図3Bを用いて説明する。たとえば、所望するシステムを半導体集積回路として設計する際には、図3Aに示すように、おおむねシステム設計が実施され、その出力としてRTL(Register Transfer Level)記述された回路情報(機能情報)が作られる(ステップS101)。このRTL記述された回路情報を基に機能・論理設計が実施され、ゲートレベルの論理回路、すなわちネットリストが生成される(ステップS102)。そして、このネットリストをもとに、マクロの配置や、その他の素子(機能ブロックなど)を配置、配線してレイアウト設計を実施する(ステップS103、S104、S105)。図3Aでは示していないが、レイアウトが完了した回路の各検証を行い、問題なければ、サインオフにいたって、GDS(Graphic Design Standard)を出力する(ステップS106)。そしてGDSをもとに半導体装置の製造用マスクを作成し、半導体装置の製造に利用する。
(First embodiment)
A first embodiment of the present invention will be described. First, application points of the circuit design support apparatus of the present invention will be described with reference to FIGS. 3A and 3B. For example, when designing a desired system as a semiconductor integrated circuit, as shown in FIG. 3A, the system design is generally implemented, and circuit information (functional information) described in RTL (Register Transfer Level) is generated as the output. (Step S101). Functional / logic design is performed based on the circuit information described in the RTL, and a gate-level logic circuit, that is, a net list is generated (step S102). Then, based on the net list, a layout is designed by arranging and wiring a macro and other elements (functional blocks, etc.) (steps S103, S104, and S105). Although not shown in FIG. 3A, each verification of the circuit whose layout has been completed is performed. If there is no problem, the sign-off is performed and a GDS (Graphic Design Standard) is output (step S106). Then, a mask for manufacturing the semiconductor device is created based on the GDS and used for manufacturing the semiconductor device.

この一般的な半導体装置の設計フローにおいて、本発明の回路設計支援装置は、図3Aに示す、マクロの配置が終わった段階でのレイアウト情報をもとに、回路設計支援を行う対象パスや回路に対して処理を実行する(ステップS110)。あるいは、本発明の回路設計支援装置は、上述の機能ブロックなどを配置、配線してほぼ終盤のレイアウト設計が終わった段階でのレイアウト情報をもとに、回路設計支援を行う対象パスや回路に対して処理を実行する(ステップS111)。ステップS111の場合、本発明の回路設計支援装置の出力結果に応じて、再度、回路ならびにレイアウトの修正を行うECO(Engineering Change Orders)が実行される(ステップS105)。
なお、本明細書では、この一般的な半導体装置の設計フローを実行するシステムを単に設計システムと称する。
In this general design flow of a semiconductor device, the circuit design support apparatus of the present invention provides a target path or circuit for providing circuit design support based on layout information at the stage of macro placement shown in FIG. 3A. The process is executed for (Step S110). Alternatively, the circuit design support apparatus according to the present invention may be configured to target paths and circuits for which circuit design support is performed based on layout information at the stage when the layout design at the end of the process is almost completed by arranging and wiring the above-described functional blocks. Then, the process is executed (step S111). In step S111, ECO (Engineering Change Orders) for correcting the circuit and the layout is executed again according to the output result of the circuit design support apparatus of the present invention (step S105).
In this specification, a system that executes this general design flow of a semiconductor device is simply referred to as a design system.

次に図3Bに、本発明の回路設計支援装置1の概要を示す。本発明の回路設計支援装置1は、少なくとも、内部回路に供給される電源の電圧波形情報と、対象パス(あるいは対象回路)と、対象パス(あるいは対象回路)の総配線長と、セルリストと、対象パス(あるいは対象回路)の動作周波数とを含む入力情報が入力される。これらは、あらかじめ設計段階で既知の場合はすべて外部から入力し、図3Aの設計フローの各段階での情報を反映した情報として設計システムから得る場合は、設計システムから入力する。
図3Bにあっては、回路設計支援装置1のサブシステムは、レイアウト情報(たとえばDEF)からマクロの配置情報を入手し、この情報から電源ノイズ解析を行って上述の電圧波形情報を算出し(ステップS120)、回路設計支援装置1のメインシステムに入力する。また、回路設計支援装置1のサブシステムは、対象パスとレイアウト情報とに基づいて、対象パスの総配線長を算出する(ステップS121)。
Next, FIG. 3B shows an outline of the circuit design support apparatus 1 of the present invention. The circuit design support apparatus 1 of the present invention includes at least voltage waveform information of a power source supplied to an internal circuit, a target path (or target circuit), a total wiring length of the target path (or target circuit), a cell list, , Input information including the operating frequency of the target path (or target circuit) is input. These are all input from the outside if they are already known at the design stage, and are input from the design system when they are obtained from the design system as information reflecting the information at each stage of the design flow of FIG. 3A.
In FIG. 3B, the subsystem of the circuit design support apparatus 1 obtains macro placement information from layout information (for example, DEF), performs power supply noise analysis from this information, and calculates the voltage waveform information described above ( In step S120), input to the main system of the circuit design support apparatus 1. Further, the subsystem of the circuit design support apparatus 1 calculates the total wiring length of the target path based on the target path and the layout information (step S121).

なお、電圧波形情報や対象パス(あるいは対象回路)の総配線長は、より詳細なレイアウトが実施された後に算出するほうがベターな場合は、図3AのステップS111のフェーズで回路設計支援装置1を利用するとよい。更に、必要に応じてステップS110とステップS111との2回とも実行することも可能である。
また、実施箇所はこれらにかぎられない。すなわち、設計者が所望の段階で設計システムに対して回路設計支援装置1の出力結果を反映するようにすればよい。
なお、図3Bでは、上述の回路設計支援装置1のサブシステムとメインシステムとをあわせたものが本発明の回路設計支援装置1としているが、メインシステムのみの構成でもよい。
If it is better to calculate the voltage waveform information and the total wiring length of the target path (or target circuit) after a more detailed layout is performed, the circuit design support apparatus 1 is used in the phase of step S111 in FIG. 3A. Use it. Furthermore, it is possible to execute both step S110 and step S111 as needed.
Moreover, the implementation location is not limited to these. In other words, the designer may reflect the output result of the circuit design support apparatus 1 on the design system at a desired stage.
In FIG. 3B, the circuit design support apparatus 1 of the present invention is a combination of the subsystems of the circuit design support apparatus 1 and the main system described above, but the configuration of only the main system may be used.

本発明の回路設計支援装置1は、ジッタ低減設計を行って(ステップS130)、少なくとも対象パス(対象回路)の指定された論理段数nと配線長lとを出力し、前述の設計システムにフィードバックを行う。対象パスではなく、対象回路として指定した場合は、対象回路に含まれる1つまたは複数のパスに対して同様の処理を行う。すなわち設計システムは、本発明の回路設計支援装置1の出力した論理段数nと配線長lに従ってレイアウトを実行する。その処理のインターフェースについては、設計システムにあわせ適宜行えばよい。 The circuit design support apparatus 1 of the present invention performs jitter reduction design (step S130), outputs at least the designated logical stage number n i and wiring length l i of the target path (target circuit), and the above-described design system. Give feedback. When the target circuit is designated instead of the target path, the same processing is performed on one or a plurality of paths included in the target circuit. That is, the design system executes layout according to the number of logic stages ni and the wiring length l i output from the circuit design support apparatus 1 of the present invention. The processing interface may be appropriately performed according to the design system.

本実施の形態では、以上記したように、本発明の回路設計支援装置1は、少なくとも、内部回路に供給される電源の電圧波形情報・対象パス(あるいは対象回路)・対象パス(あるいは対象回路)の総配線長・セルリスト・対象パス(あるいは対象回路)の動作周波数を含む入力情報を基に、ジッタを考慮した回路となるように、対象パス(対象回路)に対して、少なくとも対象パス(対象回路)の指定された論理段数nと配線長lとを設計システムに出力する。このような本発明の回路設計支援装置(メインシステム)1は、図4に示されるような構成をとる。 In the present embodiment, as described above, the circuit design support apparatus 1 of the present invention includes at least the voltage waveform information / target path (or target circuit) / target path (or target circuit) of the power supplied to the internal circuit. ) At least for the target path (target circuit) so that the circuit takes into account jitter based on input information including the total wiring length, cell list, and operating frequency of the target path (or target circuit). The designated logic stage number n i and (wiring length l i ) of (target circuit) are output to the design system. Such a circuit design support apparatus (main system) 1 of the present invention has a configuration as shown in FIG.

図4は、本発明の回路設計支援装置(メインシステム)1の構成例を示したブロック図である。図4を参照すると、回路設計支援装置1は、ライブラリ部10と、条件設定部11と、電圧算出部12と、セル条件設定部13と、セル設定部14と、配線長算出部15と、ジッタ値算出部16と、判定部17と、消費電力算出部18と、出力部19とを具備する。   FIG. 4 is a block diagram showing a configuration example of the circuit design support apparatus (main system) 1 of the present invention. Referring to FIG. 4, the circuit design support apparatus 1 includes a library unit 10, a condition setting unit 11, a voltage calculation unit 12, a cell condition setting unit 13, a cell setting unit 14, a wiring length calculation unit 15, A jitter value calculation unit 16, a determination unit 17, a power consumption calculation unit 18, and an output unit 19 are provided.

ライブラリ部10は、セル種と、当該セル種を駆動する電源電圧の範囲と、電源電圧の範囲に対応したステージ遅延の関数とを関連付けてライブラリとして格納する。ライブラリ部10は、ライブラリを予め格納していてもよいし、ユーザ入力に基づいて生成してもよい。図5は、ライブラリ部10が格納するライブラリの例である。図5を参照すると、セル種CELLと、セル種CELLを駆動する電源電圧の範囲と、電源電圧の範囲に対応したステージ遅延の関数とが関連づけられている。ステージ遅延の関数について説明すると、ステージ遅延は、所定の機能を実現する回路を表すセルに関するセル遅延と、当該セルと後段のセルとを接続する配線に関する配線遅延とに基づいた遅延であって、セル種CELL(i=1〜m)と、セル種CELLを駆動する電源電圧vと、セル種CELLの後段の配線長lとに基づいて決まる遅延である。従って、セル種CELL及び電源電圧vを定めると、ステージ遅延は配線長lを変数とする関数として表せる。 The library unit 10 stores the cell type, the range of the power supply voltage that drives the cell type, and the function of the stage delay corresponding to the range of the power supply voltage in association with each other. The library unit 10 may store a library in advance or may generate a library based on user input. FIG. 5 is an example of a library stored in the library unit 10. Referring to FIG. 5, the cell type CELL i , the range of the power supply voltage for driving the cell type CELL i , and the function of the stage delay corresponding to the range of the power supply voltage are associated. The function of the stage delay will be described. The stage delay is a delay based on a cell delay relating to a cell representing a circuit that realizes a predetermined function, and a wiring delay relating to a wiring connecting the cell and a subsequent cell, a cell species cELL i (i = 1~m), and the power supply voltage v h to drive the cell species cELL i, a delay that is determined on the basis of the subsequent wiring length l i of the cell species cELL i. Therefore, when the cell type CELL i and the power supply voltage v h are determined, the stage delay can be expressed as a function having the wiring length l i as a variable.

ライブラリ部10がライブラリを生成する場合について説明する。ライブラリ部10は、ユーザ入力に基づいて、複数のセル種(CELL、CELL、・・・、CELL)と、各セル種CELLを駆動する電源電圧の範囲(最小電圧値vminから最大電圧値vmax)を取得する。尚、複数のセル種(CELL、CELL、・・・、CELL)は、セルリスト(詳細は後述する)として取得してもよい。更に、最小電圧値vmin及び最大電圧値vmaxは、電圧波形情報(詳細は後述する)に基づいてライブラリ部10が設定してもよい。ライブラリ部10は、ステージ遅延の関数を算出するにあたって、各セル種CELLを駆動する電源電圧として、最小電圧値vminから最大電圧値vmaxの範囲に含まれる電圧v、v、・・・の離散値を用いる。ライブラリ部10は、任意のセル種CELLが駆動する電源電圧を電圧vとしたときのステージ遅延を、配線長l毎にSPICE(Simulation Program with Integrated Circuit Emphasis)で算出する。そして、ライブラリ部10は配線長l毎に算出されたステージ遅延を、配線長lを変数とする2次関数でフィッティングする。ライブラリ部10は、セル種CELLを駆動する電源電圧が電圧vであるステージ遅延の関数を式(1)のように算出する。
stage=a(v)×l +b(v)×l+c(v)・・・(1)
A case where the library unit 10 generates a library will be described. Based on a user input, the library unit 10 includes a plurality of cell types (CELL 1 , CELL 2 ,..., CELL m ) and a range of power supply voltages for driving each cell type CELL i (from the minimum voltage value v min). The maximum voltage value v max ) is acquired. A plurality of cell types (CELL 1 , CELL 2 ,..., CELL m ) may be acquired as a cell list (details will be described later). Further, the minimum voltage value v min and the maximum voltage value v max may be set by the library unit 10 based on voltage waveform information (details will be described later). In calculating the stage delay function, the library unit 10 uses the voltages v 1 , v 2 ,... Included in the range from the minimum voltage value v min to the maximum voltage value v max as the power supply voltage for driving each cell type CELL i. Use discrete values of. The library unit 10 calculates the stage delay when the power supply voltage driven by an arbitrary cell type CELL i is the voltage v 1 by using SPICE (Simulation Program with Integrated Circuit Emphasis) for each wiring length l i . The library section 10 stage delay calculated for each wiring length l i, fitting a quadratic function to the wiring length l i and variables. The library unit 10 calculates a function of the stage delay in which the power supply voltage for driving the cell type CELL i is the voltage v 1 as shown in Expression (1).
D stage = a i (v 1 ) × l i 2 + b i (v 1 ) × l i + c i (v 1 ) (1)

図6は、式(1)のステージ遅延の関数を図示したものである。前述したように、セル種CELLを駆動する電源電圧は電圧vである。ライブラリ部10は、セル種CELLと、電源電圧Vの範囲(vmin≦V≦(v+v)/2)と、ステージ遅延の関数である式(1)とを関連付けて格納する。 FIG. 6 illustrates the stage delay function of equation (1). As described above, the power supply voltage for driving the cell type CELL i is the voltage v 1 . The library unit 10 stores the cell type CELL i , the range of the power supply voltage V (v min ≦ V ≦ (v 1 + v 2 ) / 2), and the expression (1) that is a function of the stage delay in association with each other.

ライブラリ部10は、同様に電圧vのセル種CELLのステージ遅延の関数を式(2)のように算出する。
stage=a(v)×l +b(v)×l+c(v)・・・(2)
ライブラリ部10は、セル種CELLと、電源電圧Vの範囲((v+v)/2<V≦(v+v)/2)と、ステージ遅延の関数である式(2)とを関連付けて格納する。更に、ライブラリ部10は、任意の電圧vのセル種CELLのステージ遅延の関数を式(3)のように算出する。
stage=a(v)×l +b(v)×l+c(v)・・・(3)
ライブラリ部10は、セル種CELLと、電源電圧Vの範囲((vh−1+v)/2<V≦(v+vh+1)/2)と、ステージ遅延の関数である式(3)とを関連付けて格納する。
Similarly, the library unit 10 calculates a function of the stage delay of the cell type CELL i having the voltage v 2 as shown in Expression (2).
D stage = a i (v 2 ) × l i 2 + b i (v 2 ) × l i + c i (v 2 ) (2)
The library unit 10 includes a cell type CELL i , a range of the power supply voltage V ((v 1 + v 2 ) / 2 <V ≦ (v 2 + v 3 ) / 2), and an expression (2) that is a function of the stage delay. Associate and store. Further, the library unit 10 calculates a function of the stage delay of the cell type CELL i having an arbitrary voltage v h as shown in Expression (3).
D stage = a i (v h ) × l i 2 + b i (v h ) × l i + c i (v h ) (3)
The library unit 10 includes a cell type CELL i , a range of the power supply voltage V ((v h−1 + v h ) / 2 <V ≦ (v h + v h + 1 ) / 2), and an expression (3 ) And store it.

ライブラリ部10は、セル種CELLと、セル種CELLを駆動する電源電圧Vの範囲と、算出したステージ遅延の関数とを関連付けて図5に示すようなライブラリとして格納する。ライブラリ部10は、他のセル種に対しても同様に関連付けを行い、全てのセル種を含むライブラリを生成する。尚、ライブラリ部10は、ステージ遅延の関数を保存しているとしたが、a(v)、b(v)、c(v)などの係数を保存するものであってもよい。 The library unit 10 stores the cell type CELL i , the range of the power supply voltage V for driving the cell type CELL i , and the calculated stage delay function in association with each other as shown in FIG. The library unit 10 similarly associates with other cell types, and generates a library including all the cell types. Although the library unit 10 stores the function of the stage delay, the library unit 10 stores coefficients such as a i (v h ), b i (v h ), and c i (v h ). Also good.

ここで、パス遅延について説明する。図7は、ステージ遅延とパス遅延との関係を示す図である。図6に示すように、ステージ遅延Dstageは配線長lを変数とする関数で表すことができるため、配線長lを決定しステージ遅延の関数に代入すると所望のステージ遅延Dstageを算出することができる。ステージ遅延Dstageに対して、同じセル種CELLの論理段数nを乗じると、当該セル種CELLに関するパス遅延Dpathが算出できる。図7に示すように、例えば、対象パスが同一のセル種CELLであって、論理段数がn段である場合、パス遅延Dpathは、ステージ遅延Dstageに論理段数nを乗じることで算出することができる。 Here, the path delay will be described. FIG. 7 is a diagram illustrating the relationship between stage delay and path delay. As shown in FIG. 6, since the stage delay D stage can be expressed by a function having the wiring length l i as a variable, the desired stage delay D stage is calculated by determining the wiring length l i and substituting it into the stage delay function. can do. When the stage delay D stage is multiplied by the number of logical stages n i of the same cell type CELL i , the path delay D path for the cell type CELL i can be calculated. As shown in FIG. 7, for example, target path are the same cell type CELL i, if the number of logic stages is n i stages, a path delay D path is multiplying the number of logic stages n i in stage delay D Stage Can be calculated.

図4に戻り、条件設定部11は、ユーザ入力に基づいて、クロック信号を伝搬させる設計対象である対象パスと、クロック信号の周波数(動作周波数)と、クロック信号の周期と、クロック信号の許容ジッタ値とを取得し、パス情報として設定する。また、条件設定部11は、対象パスの総配線長Ltotalを回路設計支援装置1のサブシステムから取得する。図8は、ジッタ値を低減させる対象パス23を示す図である。条件設定部11は、PLL(Phase Locked Loop)などのクロックソース20から、アナログ回路などの回路21にクロック信号を伝搬させる対象パス23を設定する。図9は、クロック信号を示す図である。図9では、クロック信号の周期がTで示されている。条件設定部11は、ユーザ入力に基づいて、クロック周波数(fclk=1/T)、及び、クロック信号の周期Tを設定する。図10は、クロック信号のジッタを示す図である。条件設定部11は、ユーザ入力に基づいて、ジッタの許容値である許容ジッタ値を設定する。本発明で扱うジッタ値は、Cycle‐to‐Cycleジッタ値の最大と、周期ジッタ値との何れでもよい。Cycle‐to‐Cycleジッタ値は、ある時刻tで立ち上がるクロック信号の周期Tと、その次に立ち上がるクロック信号の周期Tとの差分で表されるジッタ値であって、最大とは所定の期間内でのCycle‐to‐Cycleジッタ値の最大値を表す。周期ジッタ値は、所定の期間内での全てのクロック信号の周期Tのうちで最大値と最小値の差で表されるジッタ値である。 Returning to FIG. 4, the condition setting unit 11, based on the user input, the target path that is a design target for propagating the clock signal, the clock signal frequency (operating frequency), the clock signal cycle, and the clock signal tolerance. A jitter value is acquired and set as path information. In addition, the condition setting unit 11 acquires the total wiring length L total of the target path from the subsystem of the circuit design support apparatus 1. FIG. 8 is a diagram showing the target path 23 for reducing the jitter value. The condition setting unit 11 sets a target path 23 for propagating a clock signal from a clock source 20 such as a PLL (Phase Locked Loop) to a circuit 21 such as an analog circuit. FIG. 9 is a diagram illustrating a clock signal. In FIG. 9, the period of the clock signal is indicated by T. The condition setting unit 11 sets the clock frequency (fclk = 1 / T) and the cycle T of the clock signal based on the user input. FIG. 10 is a diagram illustrating jitter of a clock signal. The condition setting unit 11 sets an allowable jitter value that is an allowable value of jitter based on a user input. The jitter value handled in the present invention may be either the maximum of the cycle-to-cycle jitter value or the periodic jitter value. The cycle-to-cycle jitter value is a jitter value represented by the difference between the period T 1 of the clock signal rising at a certain time t 1 and the period T 2 of the clock signal rising next, and the maximum is a predetermined value. This represents the maximum value of the cycle-to-cycle jitter value within the period. The period jitter value is a jitter value represented by the difference between the maximum value and the minimum value among the periods T k of all the clock signals within a predetermined period.

電圧算出部12は、電圧波形情報を回路設計支援装置1のサブシステムから取得する。図11は、電圧波形情報示す図である。また、電圧算出部12は、ユーザ入力に基づいて、クロック信号の周期Tを取得する。電圧算出部12は、電圧波形情報を参照して、対象パス23に供給されるノイズを含む所定の期間の電源電圧Vを、クロック信号の周期T毎に平均化した平均電源電圧VA(k=1、2、・・・、q)を算出する。また、電圧算出部12は、所定の期間の平均電源電圧VAのうちで、最大値VAmaxと最小値VAminとを算出する。図11を参照すると、電圧算出部12は、任意の周期T(k=1、2、・・・、q)の立ち上がり時刻tから、周期Tk+1の立ち上がり時刻tk+1までの電源電圧Vを平均化した平均電源電圧VAを算出する。
時刻tは、式(4)のように表せる。
=T×k・・・(4)
時刻tから、時刻tk+1までの平均電源電圧VAは、式(5)で表すことができる。

Figure 2013131070
電圧算出部12は、平均電源電圧VAを算出した後、最大値VAmaxと、最小値VAminとを算出する。 The voltage calculation unit 12 acquires voltage waveform information from the subsystem of the circuit design support apparatus 1. FIG. 11 is a diagram showing voltage waveform information. Moreover, the voltage calculation part 12 acquires the period T of a clock signal based on a user input. Voltage calculation unit 12 refers to the voltage waveform information, the supply voltage V of a predetermined period including the noise to be supplied to the target path 23, the average power supply voltage VA k (k averaged for each period of the clock signal T = 1, 2,..., Q). In addition, the voltage calculation unit 12 calculates a maximum value VA max and a minimum value VA min among the average power supply voltage VA k for a predetermined period. Referring to FIG. 11, the voltage calculation unit 12, any period T k (k = 1,2, ··· , q) from the rising time t k of the period T k + 1 of the power supply voltage V to the rising time t k + 1 An average power supply voltage VA k is calculated by averaging.
Time t k can be expressed as shown in Equation (4).
t k = T × k (4)
Average supply voltage VA k from time t k, to time t k + 1 can be expressed by Equation (5).
Figure 2013131070
After calculating the average power supply voltage VA k , the voltage calculation unit 12 calculates the maximum value VA max and the minimum value VA min .

セル条件設定部13は、ユーザ入力に基づいて、対象パス23の要素として配置される駆動能力の異なる複数のセル種CELL(i=1〜m)をリスト化したセルリスト(CELL_LIST={CELL、CELL、CELL、・・・、CELL})を取得する。また、セル条件設定部13は、ユーザ入力に基づいて、セルリストに含まれる各セル種CELLの後段に接続する配線長の最大値(最大配線長L)と、各セル種CELLの最大の論理段数(最大論理段数N)とを設定する。 The cell condition setting unit 13 lists a plurality of cell types CELL i (i = 1 to m) having different driving capabilities arranged as elements of the target path 23 based on a user input (CELL_LIST = {CELL 1 , CELL 2 , CELL 3 ,..., CELL m }). The cell condition setting unit 13, based on user input, wiring length maximum value of which connected to the subsequent stage of each cell species CELL i included in the cell list and (maximum wire length L i), for each cell species CELL i The maximum number of logical stages (maximum number of logical stages N i ) is set.

セル設定部14は、対象パス23の要素として配置される駆動能力の異なる各セル種CELLの論理段数nの組合せである配置情報を、対象パス23の駆動能力が減少していくように順番に設定する。詳細には、セル設定部14は、セル条件設定部13からセルリスト、各セル種CELLの最大配線長L、各セル種CELLの最大論理段数Nを取得する。セル設定部14は、セルリスト及び各セル種CELLの最大論理段数Nに基づいて配置情報を設定する。例えば、各セル種CELLの駆動能力の大小関係を、CELL>CELL>CELL>・・・>CELLとし、CELL〜CELLのそれぞれの最大論理段数N〜Nが5段であるとした場合、セル設定部14は各セル種CELLの論理段数nを、n=5、n=0、n=0、・・・、n=0とした配置情報を設定する。初期の設定では、セル設定部14は駆動能力が最も高くなる各セル種CELLの論理段数nに設定する。また、セル設定部14は、後述する判定部17から各セル種CELLの論理段数nの組合せ(配置情報)を変更する変更指示を受け取ると、駆動能力が前回の設定よりも低くなるように、各セル種CELLの論理段数nの組合せを変更する。特に、セル設定部14は、前回の設定の駆動能力の次に大きい駆動能力となるように変更する。例えば、前述の続きでは、セル設定部14は各セル種CELLの論理段数nを、n=4、n=1、n=0、・・・、n=0と設定する。このようにセル設定部14は、駆動能力の高いセルの論理段数を減少させ、駆動能力の低いセルの論理段数を増加するように変更する。図12は、セル設定部14が各セル種CELLの論理段数nの組合せを変更していく処理を示す図である。図13は、図12に対応した対象パス23を示す図である。図13を参照すると、セル設定部14は、1回目(最初)の処理ではCELLを5段に設定する。セル設定部14は、判定部17から変更指示を受け取ると、CELLを4段に減らし、CELLを1段に増加する。更に、セル設定部14は、判定部17から変更指示を受け取ると、CELLを3段に減らし、CELLを2段に増加する。このように、セル設定部14は、高駆動能力のセルの段数を順番に減少するように動作する。 The cell setting unit 14 arranges the placement information, which is a combination of the number of logical stages n i of the cell types CELL i having different driving capabilities arranged as elements of the target path 23 so that the driving capability of the target path 23 decreases. Set in order. Specifically, the cell setting unit 14 acquires a cell list, the maximum wiring length L i of each cell type CELL i , and the maximum number of logical stages N i of each cell type CELL i from the cell condition setting unit 13. The cell setting unit 14 sets the arrangement information based on the cell list and the maximum number of logical stages N i of each cell type CELL i . For example, the magnitude relationship between the driving ability of each cell species CELL i, and CELL 1> CELL 2> CELL 3 >···> CELL m, CELL 1 ~CELL respective maximum number of logical steps N 1 to N m m is 5 If it is assumed that there are stages, the cell setting unit 14 arranges the number of logical stages n i of each cell type CELL i as n 1 = 5, n 2 = 0, n 3 = 0,..., N m = 0. Set the information. In the initial setting, the cell setting unit 14 sets the number of logical stages n i of each cell type CELL i having the highest driving capability. Further, when the cell setting unit 14 receives a change instruction for changing the combination (arrangement information) of the number of logical stages n i of each cell type CELL i from the determination unit 17 described later, the driving capability is set to be lower than the previous setting. In addition, the combination of the number of logic stages n i of each cell type CELL i is changed. In particular, the cell setting unit 14 changes the driving capacity to be the second largest after the previously set driving capacity. For example, in the continuation described above, the cell setting unit 14 sets the number of logical stages n i of each cell type CELL i as n 1 = 4, n 2 = 1, n 3 = 0,..., N m = 0. . As described above, the cell setting unit 14 changes the number of logical stages of cells having high driving capability to be decreased and the number of logical stages of cells having low driving capability to be increased. FIG. 12 is a diagram illustrating a process in which the cell setting unit 14 changes the combination of the number of logical stages n i of each cell type CELL i . FIG. 13 is a diagram showing the target path 23 corresponding to FIG. Referring to FIG. 13, the cell setting unit 14 sets CELL 1 to 5 levels in the first (first) process. When the cell setting unit 14 receives the change instruction from the determination unit 17, the cell setting unit 14 decreases CELL 1 to 4 levels and increases CELL 2 to 1 level. Furthermore, when the cell setting unit 14 receives the change instruction from the determination unit 17, the cell setting unit 14 decreases CELL 1 to three levels and increases CELL 2 to two levels. In this way, the cell setting unit 14 operates so as to sequentially reduce the number of high drive capacity cells.

配線長算出部15と、ジッタ値算出部16と、判定部17とは、各セル種CELLの論理段数nの組合せである配置情報に基づいて、電源電圧Vが変動しても対象パス23の遅延の変動が小さい対象パス23の要素を決定する。 The wiring length calculation unit 15, the jitter value calculation unit 16, and the determination unit 17 are based on arrangement information that is a combination of the number of logical stages n i of each cell type CELL i , even if the power supply voltage V varies. The elements of the target path 23 in which the delay variation of 23 is small are determined.

配線長算出部15は、対象パス23に含まれる各セル種CELLに供給される電源電圧Vが変動しても、パス遅延の変動が小さい各セル種CELLの後段の最適配線長lを算出する。言い換えると、配線長算出部15は、配置情報で規定される対象パス23に対して、最大値VAmaxが供給されたときの対象パス23の遅延と、最小値VAminが供給されたときの対象パス23の遅延との差が最小となるような、各セル種CELLの後段の最適配線長lの組合せである配線情報を算出する。尚、配線長算出部15は、対象パス23の要素を決定する一連の処理の中で、駆動能力が高い配置情報から低い配置情報の順に処理を行なう。 The wiring length calculation unit 15 uses the optimum wiring length l i at the subsequent stage of each cell type CELL i with small path delay variation even when the power supply voltage V supplied to each cell type CELL i included in the target path 23 varies. Is calculated. In other words, the wiring length calculation unit 15 causes the delay of the target path 23 when the maximum value VA max is supplied and the minimum value VA min when the maximum value VA max is supplied to the target path 23 specified by the placement information. Wiring information that is a combination of the optimum wiring length l i at the subsequent stage of each cell type CELL i is calculated so that the difference from the delay of the target path 23 is minimized. Note that the wiring length calculation unit 15 performs processing in the order of arrangement information having a high driving capability to arrangement information having a low driving capability in a series of processes for determining the elements of the target path 23.

まず、配線長算出部15は、配置情報で規定される対象パス23に対して、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを算出する。詳細には、配線長算出部15は、条件設定部11から総配線長Ltotalを取得する。更に、配線長算出部15は、セル設定部14から配置情報と、各セル種CELLの最大配線長Lとを取得する。配線長算出部15は、式(6)と、式(7)とで表される条件式に基づいて、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを線形計画法に基づいて算出する。

Figure 2013131070
≦L (i=1、2、・・・、m)・・・(7)
式(6)は、対象パス23の総配線長Ltotalが、各セル種CELLの論理段数nと、各セル種CELLの配線長lとの総和に等しいことを表している。式(7)は、対象パス23に含まれる各セル種CELLの配線長lが、最大配線長L以下であることを示している。 First, the wiring length calculation unit 15 calculates all possible combinations as the wiring length l i subsequent to each cell type CELL i for the target path 23 defined by the arrangement information. Specifically, the wiring length calculation unit 15 acquires the total wiring length L total from the condition setting unit 11. Furthermore, the wiring length calculation unit 15 acquires the arrangement information and the maximum wiring length L i of each cell type CELL i from the cell setting unit 14. The wiring length calculation unit 15 performs linear programming on all possible combinations as the wiring length l i of the subsequent stage of each cell type CELL i based on the conditional expressions represented by the expressions (6) and (7). Calculate based on
Figure 2013131070
l i ≦ L i (i = 1, 2,..., m) (7)
Equation (6), total route length L total of target path 23 represents the logic stages n i of each cell species CELL i, that is equal to the sum of the wiring length l i of each cell species CELL i. Expression (7) indicates that the wiring length l i of each cell type CELL i included in the target path 23 is equal to or less than the maximum wiring length L i .

具体例として、配線長算出部15が、セル設定部14からセルの種類としてCELL、CELLと、CELLの論理段数nと、CELLの論理段数nと、CELLの最大配線長Lと、CELLの最大配線長Lとを取得する場合を説明する。図14は、線形計画法によって算出されたCELLが取り得る配線長lと、CELLとが取り得る配線長lとの全ての組み合わせを実行可能領域として示す図である。配線長算出部15は、実行可能領域に含まれるCELLの配線長l及びCELLの配線長lの全ての組合せを算出する。 As a specific example, the wiring length calculation unit 15 receives CELL 1 , CELL 2 , CELL 1 logical stage number n 1 , CELL 2 logical stage number n 2 , and CELL 1 maximum wiring as cell types from the cell setting unit 14. A case where the length L 1 and the maximum wiring length L 2 of CELL 2 are acquired will be described. FIG. 14 is a diagram showing all combinations of the wiring length l 1 that can be taken by CELL 1 and the wiring length l 2 that can be taken by CELL 2 as executable regions, calculated by linear programming. Wiring length calculation unit 15 calculates all combinations of wiring length l 2 of the wiring length l 1 and CELL 2 of CELL 1 included in the feasible region.

次に、配線長算出部15は、算出された各セル種CELLの後段の配線長lの全ての組合せのうちで、駆動電圧として供給され得る最大電圧が供給されたときのパス遅延と、供給され得る最小電圧が供給されたときのパス遅延との差が最小となるような、各セル種CELLの後段の最適配線長lの組合せである配線情報を算出する。詳細には、配線長算出部15は、電圧算出部12から平均電源電圧VAの最大値VAmaxと、最小値VAminとを取得する。配線長算出部15は、ライブラリ部10を参照して、各セル種CELLiと、最大値VAmaxとに基づいて、該当する複数のステージ遅延の関数を取得する。配線長算出部15は、複数のステージ遅延の関数と、各セル種CELLの後段の配線長lとして取り得る組み合わせと、各セル種CELLの論理段数nとに基づいて、パス遅延を算出する。このときのパス遅延は式(8)で表される。

Figure 2013131070
同様に、配線長算出部15は、各セル種CELLと、最小値VAminとに基づいて、複数のステージ遅延の関数を取得し、パス遅延を算出する。そして、配線長算出部15は、算出された各セル種CELLの後段の配線長lの全ての組合せのうちで、最大値VAmaxのときのパス遅延と、最小値VAminのときのパス遅延との差が最小となるような、各セル種の後段の最適配線長lの組合せを算出する。各セル種CELLの後段の最適配線長lは、式(9)のfsenseの絶対値が最小となるときの配線長lである。
Figure 2013131070
図15は、最大値VAmaxのときのパス遅延と、最小値VAminのときのパス遅延との差を最小化することを示した概念図である。Dpathの傾きを小さくする事で電圧変動に関するパス遅延の感度(fsenseの絶対値)を小さくさせている。このように、配線長算出部15は、電源電圧Vが変動しても、パス遅延が変動しにくい各セル種CELLの最適配線長lの組合せである配線情報を算出する。 Next, the wiring length calculation unit 15 calculates the path delay when the maximum voltage that can be supplied as the driving voltage is supplied among all combinations of the calculated wiring length l i of the subsequent stage of each cell type CELL i. Then, wiring information that is a combination of the optimum wiring length l i in the subsequent stage of each cell type CELL i is calculated so that the difference from the path delay when the minimum voltage that can be supplied is supplied is minimized. Specifically, the wiring length calculation unit 15 acquires the maximum value VA max and the minimum value VA min of the average power supply voltage VA k from the voltage calculation unit 12. The wiring length calculation unit 15 refers to the library unit 10 and acquires a plurality of corresponding stage delay functions based on each cell type CELLi and the maximum value VA max . Wiring length calculation unit 15, based on the function of a plurality of stages delays, and possible combinations as the subsequent line length l i of each cell species CELL i, and logic stages n i of each cell species CELL i, the path delay Is calculated. The path delay at this time is expressed by equation (8).
Figure 2013131070
Similarly, the wiring length calculation unit 15 acquires a plurality of stage delay functions based on each cell type CELL i and the minimum value VA min, and calculates a path delay. The wiring length calculation unit 15 then calculates the path delay at the maximum value VA max and the minimum value VA min among all combinations of the calculated wiring length l i at the subsequent stage of each cell type CELL i . The combination of the optimum wiring length l i at the subsequent stage of each cell type is calculated so that the difference from the path delay is minimized. Optimal wiring length l i of the subsequent respective cell species CELL i is a wiring length l i of when the absolute value of f sense of formula (9) is minimized.
Figure 2013131070
FIG. 15 is a conceptual diagram showing that the difference between the path delay at the maximum value VA max and the path delay at the minimum value VA min is minimized. By reducing the slope of D path , the sensitivity of path delay (absolute value of f sense ) related to voltage fluctuation is reduced. As described above, the wiring length calculation unit 15 calculates wiring information that is a combination of the optimal wiring lengths l i of the respective cell types CELL i in which the path delay does not easily vary even when the power supply voltage V varies.

ジッタ値算出部16は、配置情報と配線情報とで規定される対象パス23に対して、平均電源電圧VA(k=1、2、・・・、q−1)に基づいてジッタ値Jを算出する。言い換えると、ジッタ値算出部16は、各セル種CELLと、各セル種CELLの論理段数nと、各セル種CELLの後段の最適配線長lと、平均電源電圧VAとに基づいて、対象パス23のジッタ値Jを算出し、判定部17に提供する。算出するジッタ値Jは、条件設定部11で設定された許容ジッタ値と同じであり、Cycle‐to‐Cycleジッタ値の最大と周期ジッタ値との何れでもよい。尚、ジッタ値算出部16は、対象パス23の要素を決定する一連の処理の中で、駆動能力が高い配置情報の順に処理を行なう。 The jitter value calculation unit 16 applies the jitter value J to the target path 23 defined by the placement information and the wiring information based on the average power supply voltage VA k (k = 1, 2,..., Q−1). Is calculated. In other words, the jitter value calculating unit 16, and each cell type CELL i, and logic stages n i of each cell species CELL i, and the rear stage of the optimal wiring length l i of each cell species CELL i, an average power source voltage VA k Based on the above, the jitter value J of the target path 23 is calculated and provided to the determination unit 17. The jitter value J to be calculated is the same as the allowable jitter value set by the condition setting unit 11 and may be either the maximum of the cycle-to-cycle jitter value or the periodic jitter value. Note that the jitter value calculation unit 16 performs processing in order of arrangement information having a high driving capability in a series of processing for determining elements of the target path 23.

ジッタ値算出部16がCycle‐to‐Cycleジッタ値の最大を算出する場合を説明する。ジッタ値算出部16は、ある時刻tで立ち上がるクロック信号の周期Tと、その直後のクロック信号の周期Tk+1との差分で表されるジッタ値Jのうちで、最大ジッタ値J(maxJ)を算出する。言い換えると、ジッタ値算出部16は、ある時刻tで立ち上がるクロック信号の周期Tのパス遅延と、その直後のクロック信号の周期Tk+1のパス遅延の差分で表されるジッタ値Jのうちで、最大ジッタ値J(maxJ)を算出する。ジッタ値算出部16は、式(10)に基づいてCycle‐to‐Cycleジッタ値を算出する。

Figure 2013131070
A case where the jitter value calculation unit 16 calculates the maximum of the cycle-to-cycle jitter value will be described. Jitter value calculating unit 16, among the jitter value J k represented by the difference between the period T k of the clock signal which rises at a certain time t k, the period T k + 1 of the immediately following clock signal, the maximum jitter value J ( maxJ k) is calculated. In other words, the jitter value calculation unit 16 calculates the jitter value J k represented by the difference between the path delay of the clock signal period T k rising at a certain time t k and the path delay of the clock signal period T k + 1 immediately thereafter. Among these, the maximum jitter value J (maxJ k ) is calculated. The jitter value calculation unit 16 calculates a cycle-to-cycle jitter value based on equation (10).
Figure 2013131070

詳細には、ジッタ値算出部16は、配線長算出部15から各セル種CELLと、各セル種CELLの論理段数nと、各セル種CELLの後段の最適配線長lとを取得する。また、ジッタ値算出部16は、電圧算出部12から平均電源電圧VAを取得する。ジッタ値算出部16は、各セル種CELLと、平均電源電圧VAとに基づいて、複数のステージ遅延の関数を取得する。ジッタ値算出部16は、複数のステージ遅延の関数と、各セル種CELLの後段の配線長lとして取り得る組み合わせと、各セル種CELLの論理段数nとに基づいて、パス遅延を算出する。同様に、ジッタ値算出部16は、各セル種CELLと、平均電源電圧VAk+1とに基づいて、複数のステージ遅延の関数を取得して、パス遅延を算出する。ジッタ値算出部16は、平均電源電圧VAのときのパス遅延と、平均電源電圧VAk+1ときのパス遅延の差分に基づいて、ジッタ値Jを算出する。そして、ジッタ値算出部16は、所定の期間内の平均電源電圧VA基づいた全てのCycle‐to‐Cycleジッタ値Jを算出し、最大ジッタ値J(maxJ)を算出する。 Specifically, the jitter value calculation unit 16 sends the cell type CELL i , the logical stage number n i of each cell type CELL i , and the optimum wiring length l i after the cell type CELL i from the wiring length calculation unit 15. To get. In addition, the jitter value calculation unit 16 acquires the average power supply voltage VA k from the voltage calculation unit 12. The jitter value calculation unit 16 acquires a plurality of stage delay functions based on each cell type CELL i and the average power supply voltage VA k . Jitter value calculation unit 16, based on the function of a plurality of stages delays, and possible combinations as the subsequent line length l i of each cell species CELL i, and logic stages n i of each cell species CELL i, the path delay Is calculated. Similarly, the jitter value calculation unit 16 acquires a plurality of stage delay functions based on each cell type CELL i and the average power supply voltage VA k + 1, and calculates a path delay. Jitter value calculating unit 16, a path delay when the average power supply voltage VA k, based on the difference of the path delay in the average power supply voltage VA k + 1, to calculate a jitter value J k. Then, the jitter value calculation unit 16 calculates all the cycle-to-cycle jitter values J k based on the average power supply voltage VA k within a predetermined period, and calculates the maximum jitter value J (maxJ k ).

一方、ジッタ値算出部16が周期ジッタ値を算出する場合を説明する。ジッタ値算出部16は、所定の期間内でのクロック信号の全ての周期Tを算出し、周期Tの最大値maxTと最小値minTの差で表されるジッタ値Jを算出する。図16は、周期ジッタ値の算出対象となる対象パス23を示す図である。入力クロック信号は、パス遅延Dpath分遅れて出力クロック信号として出力される。図17は、入力クロック信号と、出力クロック信号とに基づいて、ジッタ値Jを算出することを示す図である。ジッタ値算出部16は、出力クロック信号の周期T〜Tのそれぞれを算出し、最大の周期である最大値maxTと最小の周期である最小値minTとの差分からジッタ値Jを算出する。詳細には、ジッタ値算出部16は、式(11)に基づいて周期T(k=1、2、・・・、q)を算出する。

Figure 2013131070
そして、ジッタ値算出部16は、周期Tの最大値maxTと最小値minTの差で表されるジッタ値Jを式(12)に基づいて算出する。
J=maxT−minT ・・・(12) On the other hand, a case where the jitter value calculation unit 16 calculates a periodic jitter value will be described. The jitter value calculation unit 16 calculates all the periods T k of the clock signal within a predetermined period, and calculates a jitter value J represented by the difference between the maximum value maxT k and the minimum value minT k of the period T k. . FIG. 16 is a diagram illustrating a target path 23 that is a calculation target of a periodic jitter value. The input clock signal is output as an output clock signal with a delay of the path delay Dpath . FIG. 17 is a diagram illustrating calculation of the jitter value J based on the input clock signal and the output clock signal. The jitter value calculation unit 16 calculates each of the periods T 1 to T 4 of the output clock signal, and calculates the jitter value J from the difference between the maximum value maxT k that is the maximum period and the minimum value minT k that is the minimum period. calculate. Specifically, the jitter value calculation unit 16 calculates the period T k (k = 1, 2,..., Q) based on the equation (11).
Figure 2013131070
Then, the jitter value calculation unit 16 calculates a jitter value J represented by the difference between the maximum value maxT k and the minimum value minT k of the period T k based on the equation (12).
J = maxT k −minT k (12)

判定部17は、駆動能力が高い配置情報の順に、ジッタ値Jが許容ジッタ値を超えているか否かを判定する。判定部17は、配置情報と配線情報とで規定される対象パス23のジッタ値Jが許容ジッタ値を越えている場合、当該配置情報と当該配線情報よりも前に算出されている、配置情報と配線情報とを対象パス23の要素として決定する。詳細には、判定部17は、ジッタ値算出部16のジッタ値Jと、条件設定部11の許容ジッタ値とを取得する。判定部17は、ジッタ値算出部16から受け取る判定対象となるジッタ値Jが、許容ジッタ値を超えているか否かを判定する。判定部17は、判定対象のジッタ値Jが許容ジッタ値以下である場合、セル設定部14に各セル種CELLの論理段数nの組合せを変更する変更指示を出力する。一方、判定部17は、判定対象のジッタ値Jが許容ジッタ値を越えている場合、前回の判定対象であったジッタ値Jを最適なジッタ値Jとして決定する。本発明では、ジッタ値算出部16で算出されるジッタ値Jが徐々に大きくなるように、セル設定部14は対象パス23に含まれる各セル種CELLを駆動能力の高いものから低いものへと順番に変更している。その結果、判定部17は判定対象のジッタ値Jが許容ジッタ値を超えていると判定したとき、前回の判定対象であったジッタ値Jを最適なジッタ値Jとして決定することができる。図18は、判定部17の判定回数と、判定対象のジッタ値Jとの関係を示した図である。判定対象のジッタ値Jは四角マークで示されている。図18を参照すると、判定対象のジッタ値Jは判定回数が増える毎に増加することが示されている。図18の例では、判定部17は4回目の判定時に判定対象のジッタ値Jが許容ジッタ値以上であると判定し、3回目の判定対象であったジッタ値Jを最適値として決定する。 The determination unit 17 determines whether or not the jitter value J exceeds the allowable jitter value in the order of arrangement information having a high driving capability. When the jitter value J of the target path 23 defined by the placement information and the wiring information exceeds the allowable jitter value, the determination unit 17 calculates the placement information calculated before the placement information and the wiring information. And the wiring information are determined as elements of the target path 23. Specifically, the determination unit 17 acquires the jitter value J of the jitter value calculation unit 16 and the allowable jitter value of the condition setting unit 11. The determination unit 17 determines whether or not the jitter value J to be determined received from the jitter value calculation unit 16 exceeds the allowable jitter value. When the jitter value J to be determined is equal to or less than the allowable jitter value, the determination unit 17 outputs a change instruction for changing the combination of the number of logical stages n i of each cell type CELL i to the cell setting unit 14. On the other hand, when the jitter value J to be determined exceeds the allowable jitter value, the determination unit 17 determines the jitter value J that was the previous determination target as the optimum jitter value J. In the present invention, the cell setting unit 14 changes each cell type CELL i included in the target path 23 from one having a high driving capability to one having a low driving capability so that the jitter value J calculated by the jitter value calculating unit 16 gradually increases. And in order. As a result, when the determination unit 17 determines that the determination target jitter value J exceeds the allowable jitter value, the determination unit 17 can determine the jitter value J that was the previous determination target as the optimum jitter value J. FIG. 18 is a diagram illustrating the relationship between the number of determinations by the determination unit 17 and the jitter value J to be determined. The jitter value J to be determined is indicated by a square mark. Referring to FIG. 18, it is shown that the jitter value J to be determined increases as the number of determinations increases. In the example of FIG. 18, the determination unit 17 determines that the jitter value J to be determined is greater than or equal to the allowable jitter value at the time of the fourth determination, and determines the jitter value J that has been the third determination target as the optimum value.

消費電力算出部18は、判定部17から最適なジッタ値を取得して、そのときの消費電力値Pを算出する。図18では、消費電力値Pは三角マークで示されている。図18を参照すると、本発明の回路設計支援装置1は、電源電圧が変動しても許容ジッタ値を満たし、且つ、出来るだけ消費電力の少ない回路を設計できることが示されている。   The power consumption calculation unit 18 acquires an optimum jitter value from the determination unit 17 and calculates the power consumption value P at that time. In FIG. 18, the power consumption value P is indicated by a triangle mark. Referring to FIG. 18, it is shown that the circuit design support apparatus 1 of the present invention can design a circuit that satisfies the allowable jitter value and consumes as little power as possible even when the power supply voltage fluctuates.

出力部19は、判定部17からジッタ値J(最適なジッタ値Jを含む算出した全てのジッタ値)と判定結果とを取得し、消費電力算出部18から消費電力値Pを取得する。出力部19は、ジッタ値J、判定結果、及び、消費電力値Pをユーザが認識できるように出力する。また、出力部19は配線長算出部15から配置情報と配線情報とを取得し、図3Aの設計システムにフィードバックを行う。   The output unit 19 acquires the jitter value J (all calculated jitter values including the optimal jitter value J) and the determination result from the determination unit 17, and acquires the power consumption value P from the power consumption calculation unit 18. The output unit 19 outputs the jitter value J, the determination result, and the power consumption value P so that the user can recognize them. Further, the output unit 19 acquires the placement information and the wiring information from the wiring length calculation unit 15 and feeds back to the design system of FIG. 3A.

本発明の実施の形態による回路設計支援装置1は、コンピュータを用いて実現可能である。図19は、回路設計支援装置1の実施の形態における、ハードウエア構成例を示すブロック図である。図19を参照すると、本発明の回路設計支援装置1は、CPU(Central Processing Unit)101と、記憶装置102と、入力装置103と、出力装置104と、各装置を接続するバス105とを備えるコンピュータシステムで構成される。   The circuit design support apparatus 1 according to the embodiment of the present invention can be realized using a computer. FIG. 19 is a block diagram illustrating a hardware configuration example in the embodiment of the circuit design support device 1. Referring to FIG. 19, the circuit design support apparatus 1 of the present invention includes a CPU (Central Processing Unit) 101, a storage device 102, an input device 103, an output device 104, and a bus 105 that connects the devices. Consists of a computer system.

CPU101は、記憶装置102に格納されている本発明の回路設計支援装置1に係る演算処理及び制御処理を行う。記憶装置102は、ハードディスクやメモリなど、情報の記録を行う装置である。記憶装置102は、CD−ROMやDVD等のコンピュータ読み取り可能な記憶媒体から読み取られたプログラム、ネットワーク(図示略)を介してダウンロードされたプログラム、入力装置103から入力された信号やプログラム、及びCPU101の処理結果を格納する。入力装置103は、マウス、キーボード、マイクロフォンなど、ユーザがコマンド及び信号を入力することが出来る装置である。出力装置104は、ディスプレイ、スピーカなど、ユーザに出力結果を認識させる装置である。尚、本発明はハードウエア構成例と示したものに限定されず、各部はハードウエアとソフトウエアとを単独又は組み合わせて実現することが出来る。   The CPU 101 performs arithmetic processing and control processing related to the circuit design support device 1 of the present invention stored in the storage device 102. The storage device 102 is a device that records information, such as a hard disk or a memory. The storage device 102 is a program read from a computer-readable storage medium such as a CD-ROM or DVD, a program downloaded via a network (not shown), a signal or program input from the input device 103, and the CPU 101. Stores the processing result of. The input device 103 is a device that allows a user to input commands and signals, such as a mouse, a keyboard, and a microphone. The output device 104 is a device that causes the user to recognize the output result, such as a display or a speaker. In addition, this invention is not limited to what was shown as a hardware structural example, Each part can be implement | achieved independently or combining hardware and software.

図20は、本発明の回路設計支援装置1の第1の実施の形態による処理動作を示したフローチャートである。図20を参照して、本発明の第1の実施の形態による処理動作を説明する。   FIG. 20 is a flowchart showing the processing operation according to the first embodiment of the circuit design support apparatus 1 of the present invention. The processing operation according to the first embodiment of the present invention will be described with reference to FIG.

ステップS01:
ライブラリ部10は、セル種と、当該セル種を駆動する電源電圧の範囲と、電源電圧の範囲に対応したステージ遅延の関数とを関連付けたライブラリを生成する。詳細には、ライブラリ部10は、任意のセル種CELLが駆動する電源電圧を電圧vとしたときのステージ遅延を、配線長l毎にSPICEで算出する。そして、ライブラリ部10は配線長l毎に算出されたステージ遅延を、配線長lを変数とする2次関数でフィッティングする。ライブラリ部10は、セル種CELLを駆動する電源電圧が電圧vであるステージ遅延の関数を前述の式(3)のように算出する。ライブラリ部10は、セル種CELLと、電源電圧Vの範囲((vh−1+v)/2<V≦(v+vh+1)/2)と、ステージ遅延の関数である式(3)とを関連付けて格納する(図5参照)。ライブラリ部10は、他のセル種に対しても同様に関連付けを行い、全てのセル種を含むライブラリを生成する。尚、ライブラリ部10は、ライブラリを予め格納していてもよい。
Step S01:
The library unit 10 generates a library in which a cell type, a range of a power supply voltage for driving the cell type, and a stage delay function corresponding to the range of the power supply voltage are associated with each other. Specifically, the library unit 10 calculates the stage delay when the power supply voltage driven by an arbitrary cell type CELL i is the voltage v h by SPICE for each wiring length l i . The library section 10 stage delay calculated for each wiring length l i, fitting a quadratic function to the wiring length l i and variables. The library unit 10 calculates a function of the stage delay in which the power supply voltage for driving the cell type CELL i is the voltage v h as the above-described equation (3). The library unit 10 includes a cell type CELL i , a range of the power supply voltage V ((v h−1 + v h ) / 2 <V ≦ (v h + v h + 1 ) / 2), and an expression (3 ) In association with each other (see FIG. 5). The library unit 10 similarly associates with other cell types, and generates a library including all the cell types. The library unit 10 may store a library in advance.

ステップS02:
条件設定部11は、ユーザ入力に基づいて、クロック信号を伝搬させる設計対象である対象パス23をパス情報として設定する。また、条件設定部11は、対象パスの総配線長Ltotalを回路設計支援装置1のサブシステムから取得する。
Step S02:
The condition setting unit 11 sets, as path information, a target path 23 that is a design target for propagating a clock signal based on a user input. In addition, the condition setting unit 11 acquires the total wiring length L total of the target path from the subsystem of the circuit design support apparatus 1.

ステップS03:
条件設定部11は、ユーザ入力に基づいて、対象パス23のクロック信号の周波数と、クロック信号の周期と、クロック信号の許容ジッタ値とを取得し、パス情報として設定する。前述したように、ジッタ値は、Cycle‐to‐Cycleジッタ値の最大と、周期ジッタ値との何れでもよい。
Step S03:
Based on the user input, the condition setting unit 11 acquires the frequency of the clock signal of the target path 23, the period of the clock signal, and the allowable jitter value of the clock signal, and sets them as path information. As described above, the jitter value may be either the maximum of the cycle-to-cycle jitter value or the periodic jitter value.

ステップS04:
電圧算出部12は、電圧波形情報を回路設計支援装置1のサブシステムから取得する。また、電圧算出部12は、ユーザ入力に基づいて、クロック信号の周期Tを取得する。電圧算出部12は、電圧波形情報を参照して、対象パス23に供給されるノイズを含む所定の期間の電源電圧Vを、クロック信号の周期T毎に平均化した平均電源電圧VA(k=1、2、・・・、q)を算出する。また、電圧算出部12は、所定の期間の平均電源電圧VAのうちで、最大値VAmaxと最小値VAminとを算出する。
Step S04:
The voltage calculation unit 12 acquires voltage waveform information from the subsystem of the circuit design support apparatus 1. Moreover, the voltage calculation part 12 acquires the period T of a clock signal based on a user input. Voltage calculation unit 12 refers to the voltage waveform information, the supply voltage V of a predetermined period including the noise to be supplied to the target path 23, the average power supply voltage VA k (k averaged for each period of the clock signal T = 1, 2,..., Q). In addition, the voltage calculation unit 12 calculates a maximum value VA max and a minimum value VA min among the average power supply voltage VA k for a predetermined period.

ステップS05:
セル条件設定部13は、ユーザ入力に基づいて、対象パス23の要素として配置される駆動能力の異なる複数のセル種CELL(i=1〜m)をリスト化したセルリスト(CELL_LIST={CELL、CELL、CELL、・・・、CELL})を取得する。また、セル条件設定部13は、ユーザ入力に基づいて、セルリストに含まれる各セル種CELLの後段に接続する配線長の最大値(最大配線長L)と、各セル種CELLの最大の論理段数(最大論理段数N)とを設定する。
Step S05:
The cell condition setting unit 13 lists a plurality of cell types CELL i (i = 1 to m) having different driving capabilities arranged as elements of the target path 23 based on a user input (CELL_LIST = {CELL 1 , CELL 2 , CELL 3 ,..., CELL m }). The cell condition setting unit 13, based on user input, wiring length maximum value of which connected to the subsequent stage of each cell species CELL i included in the cell list and (maximum wire length L i), for each cell species CELL i The maximum number of logical stages (maximum number of logical stages N i ) is set.

ステップS06:
セル設定部14は、対象パス23の要素として配置される駆動能力の異なる各セル種CELLの論理段数nの組合せである配置情報を設定する。詳細には、セル設定部14は、セル条件設定部13からセルリスト、各セル種CELLの最大配線長L、各セル種CELLの最大論理段数Nを取得する。セル設定部14は、セルリスト及び各セル種CELLの最大論理段数Nに基づいて配置情報を設定する。例えば、各セル種CELLの駆動能力の大小関係を、CELL>CELL>CELL>・・・>CELLとし、CELL〜CELLのそれぞれの最大論理段数N〜Nが5段であるとした場合、セル設定部14は各セル種CELLの論理段数nを、n=5、n=0、n=0、・・・、n=0とした配置情報を設定する。初期の設定では、セル設定部14は駆動能力が最も高くなる各セル種CELLの論理段数nに設定する。
Step S06:
Cell setting unit 14 sets arrangement information is a combination of logic stages n i of each cell species CELL i having different driving capability which is arranged as an element of the target path 23. Specifically, the cell setting unit 14 acquires a cell list, the maximum wiring length L i of each cell type CELL i , and the maximum number of logical stages N i of each cell type CELL i from the cell condition setting unit 13. The cell setting unit 14 sets the arrangement information based on the cell list and the maximum number of logical stages N i of each cell type CELL i . For example, the magnitude relationship between the driving ability of each cell species CELL i, and CELL 1> CELL 2> CELL 3 >···> CELL m, CELL 1 ~CELL respective maximum number of logical steps N 1 to N m m is 5 If it is assumed that there are stages, the cell setting unit 14 arranges the number of logical stages n i of each cell type CELL i as n 1 = 5, n 2 = 0, n 3 = 0,..., N m = 0. Set the information. In the initial setting, the cell setting unit 14 sets the number of logical stages n i of each cell type CELL i having the highest driving capability.

ステップS07:
配線長算出部15は、対象パス23に含まれる各セル種CELLに供給される電源電圧Vが変動しても、パス遅延の変動が小さい各セル種CELLの後段の最適配線長lを算出する。まず、配線長算出部15は、配置情報で規定される対象パス23に対して、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを算出する。詳細には、配線長算出部15は、条件設定部11から総配線長Ltotalを取得する。更に、配線長算出部15は、セル設定部14から配置情報と、各セル種CELLの最大配線長Lとを取得する。配線長算出部15は、前述の式(6)と式(7)とで表される条件式に基づいて、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを線形計画法に基づいて算出する。
Step S07:
The wiring length calculation unit 15 uses the optimum wiring length l i at the subsequent stage of each cell type CELL i with small path delay variation even when the power supply voltage V supplied to each cell type CELL i included in the target path 23 varies. Is calculated. First, the wiring length calculation unit 15 calculates all possible combinations as the wiring length l i subsequent to each cell type CELL i for the target path 23 defined by the arrangement information. Specifically, the wiring length calculation unit 15 acquires the total wiring length L total from the condition setting unit 11. Furthermore, the wiring length calculation unit 15 acquires the arrangement information and the maximum wiring length L i of each cell type CELL i from the cell setting unit 14. The wiring length calculation unit 15 linearly plans all combinations that can be taken as the wiring length l i of the subsequent stage of each cell type CELL i based on the conditional expressions represented by the above-described expressions (6) and (7). Calculate based on the law.

次に、配線長算出部15は、電圧算出部12から平均電源電圧VAの最大値VAmaxと、最小値VAminとを取得する。配線長算出部15は、ライブラリ部10を参照して、各セル種CELLと、最大値VAmax又は最小値VAminに基づいて、該当する複数のステージ遅延の関数を取得する。配線長算出部15は、複数のステージ遅延の関数と、各セル種CELLの後段の配線長lとして取り得る組み合わせと、各セル種CELLの論理段数nとに基づいて、パス遅延を算出する。このときのパス遅延は前述の式(8)で表される。そして、配線長算出部15は、算出された各セル種CELLの後段の配線長lの全ての組合せのうちで、最大値VAmaxのときのパス遅延と、最小値VAminのときのパス遅延との差が最小となるような、各セル種の後段の最適配線長lの組合せを算出する。各セル種CELLの後段の最適配線長lは、式(9)のfsenseの絶対値が最小となるときの配線長lである。 Next, the wiring length calculation unit 15 acquires the maximum value VA max and the minimum value VA min of the average power supply voltage VA k from the voltage calculation unit 12. The wiring length calculation unit 15 refers to the library unit 10 and acquires a plurality of corresponding stage delay functions based on each cell type CELL i and the maximum value VA max or the minimum value VA min . Wiring length calculation unit 15, based on the function of a plurality of stages delays, and possible combinations as the subsequent line length l i of each cell species CELL i, and logic stages n i of each cell species CELL i, the path delay Is calculated. The path delay at this time is expressed by the above equation (8). The wiring length calculation unit 15 then calculates the path delay at the maximum value VA max and the minimum value VA min among all combinations of the calculated wiring length l i at the subsequent stage of each cell type CELL i . The combination of the optimum wiring length l i at the subsequent stage of each cell type is calculated so that the difference from the path delay is minimized. Optimal wiring length l i of the subsequent respective cell species CELL i is a wiring length l i of when the absolute value of f sense of formula (9) is minimized.

ステップS08
ジッタ値算出部16は、配置情報と配線情報とで規定される対象パス23に対して、平均電源電圧VA(k=1、2、・・・、q−1)に基づいてジッタ値Jを算出する。言い換えると、ジッタ値算出部16は、各セル種CELLと、各セル種CELLの論理段数nと、各セル種CELLの後段の最適配線長lと、平均電源電圧VAとに基づいて、対象パス23のジッタ値Jを算出し、判定部17に提供する。算出するジッタ値Jは、ステップS03の条件設定部11で設定された許容ジッタ値と同じであり、Cycle‐to−Cycleジッタ値の最大と周期ジッタ値との何れでもよい。
Step S08
The jitter value calculation unit 16 applies the jitter value J to the target path 23 defined by the placement information and the wiring information based on the average power supply voltage VA k (k = 1, 2,..., Q−1). Is calculated. In other words, the jitter value calculating unit 16, and each cell type CELL i, and logic stages n i of each cell species CELL i, and the rear stage of the optimal wiring length l i of each cell species CELL i, an average power source voltage VA k Based on the above, the jitter value J of the target path 23 is calculated and provided to the determination unit 17. The calculated jitter value J is the same as the allowable jitter value set by the condition setting unit 11 in step S03, and may be either the maximum of the cycle-to-cycle jitter value or the periodic jitter value.

ステップS09:
判定部17は、ジッタ値算出部16のジッタ値Jと、条件設定部11の許容ジッタ値とを取得する。判定部17は、ジッタ値算出部16から受け取る判定対象となるジッタ値が、許容ジッタ値を超えているか否かを判定する。判定部17は、判定対象のジッタ値が許容ジッタ値以下である場合、セル設定部14に各セル種CELLの論理段数nの組合せを変更する変更指示を出力する(ステップS06へ進む)。セル設定部14は、判定部17から各セル種の論理段数nを変更する変更指示を受け取ると、駆動能力が前回の設定よりも低くなるように、各セル種の論理段数nを変更する。例えば、前述の続きでは、セル設定部14は各セル種CELLの論理段数nを、n=4、n=1、n=0、・・・、n=0と設定する。
Step S09:
The determination unit 17 acquires the jitter value J of the jitter value calculation unit 16 and the allowable jitter value of the condition setting unit 11. The determination unit 17 determines whether or not the jitter value to be determined received from the jitter value calculation unit 16 exceeds the allowable jitter value. If the jitter value to be determined is less than or equal to the allowable jitter value, the determination unit 17 outputs a change instruction to change the combination of the number of logical stages n i of each cell type CELL i to the cell setting unit 14 (proceeds to step S06). . Cell setting unit 14 receives the change instruction from the determination unit 17 changes the number of logic stages n i of each cell type, as the driving capability becomes lower than the previous set, changing the number of logic stages n i of each cell species To do. For example, in the continuation described above, the cell setting unit 14 sets the number of logical stages n i of each cell type CELL i as n 1 = 4, n 2 = 1, n 3 = 0,..., N m = 0. .

一方、判定部17は、判定対象のジッタ値Jが許容ジッタ値を越えている場合、前回の判定対象であったジッタ値Jを最適なジッタ値Jとして決定する(ステップS10へ進む)。本発明では、ジッタ値算出部16で算出されるジッタ値Jが徐々に大きくなるように、セル設定部14は対象パス23に含まれる各セル種を駆動能力の高いものから低いものへと順番に変更している。その結果、判定部17は判定対象のジッタ値Jが許容ジッタ値を超えていると判定したとき、前回の判定対象であったジッタ値Jを最適なジッタ値として決定することができる。そして、判定部17は、最適なジッタ値のときの配置情報と配線情報とを対象パス23の要素として決定する。   On the other hand, when the determination target jitter value J exceeds the allowable jitter value, the determination unit 17 determines the jitter value J that was the previous determination target as the optimum jitter value J (proceeds to step S10). In the present invention, the cell setting unit 14 changes the cell types included in the target path 23 from the one having the highest driving capability to the one having the lower driving capability so that the jitter value J calculated by the jitter value calculating unit 16 gradually increases. Has been changed. As a result, when the determination unit 17 determines that the determination target jitter value J exceeds the allowable jitter value, the determination unit 17 can determine the previous determination target jitter value J as the optimum jitter value. Then, the determination unit 17 determines the placement information and the wiring information at the optimal jitter value as elements of the target path 23.

ステップS10:
消費電力算出部18は、判定部17からジッタ値の最適値を取得して、そのときの消費電力値Pを算出する。出力部19は、判定部17からジッタ値と判定結果とを取得し、消費電力算出部18から消費電力値Pを取得する。出力部19は、ジッタ値、判定結果、及び、消費電力値Pをユーザが認識できるように出力する。また、出力部19は配線長算出部15から配置情報と配線情報とを取得し、図3Aの設計システムにフィードバックを行う。
Step S10:
The power consumption calculation unit 18 acquires the optimum value of the jitter value from the determination unit 17 and calculates the power consumption value P at that time. The output unit 19 acquires a jitter value and a determination result from the determination unit 17 and acquires a power consumption value P from the power consumption calculation unit 18. The output unit 19 outputs the jitter value, the determination result, and the power consumption value P so that the user can recognize them. Further, the output unit 19 acquires the placement information and the wiring information from the wiring length calculation unit 15 and feeds back to the design system of FIG. 3A.

以上のように、本発明の第1の実施の形態による回路設計支援装置1は、電源電圧が変動しても許容ジッタ値を満たし、且つ、消費電力の少ない回路を設計できる効果を奏している。特に、本発明は、ジッタ値に対してオーバースペックの対象パスを設計するのではなく、許容ジッタ値を満たすなかで出来るだけ消費電力の少ない回路を短時間で設計できる効果を奏している。   As described above, the circuit design support device 1 according to the first embodiment of the present invention has an effect of designing a circuit that satisfies the allowable jitter value and consumes less power even when the power supply voltage fluctuates. . In particular, the present invention has an effect of designing a circuit that consumes as little power as possible within a short period of time while satisfying the allowable jitter value, instead of designing an overspec target path for the jitter value.

尚、セル設定部14は、対象パス23の要素として配置される駆動能力の異なる各セル種CELLの論理段数nの組合せである配置情報を、対象パス23の駆動能力が減少していくように順番に設定しているが、駆動能力が増加していくように順番に設定してもよい。その場合、配線長算出部15、ジッタ値算出部16、及び判定部17は、駆動能力が低い配置情報から高い配置情報の順に一連の処理を行なう。特に、判定部17は、配置情報と配線情報とで規定される対象パス23のジッタ値Jが許容ジッタ値以下の場合に、当該配置情報と当該配線情報とを対象パス23の要素として決定することができる。 Note that the cell setting unit 14 reduces the drive capability of the target path 23 for the placement information that is a combination of the number of logical stages n i of each cell type CELL i having different drive capabilities that are arranged as elements of the target path 23. However, they may be set in order so that the driving capability increases. In that case, the wiring length calculation unit 15, the jitter value calculation unit 16, and the determination unit 17 perform a series of processes in the order of arrangement information having a low driving capability to arrangement information having a high driving capability. In particular, the determination unit 17 determines the placement information and the wiring information as elements of the target path 23 when the jitter value J of the target path 23 defined by the placement information and the wiring information is equal to or less than the allowable jitter value. be able to.

(第2の実施の形態)
本発明の第2の実施の形態を説明する。図21は、本発明の第2の実施の形態による回路設計支援装置1aの構成例を示したブロック図である。図21を参照すると、回路設計支援装置1aは、ライブラリ部10と、条件設定部11aと、電圧算出部12と、セル条件設定部13と、セル設定部14と、配線長算出部15aと、ジッタ値算出部16と、判定部17と、消費電力算出部18と、出力部19とを具備する。第2の実施の形態は、条件設定部11aと、配線長算出部15aとの構成が第1の実施の形態と異なる。その他の構成は第1の実施の形態と同様であるため同じ符号を付して説明を省略する。
(Second Embodiment)
A second embodiment of the present invention will be described. FIG. 21 is a block diagram showing a configuration example of a circuit design support apparatus 1a according to the second embodiment of the present invention. Referring to FIG. 21, the circuit design support apparatus 1a includes a library unit 10, a condition setting unit 11a, a voltage calculation unit 12, a cell condition setting unit 13, a cell setting unit 14, a wiring length calculation unit 15a, A jitter value calculation unit 16, a determination unit 17, a power consumption calculation unit 18, and an output unit 19 are provided. The second embodiment is different from the first embodiment in the configuration of the condition setting unit 11a and the wiring length calculation unit 15a. Since other configurations are the same as those of the first embodiment, the same reference numerals are given and description thereof is omitted.

条件設定部11aは、第1の実施の形態の条件設定部11と同様に、ユーザ入力に基づいて、クロック信号を伝搬させる設計対象である対象パス23と、クロック信号の周波数と、クロック信号の周期と、クロック信号の許容ジッタ値とを取得し、パス情報として設定する。更に、条件設定部11aは、ユーザ入力に基づいて、クロックソース20から回路21にクロック信号を伝搬させる対象パス23のクロックレイテンシ許容値(Dpath_LIMIT)を取得し、パス情報として設定する。 Similar to the condition setting unit 11 of the first embodiment, the condition setting unit 11a is based on the user input, the target path 23 that is a design target for propagating the clock signal, the frequency of the clock signal, and the clock signal The period and the allowable jitter value of the clock signal are acquired and set as path information. Furthermore, the condition setting unit 11a, based on the user input, retrieves the clock latency tolerance of the target path 23 for propagating a clock signal from the clock source 20 to the circuit 21 (D path _LIMIT), set as the path information.

配線長算出部15aは、対象パス23に含まれる各セル種CELLに供給される電源電圧Vが変動しても、パス遅延の変動が小さい各セル種CELLの後段の最適配線長lを算出する。配線長算出部15aは、特にクロックレイテンシ許容値を含めて、最適配線長lを算出する。まず、配線長算出部15aは、配置情報で規定される対象パス23に対して、クロックレイテンシ許容値を条件として含めた、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを算出する。詳細には、配線長算出部15aは、条件設定部11aから総配線長Ltotalとクロックレイテンシ許容値とを取得する。更に、配線長算出部15aは、セル設定部14から配置情報と、各セル種CELLの最大配線長Lとを取得する。配線長算出部15aは、式(13)と、式(14)と、式(15)とで表される条件式に基づいて、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを2次制約計画問題(Quadratic Constraint Programming)に基づいて算出する。すなわちここでは、配線長の二次関数のDpathが制約条件に追加されたため、線形計画ではなく二次制約計画問題となる。

Figure 2013131070
≦L (i=1、2、・・・、m) ・・・(14)
path(VAmin,n,n,・・・,n,l,l,・・・,l)≦Dpath_LIMIT ・・・(15)
式(13)は、第1の実施の形態と式(6)と同様であり、式(14)は式(7)と同様である。式(15)は、パス遅延が、クロックレイテンシ許容値以下であることを表している。電源電圧Vが小さいときにパス遅延が大きくなるため、最小値VAminを用いてクロックレイテンシ許容値を設定している。 The wiring length calculation unit 15a, even if the power supply voltage V supplied to each cell type CELL i included in the target path 23 fluctuates, the optimal wiring length l i at the subsequent stage of each cell type CELL i with small fluctuation in path delay. Is calculated. The wiring length calculation unit 15a calculates the optimum wiring length l i particularly including the clock latency tolerance value. First, the wiring length calculation unit 15a includes all combinations that can be taken as the wiring length l i of the subsequent stage of each cell type CELL i including the clock latency tolerance value as a condition for the target path 23 specified by the arrangement information. Is calculated. Specifically, the wiring length calculation unit 15a acquires the total wiring length Ltotal and the clock latency allowable value from the condition setting unit 11a. Further, the wiring length calculation unit 15a acquires the arrangement information and the maximum wiring length L i of each cell type CELL i from the cell setting unit 14. The wiring length calculation unit 15a can take all possible wiring lengths l i subsequent to each cell type CELL i based on the conditional expressions represented by the expressions (13), (14), and (15). Is calculated based on a quadratic constraint programming problem (Quadrative Constraint Programming). That is, here, since the D path of the quadratic function of the wiring length is added to the constraint condition, it becomes a quadratic constraint programming problem instead of a linear programming.
Figure 2013131070
l i ≦ L i (i = 1, 2,..., m) (14)
D path (VA min , n 1 , n 2 ,..., N m , l 1 , l 2 ,..., L m ) ≦ D path _LIMIT (15)
Formula (13) is the same as Formula (6) in the first embodiment, and Formula (14) is the same as Formula (7). Expression (15) represents that the path delay is equal to or less than the clock latency allowable value. Since the path delay increases when the power supply voltage V is small, the clock latency allowable value is set using the minimum value VA min .

具体例として、配線長算出部15aが、セル設定部14からセルの種類としてCELL、CELLと、CELLの論理段数nと、CELLの論理段数nと、CELLの最大配線長Lと、CELLの最大配線長Lとを取得する場合を説明する。配線長算出部15aは、ライブラリ部10を参照して、CELL又はCELLと、最小値VAminとに基づいて、2つのステージ遅延の関数を取得する。図22A〜図22Cは、二次制約計画問題によって算出されたCELLが取り得る配線長lと、CELLとが取り得る配線長lとの全ての組み合わせを実行可能領域として示す図である。図22Aは、クロックレイテンシ許容値を含む全ての条件を満たす実行可能領域がないことを示している。この場合、配線長算出部15aは、各セル種CELLの後段の配線長lとして取り得る組み合わせがないとする。図22Bは、実行可能領域がある場合、即ち、CELLが取り得る1つの配線長lと、CELLとが取り得る1つの配線長lとがある場合を示している。図22Cも実行可能領域がある場合を示しており、配線長算出部15aは、実行可能領域に含まれるCELLの配線長l及びCELLの配線長lの全ての組合せを算出する。 As a specific example, the cell length calculation unit 15a receives CELL 1 , CELL 2 , CELL 1 logic stage number n 1 , CELL 2 logic stage number n 2 , and CELL 1 maximum wiring as cell types from the cell setting unit 14. A case where the length L 1 and the maximum wiring length L 2 of CELL 2 are acquired will be described. The wiring length calculation unit 15a refers to the library unit 10 and obtains two stage delay functions based on CELL 1 or CELL 2 and the minimum value VA min . 22A to 22C are diagrams showing all combinations of the wiring length l 1 that can be taken by CELL 1 calculated by the secondary constraint planning problem and the wiring length l 2 that can be taken by CELL 2 as executable regions. is there. FIG. 22A shows that there is no executable region that satisfies all the conditions including the clock latency tolerance. In this case, it is assumed that there is no combination that the wiring length calculation unit 15a can take as the wiring length l i of the subsequent stage of each cell type CELL i . Figure 22B, when there is the feasible region, that is, the case where there a single wiring length l 1 of CELL 1 can take, one wiring length l 2 that can take and CELL 2 is. Figure 22C also shows the case where there is a feasible region, the wiring length calculation unit 15a calculates all combinations of wiring length l 2 of the wiring length l 1 and CELL 2 of CELL 1 included in the feasible region.

次に、配線長算出部15aは、算出された各セル種CELLの後段の配線長lの全ての組合せのうちで、駆動電圧として供給され得る最大電圧が供給されたときのパス遅延と、供給され得る最小電圧が供給されたときのパス遅延との差が最小となるような、各セル種CELLの後段の最適配線長lの組合せである配線情報を算出する。ここでの処理は、第1の実施の形態の配線長算出部15と同様である。 Next, the wiring length calculation unit 15a calculates the path delay when the maximum voltage that can be supplied as the driving voltage is supplied among all combinations of the calculated wiring length l i of the subsequent stage of each cell type CELL i. Then, wiring information that is a combination of the optimum wiring length l i in the subsequent stage of each cell type CELL i is calculated so that the difference from the path delay when the minimum voltage that can be supplied is supplied is minimized. The process here is the same as that of the wiring length calculation part 15 of 1st Embodiment.

図23は、本発明の第2の実施の形態による回路設計支援装置1aの処理動作を示したフローチャートである。ステップS11〜ステップS15は、第1の実施の形態のステップS01〜ステップS05と同様である。   FIG. 23 is a flowchart showing the processing operation of the circuit design support apparatus 1a according to the second embodiment of the present invention. Steps S11 to S15 are the same as steps S01 to S05 in the first embodiment.

ステップS16:
条件設定部11aは、ユーザ入力に基づいて、クロックソース20から回路21にクロック信号を伝搬させる対象パス23のクロックレイテンシ許容値(Dpath_LIMIT)を取得し、パス情報として設定する。
Step S16:
The condition setting unit 11a acquires the clock latency allowable value (D path LIMIT) of the target path 23 for propagating the clock signal from the clock source 20 to the circuit 21 based on the user input, and sets it as path information.

ステップS17:
セル設定部14は、対象パス23の要素として配置される駆動能力の異なる各セル種CELLの論理段数nの組合せである配置情報を設定する。詳細には、セル設定部14は、セル条件設定部13からセルリスト、各セル種CELLの最大配線長L、各セル種CELLの最大論理段数Nを取得する。セル設定部14は、セルリスト及び各セル種CELLの最大論理段数Nに基づいて配置情報を設定する。
Step S17:
Cell setting unit 14 sets arrangement information is a combination of logic stages n i of each cell species CELL i having different driving capability which is arranged as an element of the target path 23. Specifically, the cell setting unit 14 acquires a cell list, the maximum wiring length L i of each cell type CELL i , and the maximum number of logical stages N i of each cell type CELL i from the cell condition setting unit 13. The cell setting unit 14 sets the arrangement information based on the cell list and the maximum number of logical stages N i of each cell type CELL i .

ステップS18:
配線長算出部15aは、対象パス23に含まれる各セル種CELLに供給される電源電圧Vが変動しても、パス遅延の変動が小さい各セル種CELLの後段の最適配線長lを算出する。配線長算出部15aは、特にクロックレイテンシ許容値を含めて、最適配線長lを算出する。まず、配線長算出部15aは、配置情報で規定される対象パス23に対して、クロックレイテンシ許容値を条件として含めた、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを算出する。詳細には、配線長算出部15aは、条件設定部11aから総配線長Ltotalとクロックレイテンシ許容値とを取得する。更に、配線長算出部15aは、セル設定部14から配置情報と、各セル種CELLの最大配線長Lとを取得する。配線長算出部15aは、前述の式(13)と式(14)と式(15)とで表される条件式に基づいて、各セル種CELLの後段の配線長lとして取り得る全ての組み合わせを二次制約計画問題に基づいて算出する。
Step S18:
The wiring length calculation unit 15a, even if the power supply voltage V supplied to each cell type CELL i included in the target path 23 fluctuates, the optimal wiring length l i at the subsequent stage of each cell type CELL i with small fluctuation in path delay. Is calculated. The wiring length calculation unit 15a calculates the optimum wiring length l i particularly including the clock latency tolerance value. First, the wiring length calculation unit 15a includes all combinations that can be taken as the wiring length l i of the subsequent stage of each cell type CELL i including the clock latency tolerance value as a condition for the target path 23 specified by the arrangement information. Is calculated. Specifically, the wiring length calculation unit 15a acquires the total wiring length Ltotal and the clock latency allowable value from the condition setting unit 11a. Further, the wiring length calculation unit 15a acquires the arrangement information and the maximum wiring length L i of each cell type CELL i from the cell setting unit 14. The wiring length calculation unit 15a can take all possible wiring lengths l i subsequent to each cell type CELL i based on the conditional expressions represented by the above-described Expression (13), Expression (14), and Expression (15). Is calculated based on the quadratic constraint planning problem.

次に、配線長算出部15aは、算出された各セル種CELLの後段の配線長lの全ての組合せのうちで、駆動電圧として供給され得る最大電圧が供給されたときのパス遅延と、供給され得る最小電圧が供給されたときのパス遅延との差が最小となるような、各セル種CELLの後段の最適配線長lの組合せである配線情報を算出する。ここでの処理は、第1の実施の形態の配線長算出部15と同様である。 Next, the wiring length calculation unit 15a calculates the path delay when the maximum voltage that can be supplied as the driving voltage is supplied among all combinations of the calculated wiring length l i of the subsequent stage of each cell type CELL i. Then, wiring information that is a combination of the optimum wiring length l i in the subsequent stage of each cell type CELL i is calculated so that the difference from the path delay when the minimum voltage that can be supplied is supplied is minimized. The process here is the same as that of the wiring length calculation part 15 of 1st Embodiment.

ステップS19〜ステップ21は、第1の実施の形態のステップS08〜ステップS10と同様である。   Steps S19 to S21 are the same as steps S08 to S10 of the first embodiment.

以上のように、本発明の第2の実施の形態による回路設計支援装置1aは、第1の実施の形態と同様に、電源電圧が変動しても許容ジッタ値を満たし、且つ、消費電力の少ない回路を設計できる効果を奏している。特に、回路設計支援装置1aは、パス遅延が最大となる最小値VAminのときのパス遅延を制約として追加しているため、クロックレイテンシ許容値を満たす回路を設計することができる効果を奏している。 As described above, the circuit design support apparatus 1a according to the second embodiment of the present invention satisfies the allowable jitter value even when the power supply voltage fluctuates, and has the power consumption as in the first embodiment. It has the effect of designing fewer circuits. In particular, the circuit design support device 1a adds a path delay at the minimum value VA min that maximizes the path delay as a constraint, and thus has an effect of designing a circuit that satisfies the clock latency tolerance. Yes.

本発明は、回路設計全般に適用が可能であるが、以上説明したように、特に半導体集積回路の設計に有用である。たとえば、本発明の回路設計支援装置は、アナログ回路に分配するクロック回路設計、高速I/F(interface)回路(DDR:Double Data Rate)に分配するクロック回路設計、FF(FlipFlop)間などのデータラインに分配するクロック回路(クロックツリー)設計などに好適である。
また、このように本発明はクロック信号のみを対象としているものではなく、一般信号(すなわち一般回路)にあっても適用可能である。
The present invention can be applied to general circuit design, but as described above, it is particularly useful for designing a semiconductor integrated circuit. For example, the circuit design support apparatus according to the present invention includes a clock circuit design distributed to an analog circuit, a clock circuit design distributed to a high-speed I / F (interface) circuit (DDR: Double Data Rate), and data between FFs (FlipFlops). It is suitable for designing a clock circuit (clock tree) distributed to lines.
Further, as described above, the present invention is not intended only for the clock signal, but can be applied to a general signal (that is, a general circuit).

1、1a :回路設計支援装置
10 :ライブラリ部
11、11a:条件設定部
12 :電圧算出部
13 :セル条件設定部
14 :セル設定部
15、15a:配線長算出部
16 :ジッタ値算出部
17 :判定部
18 :消費電力算出部
19 :出力部
20 :クロックソース
21 :回路
23 :対象パス
102 :記憶装置
103 :入力装置
104 :出力装置
105 :バス
CELLi:セル種
J :ジッタ値
Li :最大配線長
Ltotal:総配線長
Ni :最大論理段数
T :周期
DESCRIPTION OF SYMBOLS 1, 1a: Circuit design support apparatus 10: Library part 11, 11a: Condition setting part 12: Voltage calculation part 13: Cell condition setting part 14: Cell setting part 15, 15a: Wiring length calculation part 16: Jitter value calculation part 17 : Determination unit 18: Power consumption calculation unit 19: Output unit 20: Clock source 21: Circuit 23: Target path 102: Storage device 103: Input device 104: Output device 105: Bus CELLi: Cell type J: Jitter value Li: Maximum Wiring length Ltotal: Total wiring length Ni: Maximum number of logic stages T: Period

Claims (11)

信号を伝搬させる設計対象である対象パスと、前記信号の周期と、前記信号の許容ジッタ値とをユーザ入力に基づいて設定する条件設定部と、
前記対象パスに供給される電源電圧を前記周期毎に平均化した平均電源電圧と、前記平均電源電圧の最大値及び最小値とを算出する電圧算出部と、
前記対象パスの要素として配置される駆動能力の異なる複数のセル種の各々の論理段数の組合せである配置情報を、前記対象パスの駆動能力が減少していくように順番に設定するセル設定部と、
駆動能力が高い前記配置情報の順に、前記配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第1遅延と、前記最小値が供給されたときの前記対象パスの第2遅延との差が最小となるときの、前記複数のセル種の各々の後段の最適配線長の組合せである配線情報を算出する配線長算出部と、
駆動能力が高い前記配置情報の順に、前記配置情報と前記配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいてジッタ値を算出するジッタ値算出部と、
駆動能力が高い前記配置情報の順に、前記ジッタ値が前記許容ジッタ値を超えているか否かを判定する判定部と
を具備し、
前記判定部は、第1配置情報と第1配線情報とで規定される前記対象パスの第1ジッタ値が前記許容ジッタ値を越えている場合、前記第1配置情報と前記第1配線情報よりも前に算出されている、第2配置情報と第2配線情報とを前記対象パスの要素として決定する
回路設計支援装置。
A condition setting unit that sets a target path that is a design target for propagating a signal, a period of the signal, and an allowable jitter value of the signal based on a user input;
An average power supply voltage obtained by averaging the power supply voltage supplied to the target path for each period, and a voltage calculation unit that calculates a maximum value and a minimum value of the average power supply voltage;
A cell setting unit that sequentially sets arrangement information, which is a combination of the number of logical stages of each of a plurality of cell types having different driving capacities arranged as elements of the target path so that the driving capacity of the target path decreases. When,
The first delay of the target path when the maximum value is supplied and the minimum value are supplied to the target path specified by the arrangement information in the order of the arrangement information having the highest driving capability. A wiring length calculation unit that calculates wiring information that is a combination of subsequent optimal wiring lengths of each of the plurality of cell types when a difference from the second delay of the target path is minimized;
A jitter value calculating unit that calculates a jitter value based on the average power supply voltage for the target path defined by the arrangement information and the wiring information in the order of the arrangement information having a high driving capability;
A determination unit for determining whether or not the jitter value exceeds the allowable jitter value in order of the arrangement information having a high driving capability;
When the first jitter value of the target path defined by the first arrangement information and the first wiring information exceeds the allowable jitter value, the determination unit uses the first arrangement information and the first wiring information. A circuit design support device that determines the second placement information and the second wiring information, which have been calculated before, as elements of the target path.
請求項1に記載の回路設計支援装置であって、
前記判定部は、前記第1ジッタ値が前記許容ジッタ値以下の場合、前記セル設定部に前記複数のセル種の各々の論理段数の組合せを変更する変更指示を出力し、
前記セル設定部は、前記変更指示に基づいて、駆動能力が前記第1配置情報よりも低い第3配置情報に設定する
回路設計支援装置。
The circuit design support apparatus according to claim 1,
When the first jitter value is less than or equal to the allowable jitter value, the determination unit outputs a change instruction to change the combination of the number of logical stages of each of the plurality of cell types to the cell setting unit,
The circuit setting support device, wherein the cell setting unit sets, based on the change instruction, third arrangement information whose driving capability is lower than the first arrangement information.
請求項1又は2に記載の回路設計支援装置であって、
前記対象パスの要素として配置される駆動能力の異なる前記複数のセル種をリスト化したセルリストと、前記セルリストに含まれる前記複数のセル種の各々の最大論理段数と、前記複数のセル種の各々の後段に接続する最大配線長とをユーザ入力に基づいて設定するセル条件設定部
を更に具備し、
前記条件設定部は、前記対象パスの総配線長を算出し、
前記セル設定部は、前記セルリスト及び前記最大論理段数に基づいて、前記配置情報を前記対象パスの駆動能力が減少していくように順番に設定し、
前記配線長算出部は、前記配置情報で規定される前記対象パスに対して、前記複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記配置情報と、前記総配線長と、前記最大配線長とを条件とした線形計画法で算出し、前記全ての組合せのうちから、前記第1遅延と前記第2遅延との差が最小となるときの前記配線情報を算出する
回路設計支援装置。
The circuit design support apparatus according to claim 1 or 2,
A cell list in which the plurality of cell types having different driving capabilities arranged as elements of the target path are listed, the maximum number of logical stages of each of the plurality of cell types included in the cell list, and the plurality of cell types Further comprising a cell condition setting unit for setting a maximum wiring length connected to each subsequent stage based on a user input,
The condition setting unit calculates a total wiring length of the target path,
The cell setting unit, based on the cell list and the maximum number of logical stages, sets the arrangement information in order so that the drive capability of the target path decreases,
The wiring length calculation unit includes all the combinations that can be taken as the wiring length of each subsequent stage of the plurality of cell types with respect to the target path defined by the arrangement information, and the total wiring length. And the maximum wiring length as a condition, and the wiring information when the difference between the first delay and the second delay is minimum is calculated from all the combinations. Circuit design support device.
請求項3に記載の回路設計支援装置であって、
前記条件設定部は、前記信号のレイテンシ許容値をユーザ入力に基づいて設定し、
前記配線長算出部は、前記配置情報で規定される前記対象パスに対して、前記複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記配置情報と、前記総配線長と、前記最大配線長と、前記レイテンシ許容値を条件とした二次制約計画問題で算出する
回路設計支援装置。
The circuit design support device according to claim 3,
The condition setting unit sets the allowable latency value of the signal based on a user input,
The wiring length calculation unit includes all the combinations that can be taken as the wiring length of each subsequent stage of the plurality of cell types with respect to the target path defined by the arrangement information, and the total wiring length. And a circuit design support apparatus that calculates a quadratic constraint planning problem on the condition of the maximum wiring length and the latency tolerance.
信号を伝搬させる設計対象である対象パスと、前記信号の周期と、前記信号の許容ジッタ値とをユーザ入力に基づいて設定する条件設定部と、
前記対象パスに供給される電源電圧を前記周期毎に平均化した平均電源電圧と、前記平均電源電圧の最大値及び最小値とを算出する電圧算出部と、
前記対象パスの要素として配置される駆動能力の異なる複数のセル種の各々の論理段数の組合せである配置情報を、前記対象パスの駆動能力が増加していくように順番に設定するセル設定部と、
駆動能力が低い前記配置情報の順に、前記配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第1遅延と、前記最小値が供給されたときの前記対象パスの第2遅延との差が最小となるときの、前記複数のセル種の各々の後段の最適配線長の組合せである配線情報を算出する配線長算出部と、
駆動能力が低い前記配置情報の順に、前記配置情報と前記配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいてジッタ値を算出するジッタ値算出部と、
駆動能力が低い前記配置情報の順に、前記ジッタ値が前記許容ジッタ値超えているか否かを判定する判定部と
を具備し、
前記判定部は、第1配置情報と第1配線情報とで規定される前記対象パスの第1ジッタ値が前記許容ジッタ値以下の場合、前記第1配置情報と前記第1配線情報とを前記対象パスの要素として決定する
回路設計支援装置。
A condition setting unit that sets a target path that is a design target for propagating a signal, a period of the signal, and an allowable jitter value of the signal based on a user input;
An average power supply voltage obtained by averaging the power supply voltage supplied to the target path for each period, and a voltage calculation unit that calculates a maximum value and a minimum value of the average power supply voltage;
A cell setting unit that sequentially sets arrangement information that is a combination of the number of logical stages of each of a plurality of cell types having different driving capabilities arranged as elements of the target path so that the driving capability of the target path increases. When,
The first delay of the target path when the maximum value is supplied and the minimum value are supplied to the target path specified by the arrangement information in the order of the arrangement information having the low driving capability. A wiring length calculation unit that calculates wiring information that is a combination of subsequent optimal wiring lengths of each of the plurality of cell types when a difference from the second delay of the target path is minimized;
A jitter value calculation unit that calculates a jitter value based on the average power supply voltage for the target path defined by the arrangement information and the wiring information in order of the arrangement information having a low driving capability;
A determination unit that determines whether or not the jitter value exceeds the allowable jitter value in the order of the arrangement information having a low driving capability;
When the first jitter value of the target path defined by the first arrangement information and the first wiring information is equal to or less than the allowable jitter value, the determination unit determines the first arrangement information and the first wiring information as the first arrangement information and the first wiring information. Circuit design support device that is determined as an element of the target path.
信号を伝搬させる設計対象である対象パスと、前記信号の周期と、前記信号の許容ジッタ値とをユーザ入力に基づいて設定するステップと、
前記対象パスに供給される電源電圧を前記周期毎に平均化した平均電源電圧と、前記平均電源電圧の最大値及び最小値とを算出するステップと、
前記対象パスの要素として配置される駆動能力の異なる複数のセル種の各々の論理段数の組合せである配置情報に基づいて、前記電源電圧が変動しても前記対象パスの遅延の変動が小さい前記対象パスの要素を決定するステップと
を具備し、
前記対象パスの要素を決定するステップは、
第1配置情報を設定するステップと、
前記第1配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第1遅延と、前記最小値が供給されたときの前記対象パスの第2遅延との差が最小となるときの、前記第1配置情報に含まれる複数のセル種の各々の後段の最適配線長の組合せである第1配線情報を算出するステップと、
前記第1配置情報と前記第1配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいて第1ジッタ値を算出するステップと、
前記第1ジッタ値が前記許容ジッタ値以下であることを判定するステップと、
前記第1配置情報よりも駆動能力の低い第2配置情報を設定するステップと、
前記第2配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第3遅延と、前記最小値が供給されたときの前記対象パスの第4遅延との差が最小となるときの、前記第2配置情報に含まれる複数のセル種の各々の後段の最適配線長の組合せである第2配線情報を算出するステップと、
前記第2配置情報と前記第2配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいて第2ジッタ値を算出するステップと、
前記第2ジッタ値が前記許容ジッタ値を超えたか否かを判定するステップと、
前記第2ジッタ値が前記許容ジッタ値を越えている場合、前記第1配置情報と前記第1配線情報とを前記対象パスの要素として決定するステップ
を備える
回路設計支援方法。
Setting a target path that is a design target for propagating a signal, a period of the signal, and an allowable jitter value of the signal based on a user input;
Calculating an average power supply voltage obtained by averaging the power supply voltage supplied to the target path for each period, and a maximum value and a minimum value of the average power supply voltage;
Based on arrangement information that is a combination of the number of logical stages of each of a plurality of cell types having different driving capabilities arranged as elements of the target path, even if the power supply voltage fluctuates, variation in delay of the target path is small Determining an element of the target path,
Determining an element of the target path comprises:
Setting first arrangement information;
A first delay of the target path when the maximum value is supplied and a second delay of the target path when the minimum value is supplied for the target path specified by the first arrangement information. Calculating the first wiring information that is a combination of the optimal wiring lengths of the subsequent stages of each of the plurality of cell types included in the first arrangement information when the difference between
Calculating a first jitter value based on the average power supply voltage for the target path defined by the first arrangement information and the first wiring information;
Determining that the first jitter value is less than or equal to the allowable jitter value;
Setting second arrangement information having a driving capability lower than that of the first arrangement information;
A third delay of the target path when the maximum value is supplied and a fourth delay of the target path when the minimum value is supplied for the target path specified by the second arrangement information. Calculating second wiring information that is a combination of the optimal wiring lengths of the subsequent stages of each of the plurality of cell types included in the second arrangement information when the difference between
Calculating a second jitter value based on the average power supply voltage for the target path defined by the second arrangement information and the second wiring information;
Determining whether the second jitter value exceeds the allowable jitter value;
A circuit design support method, comprising: determining the first arrangement information and the first wiring information as elements of the target path when the second jitter value exceeds the allowable jitter value.
請求項6に記載の回路設計支援方法であって、
前記対象パスの要素を決定するステップは、
前記第2ジッタ値が前記許容ジッタ値以下の場合、前記複数のセル種の各々の論理段数の組合せを変更する変更指示を出力するステップと、
前記変更指示に基づいて、駆動能力が前記第1配置情報よりも低い第3配置情報に設定するステップと
を更に備える
回路設計支援方法。
The circuit design support method according to claim 6,
Determining an element of the target path comprises:
When the second jitter value is less than or equal to the allowable jitter value, outputting a change instruction to change the combination of the number of logical stages of each of the plurality of cell types;
A circuit design support method, further comprising: setting, based on the change instruction, third arrangement information whose driving capability is lower than the first arrangement information.
請求項6又は7に記載の回路設計支援方法であって、
前記対象パスの総配線長を算出するステップと、
前記対象パスの要素として配置される駆動能力の異なる前記複数のセル種の各々をリスト化したセルリストと、前記セルリストに含まれる前記複数のセル種の各々の最大論理段数と、前記複数のセル種の各々の後段に接続する最大配線長とをユーザ入力に基づいて設定するステップと
を更に具備し、
前記第1配置情報を設定するステップは、
前記セルリスト及び前記最大論理段数に基づいて前記第1配置情報に設定するステップを含み、
前記第1配線情報を算出するステップは、
前記第1配置情報で規定される前記対象パスに対して、前記第1配置情報に含まれる複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記第1配置情報と、前記総配線長と、前記最大配線長とを条件とした線形計画法で算出し、前記全ての組合せのうちから、前記第1遅延と前記第2遅延との差が最小となるときの前記第1配線情報を算出するステップ
を含み、
前記第2配置情報を設定するステップは、
前記セルリスト及び前記最大論理段数に基づいて前記第2配置情報に設定するステップを含み、
前記第2配線情報を算出するステップは、
前記第2配置情報で規定される前記対象パスに対して、前記第2配置情報に含まれる複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記第2配置情報と、前記総配線長と、前記最大配線長とを条件とした線形計画法で算出し、前記全ての組合せのうちから、前記第3遅延と前記第4遅延との差が最小となるときの前記第2配線情報を算出するステップ
を含む
回路設計支援方法。
A circuit design support method according to claim 6 or 7,
Calculating a total wiring length of the target path;
A cell list in which each of the plurality of cell types having different driving capabilities arranged as an element of the target path is listed; a maximum number of logical stages of each of the plurality of cell types included in the cell list; Further comprising a step of setting a maximum wiring length to be connected to each subsequent stage of the cell type based on a user input,
The step of setting the first arrangement information includes:
Setting the first arrangement information based on the cell list and the maximum number of logical stages,
The step of calculating the first wiring information includes:
With respect to the target path defined by the first arrangement information, all combinations that can be taken as the wiring length of each subsequent stage of the plurality of cell types included in the first arrangement information, and the first arrangement information, Calculated by a linear programming method on condition of the total wiring length and the maximum wiring length, and the first delay and the second delay when the difference between the first delay and the second delay is minimum among all the combinations. 1 calculating the wiring information,
The step of setting the second arrangement information includes:
Setting the second arrangement information based on the cell list and the maximum number of logical stages,
The step of calculating the second wiring information includes:
With respect to the target path defined by the second arrangement information, all combinations that can be taken as the wiring length of each subsequent stage of the plurality of cell types included in the second arrangement information, and the second arrangement information, Calculated by a linear programming method on the condition of the total wiring length and the maximum wiring length, and the difference between the third delay and the fourth delay is minimized among all the combinations. 2. A circuit design support method including a step of calculating wiring information.
請求項8に記載の回路設計支援方法であって、
前記信号のレイテンシ許容値をユーザ入力に基づいて設定するステップ
を更に具備し、
前記第1配線情報を算出するステップは、
前記第1配置情報で規定される前記対象パスに対して、前記第1配置情報に含まれる複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記配置情報と、前記総配線長と、前記最大配線長と、前記レイテンシ許容値を条件とした二次制約計画問題で算出するステップ
を含み、
前記第2配線情報を算出するステップは、
前記第2配置情報で規定される前記対象パスに対して、前記第2配置情報に含まれる複数のセル種の各々の後段の配線長として取り得る全ての組合せを、前記配置情報と、前記総配線長と、前記最大配線長と、前記レイテンシ許容値を条件とした線形計画法で算出するステップ
を含む
回路設計支援方法。
A circuit design support method according to claim 8,
Further comprising setting a latency tolerance of the signal based on user input;
The step of calculating the first wiring information includes:
For the target path defined by the first arrangement information, all combinations that can be taken as the wiring length of the subsequent stage of each of the plurality of cell types included in the first arrangement information are included in the arrangement information and the total Calculating a secondary constraint planning problem on condition that the wiring length, the maximum wiring length, and the latency tolerance value are included,
The step of calculating the second wiring information includes:
For the target path defined by the second arrangement information, all combinations that can be taken as the wiring length of each subsequent stage of the plurality of cell types included in the second arrangement information are included in the arrangement information and the total A circuit design support method including a step of calculating by a linear programming method using a wiring length, the maximum wiring length, and the latency tolerance as a condition.
信号を伝搬させる設計対象である対象パスと、前記信号の周期と、前記信号の許容ジッタ値とをユーザ入力に基づいて設定するステップと、
前記対象パスに供給される電源電圧を前記周期毎に平均化した平均電源電圧と、前記平均電源電圧の最大値及び最小値とを算出するステップと、
前記対象パスの要素として配置される駆動能力の異なる複数のセル種の各々の論理段数の組合せである配置情報に基づいて、前記電源電圧が変動しても前記対象パスの遅延の変動が小さい前記対象パスの要素を決定するステップと
を具備し、
前記対象パスの要素を決定するステップは、
第1配置情報を設定するステップと、
前記第1配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第1遅延と、前記最小値が供給されたときの前記対象パスの第2遅延との差が最小となるときの、前記第1配置情報に含まれる複数のセル種の各々の後段の最適配線長の組合せである第1配線情報を算出するステップと、
前記第1配置情報と前記第1配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいて第1ジッタ値を算出するステップと、
前記第1ジッタ値が前記許容ジッタ値を超えていることを判定するステップと、
前記第1配置情報よりも駆動能力の高い第2配置情報を設定するステップと、
前記第2配置情報で規定される前記対象パスに対して、前記最大値が供給されたときの前記対象パスの第3遅延と、前記最小値が供給されたときの前記対象パスの第4遅延との差が最小となるときの、前記第2配置情報に含まれる複数のセル種の各々の後段の最適配線長の組合せである第2配線情報を算出するステップと、
前記第2配置情報と前記第2配線情報とで規定される前記対象パスに対して、前記平均電源電圧に基づいて第2ジッタ値を算出するステップと、
前記第2ジッタ値が前記許容ジッタ値を超えているか否かを判定するステップと、
前記第2ジッタ値が前記許容ジッタ値以下の場合、前記第2配置情報と前記第2配線情報とを前記対象パスの要素として決定するステップ
を備える
回路設計支援方法。
Setting a target path that is a design target for propagating a signal, a period of the signal, and an allowable jitter value of the signal based on a user input;
Calculating an average power supply voltage obtained by averaging the power supply voltage supplied to the target path for each period, and a maximum value and a minimum value of the average power supply voltage;
Based on arrangement information that is a combination of the number of logical stages of each of a plurality of cell types having different driving capabilities arranged as elements of the target path, even if the power supply voltage fluctuates, variation in delay of the target path is small Determining an element of the target path,
Determining an element of the target path comprises:
Setting first arrangement information;
A first delay of the target path when the maximum value is supplied and a second delay of the target path when the minimum value is supplied for the target path specified by the first arrangement information. Calculating the first wiring information that is a combination of the optimal wiring lengths of the subsequent stages of each of the plurality of cell types included in the first arrangement information when the difference between
Calculating a first jitter value based on the average power supply voltage for the target path defined by the first arrangement information and the first wiring information;
Determining that the first jitter value exceeds the allowable jitter value;
Setting second arrangement information having higher driving ability than the first arrangement information;
A third delay of the target path when the maximum value is supplied and a fourth delay of the target path when the minimum value is supplied for the target path specified by the second arrangement information. Calculating second wiring information that is a combination of the optimal wiring lengths of the subsequent stages of each of the plurality of cell types included in the second arrangement information when the difference between
Calculating a second jitter value based on the average power supply voltage for the target path defined by the second arrangement information and the second wiring information;
Determining whether the second jitter value exceeds the allowable jitter value;
A circuit design support method comprising: determining the second arrangement information and the second wiring information as elements of the target path when the second jitter value is equal to or less than the allowable jitter value.
請求項6から10の何れか一項に記載の回路設計支援方法をコンピュータに実行させる
回路設計支援プログラム。
A circuit design support program for causing a computer to execute the circuit design support method according to any one of claims 6 to 10.
JP2011280539A 2011-12-21 2011-12-21 Apparatus, method, and program for supporting circuit design Pending JP2013131070A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011280539A JP2013131070A (en) 2011-12-21 2011-12-21 Apparatus, method, and program for supporting circuit design

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011280539A JP2013131070A (en) 2011-12-21 2011-12-21 Apparatus, method, and program for supporting circuit design

Publications (1)

Publication Number Publication Date
JP2013131070A true JP2013131070A (en) 2013-07-04

Family

ID=48908563

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011280539A Pending JP2013131070A (en) 2011-12-21 2011-12-21 Apparatus, method, and program for supporting circuit design

Country Status (1)

Country Link
JP (1) JP2013131070A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508973A (en) * 2006-11-08 2010-03-25 ライトラブ イメージング, インコーポレイテッド Photo-acoustic imaging device and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508973A (en) * 2006-11-08 2010-03-25 ライトラブ イメージング, インコーポレイテッド Photo-acoustic imaging device and method

Similar Documents

Publication Publication Date Title
US6721924B2 (en) Noise and power optimization in high performance circuits
US8856709B2 (en) Systems and methods for correlated parameters in statistical static timing analysis
US20210103693A1 (en) Dynamic frequency boosting in integrated circuits
US8839167B1 (en) Reducing runtime and memory requirements of static timing analysis
US20070136705A1 (en) Timing analysis method and device
CN115017846B (en) Interface-based time sequence repairing method, device and medium
US11681842B2 (en) Latency offset in pre-clock tree synthesis modeling
US8181130B1 (en) Method for jitter reduction by shifting current consumption
JP2005352787A (en) Method and apparatus for timing analysis
US20090271747A1 (en) Logic circuit designing device, logic circuit designing method and logic circuit designing program for asynchronous logic circuit
US9495497B1 (en) Dynamic voltage frequency scaling
US7168057B2 (en) Targeted optimization of buffer-tree logic
JP2013131070A (en) Apparatus, method, and program for supporting circuit design
Cong et al. Logic synthesis for better than worst-case designs
JP5304088B2 (en) Analysis method and analysis apparatus for analyzing delay time distribution
Wu et al. Two approaches for timing-driven placement by Lagrangian relaxation
JPWO2008133116A1 (en) Circuit design apparatus, circuit design method, and circuit design program
US8745558B1 (en) Deployment of transmission gate logic cells in application specific integrated circuits
JP2016122299A (en) State machine division program, information processor and state machine division method
Dong et al. PGR: Period and glitch reduction via clock skew scheduling, delay padding and GlitchLess
JP2012014585A (en) Semiconductor design device
Rajaram et al. Analytical bound for unwanted clock skew due to wire width variation
US9684742B1 (en) Method and apparatus for performing timing analysis on calibrated paths
JP5909073B2 (en) Layout apparatus and layout method
CN112257379A (en) Method for correcting circuit clock delay