JP2012222099A - Substrate processing device - Google Patents

Substrate processing device Download PDF

Info

Publication number
JP2012222099A
JP2012222099A JP2011085244A JP2011085244A JP2012222099A JP 2012222099 A JP2012222099 A JP 2012222099A JP 2011085244 A JP2011085244 A JP 2011085244A JP 2011085244 A JP2011085244 A JP 2011085244A JP 2012222099 A JP2012222099 A JP 2012222099A
Authority
JP
Japan
Prior art keywords
substrate processing
check
displayed
interlock
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011085244A
Other languages
Japanese (ja)
Inventor
Toru Yonebayashi
亨 米林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011085244A priority Critical patent/JP2012222099A/en
Publication of JP2012222099A publication Critical patent/JP2012222099A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a substrate processing device which allows switching of software interlock to be easily set and can display its settings.SOLUTION: A substrate processing device 10 includes display means 18 for displaying an operation screen for operating information on substrate processing, and control means 14 for executing a substrate processing recipe for executing substrate processing. When the control means accepts software interlock settings in accordance with the substrate processing recipe, it controls the display means so that setting of software interlock is reflected at the head of the substrate processing recipe and contents of the set software interlock are displayed.

Description

本発明は、半導体基板やガラス基板等の基板を処理する基板処理装置に関する。   The present invention relates to a substrate processing apparatus for processing a substrate such as a semiconductor substrate or a glass substrate.

特許文献1には、複数のステップにおいて共用されるパラメータ値を保持し、この共用パラメータを利用して、操作画面上で簡単にプロセスレシピを作成することが可能な基板処理装置が記載されている。しかしながら、一つの処理炉で複数のプロセスを実行する兼用炉には対応していなかった。特に、ソフトインターロックは、プロセスレシピごと(又は膜の種類ごと)に設定を切り替える必要があるため、プロセスレシピを作成し直す必要があった。よって、1つの処理炉で複数のプロセスを実行するときには、ソフトインターロックの設定を切り替える必要があるため、プロセスレシピを作成する手間がかかり、装置の稼働率が低下するという問題点があった。   Patent Document 1 describes a substrate processing apparatus that holds parameter values shared in a plurality of steps and can easily create a process recipe on an operation screen using the shared parameters. . However, it does not correspond to the combined furnace that executes a plurality of processes in one processing furnace. In particular, since the software interlock needs to be switched for each process recipe (or each film type), it is necessary to recreate the process recipe. Therefore, when executing a plurality of processes in one processing furnace, it is necessary to switch the setting of the soft interlock, so that it takes time to create a process recipe and there is a problem that the operating rate of the apparatus is lowered.

特開2000−133595号公報JP 2000-133595 A

本発明の目的は、上述した問題点を解決するために、ソフトインターロックの切り替えを簡単に設定するとともに、設定内容を表示することのできる基板処理装置を提供することにある。   SUMMARY OF THE INVENTION An object of the present invention is to provide a substrate processing apparatus that can easily set switching of soft interlocks and display setting contents in order to solve the above-described problems.

上記目的を達成するために、本発明に係る基板処理装置は、基板処理に関する情報を操作する操作画面を表示する表示手段と、基板処理を実行するための基板処理レシピを実行する制御手段と、を有する基板処理装置であって、前記制御手段は、前記基板処理レシピに応じて、ソフトインターロックの設定を受け付けた場合、ソフトインターロックが設定されたことが前記基板処理レシピの先頭部に反映されるように制御するとともに、設定されたソフトインターロックの内容が表示されるように前記表示手段を制御する。   In order to achieve the above object, a substrate processing apparatus according to the present invention includes a display unit that displays an operation screen for operating information on substrate processing, a control unit that executes a substrate processing recipe for executing substrate processing, When the control means accepts the setting of the soft interlock according to the substrate processing recipe, the setting of the soft interlock is reflected in the head part of the substrate processing recipe. The display means is controlled so that the contents of the set soft interlock are displayed.

本発明に係る基板処理装置においては、プロセスレシピのヘッダ部を利用してソフトインターロックを設定することができる。よって、プロセスレシピをダウンロードすることにより、ソフトインターロックの指定やその切り替えを行うことができる。また、レシピの作成又は編集時におけるソフトインターロックの設定ミスの発生を抑えることができる。   In the substrate processing apparatus according to the present invention, the soft interlock can be set using the header portion of the process recipe. Therefore, by downloading the process recipe, it is possible to designate and switch the software interlock. In addition, it is possible to suppress the occurrence of a software interlock setting error when creating or editing a recipe.

本発明の第1の実施形態に係る基板処理装置の斜視図である。1 is a perspective view of a substrate processing apparatus according to a first embodiment of the present invention. 本発明の第1の実施形態に係る基板処理装置の断面図である。1 is a cross-sectional view of a substrate processing apparatus according to a first embodiment of the present invention. 本発明の第1の実施形態に係る基板処理装置の制御手段を中心とした構成の一例を示すブロック図である。It is a block diagram which shows an example of a structure centering on the control means of the substrate processing apparatus which concerns on the 1st Embodiment of this invention. 図1Cの主操作装置(又は副操作装置)及び主コントローラの機能を説明するための図である。It is a figure for demonstrating the function of the main operating device (or sub-operating device) and main controller of FIG. 1C. プロセスレシピ作成画面の例である。It is an example of a process recipe creation screen. ガスパターン作成画面の例である。It is an example of a gas pattern creation screen. 本発明の第2の実施形態に係る基板処理装置における、主操作装置(又は副操作装置)及び主コントローラの機能を説明するための図である。It is a figure for demonstrating the function of the main operating device (or sub-operating device) and the main controller in the substrate processing apparatus which concerns on the 2nd Embodiment of this invention. プロセスレシピ作成画面の例である。It is an example of a process recipe creation screen. レシピヘッダ設定画面の例である。It is an example of a recipe header setting screen. 選択画面の例である。It is an example of a selection screen. 選択画面の例である。It is an example of a selection screen. 特殊ガスシーケンス画面の例である。It is an example of a special gas sequence screen. 特殊ガスシーケンス画面の例である。It is an example of a special gas sequence screen. プロセスレシピ作成画面に重ねて表示されるメッセージウィンドウの例である。It is an example of the message window displayed on a process recipe creation screen. 逆流防止用N2流量チェックを含む処理フローを示す図である。It is a figure which shows the processing flow including the N2 flow volume check for backflow prevention. 逆流防止用N2流量チェックの処理フローを示す図である。It is a figure which shows the processing flow of N2 flow volume check for backflow prevention. 逆流防止用N2流量チェックを含む処理フローを示す図である。It is a figure which shows the processing flow including the N2 flow volume check for backflow prevention. 逆流防止用N2流量チェックを含む処理フローを示す図である。It is a figure which shows the processing flow including the N2 flow volume check for backflow prevention.

以下、本発明を実施するための形態を図面に基づいて説明する。
[第1の実施形態]
図1Aには、本発明の第1の実施形態に係る基板処理装置10が斜視図を用いて示されている。また、図1Bには、本発明の第1の実施形態に係る基板処理装置10が側面透視図を用いて示されている。
基板処理装置10は、基板として用いられるシリコン等からなるウエハ200を処理する。
Hereinafter, embodiments for carrying out the present invention will be described with reference to the drawings.
[First embodiment]
FIG. 1A shows a substrate processing apparatus 10 according to a first embodiment of the present invention using a perspective view. FIG. 1B shows the substrate processing apparatus 10 according to the first embodiment of the present invention using a side perspective view.
The substrate processing apparatus 10 processes a wafer 200 made of silicon or the like used as a substrate.

図1A及び図1Bに示されているように、基板処理装置10では、ウエハ200を収納したウエハキャリアとして用いられるFOUP(基板収容器。以下ポッドという)110が使用されている。また、基板処理装置10は、基板処理装置本体111を備えている。   As shown in FIGS. 1A and 1B, the substrate processing apparatus 10 uses a FOUP (substrate container; hereinafter referred to as a pod) 110 used as a wafer carrier in which a wafer 200 is stored. Further, the substrate processing apparatus 10 includes a substrate processing apparatus main body 111.

基板処理装置本体111の正面壁111aの正面前方部にはメンテナンス可能なように設けられた開口部として用いられる正面メンテナンス口103が開設され、正面メンテナンス口103を開閉する正面メンテナンス扉104がそれぞれ建て付けられている。尚、図示しないが、上側の正面メンテナンス扉104近傍に副操作部としての副操作装置50が設置される。主操作部としての主操作装置16は、背面側のメンテナンス扉近傍に配置される。   A front maintenance port 103 used as an opening provided so that maintenance can be performed is established in the front front portion of the front wall 111a of the substrate processing apparatus main body 111, and a front maintenance door 104 for opening and closing the front maintenance port 103 is built. It is attached. Although not shown, a sub operation device 50 as a sub operation unit is installed in the vicinity of the upper front maintenance door 104. The main operation device 16 as the main operation unit is disposed in the vicinity of the maintenance door on the back side.

基板処理装置本体111の正面壁111aにはポッド搬入搬出口(基板収容器搬入搬出口)112が基板処理装置本体111の内外を連通するように開設されており、ポッド搬入搬出口112はフロントシャッタ(基板収容器搬入搬出口開閉機構)113によって開閉されるようになっている。
ポッド搬入搬出口112の正面前方側にはロードポート(基板収容器受渡し台)114が設置されており、ロードポート114はポッド110を載置されて位置合わせするように構成されている。ポッド110はロードポート114上に工程内搬送装置(図示せず)によって搬入され、ロードポート114上から搬出されるようになっている。
A pod loading / unloading port (substrate container loading / unloading port) 112 is opened on the front wall 111a of the substrate processing apparatus main body 111 so as to communicate with the inside and outside of the substrate processing apparatus main body 111. It is opened and closed by (substrate container carry-in / out opening / closing mechanism) 113.
A load port (substrate container delivery table) 114 is installed in front of the front side of the pod loading / unloading port 112, and the load port 114 is configured so that the pod 110 is placed and aligned. The pod 110 is loaded onto the load port 114 by an in-process transfer device (not shown) and unloaded from the load port 114.

基板処理装置本体111内の前後方向の略中央部における上部には、回転式ポッド棚(基板収容器載置棚)105が設置されており、回転式ポッド棚105は複数個のポッド110を保管するように構成されている。すなわち、回転式ポッド棚105は垂直に立設されて水平面内で間欠回転される支柱116と、支柱116に上中下段の各位置において放射状に支持された複数枚の棚板(基板収容器載置台)117とを備えており、複数枚の棚板117はポッド110を複数個宛それぞれ載置した状態で保持するように構成されている。   A rotary pod shelf (substrate container mounting shelf) 105 is installed in an upper portion of the substrate processing apparatus main body 111 in a substantially central portion in the front-rear direction. The rotary pod shelf 105 stores a plurality of pods 110. Is configured to do. In other words, the rotary pod shelf 105 is vertically arranged and intermittently rotated in a horizontal plane, and a plurality of shelf boards (supported by a substrate container) that are radially supported by the support 116 at each of the upper, middle, and lower positions. And a plurality of shelf plates 117 are configured to hold the pods 110 in a state where a plurality of pods 110 are respectively placed.

基板処理装置本体111内におけるロードポート114と回転式ポッド棚105との間には、ポッド搬送装置(基板収容器搬送装置)118が設置されており、ポッド搬送装置118は、ポッド110を保持したまま昇降可能なポッドエレベータ(基板収容器昇降機構)118aと搬送機構としてのポッド搬送機構(基板収容器搬送機構)118bとで構成されており、ポッド搬送装置118はポッドエレベータ118aとポッド搬送機構118bとの連続動作により、ロードポート114、回転式ポッド棚105、ポッドオープナ(基板収容器蓋体開閉機構)121との間で、ポッド110を搬送するように構成されている。   A pod transfer device (substrate container transfer device) 118 is installed between the load port 114 and the rotary pod shelf 105 in the substrate processing apparatus main body 111, and the pod transfer device 118 holds the pod 110. A pod elevator (substrate container lifting mechanism) 118a that can be lifted and lowered and a pod transport mechanism (substrate container transport mechanism) 118b as a transport mechanism are configured. The pod transport device 118 includes a pod elevator 118a and a pod transport mechanism 118b. The pod 110 is transported between the load port 114, the rotary pod shelf 105, and the pod opener (substrate container lid opening / closing mechanism) 121.

基板処理装置本体111内の前後方向の略中央部における下部には、サブ筐体119が後端にわたって構築されている。サブ筐体119の正面壁119aにはウエハ200をサブ筐体119内に対して搬入搬出するためのウエハ搬入搬出口(基板搬入搬出口)120が一対、垂直方向に上下二段に並べられて開設されており、上下段のウエハ搬入搬出口120、120には一対のポッドオープナ121、121がそれぞれ設置されている。ポッドオープナ121はポッド110を載置する載置台122、122と、ポッド110のキャップ(蓋体)を着脱するキャップ着脱機構(蓋体着脱機構)123、123とを備えている。ポッドオープナ121は載置台122に載置されたポッド110のキャップをキャップ着脱機構123によって着脱することにより、ポッド110のウエハ出し入れ口を開閉するように構成されている。   A sub-housing 119 is constructed over the rear end at a lower portion of the substrate processing apparatus main body 111 at a substantially central portion in the front-rear direction. A pair of wafer loading / unloading ports (substrate loading / unloading ports) 120 for loading / unloading the wafer 200 into / from the sub-casing 119 are arranged on the front wall 119a of the sub-casing 119 in two vertical stages. A pair of pod openers 121 and 121 are installed at the wafer loading / unloading ports 120 and 120 at the upper and lower stages, respectively. The pod opener 121 includes mounting bases 122 and 122 on which the pod 110 is placed, and cap attaching / detaching mechanisms (lid attaching / detaching mechanisms) 123 and 123 for attaching and detaching caps (lids) of the pod 110. The pod opener 121 is configured to open and close the wafer loading / unloading port of the pod 110 by attaching / detaching the cap of the pod 110 placed on the placing table 122 by the cap attaching / detaching mechanism 123.

サブ筐体119はポッド搬送装置118や回転式ポッド棚105の設置空間から流体的に隔絶された移載室124を構成している。移載室124の前側領域にはウエハ移載機構(基板移載機構)125が設置されており、ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置(基板移載装置)125a及びウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ(基板移載装置昇降機構)125bとで構成されている。図2に模式的に示されているようにウエハ移載装置エレベータ125bは耐圧基板処理装置本体111右側端部とサブ筐体119の移載室124前方領域右端部との間に設置されている。これら、ウエハ移載装置エレベータ125b及びウエハ移載装置125aの連続動作により、ウエハ移載装置125aのツイーザ(基板保持体)125cをウエハ200の載置部として、ボート(基板保持具)217に対してウエハ200を装填(チャージング)及び脱装(ディスチャージング)するように構成されている。   The sub-housing 119 constitutes a transfer chamber 124 that is fluidly isolated from the installation space of the pod transfer device 118 and the rotary pod shelf 105. A wafer transfer mechanism (substrate transfer mechanism) 125 is installed in the front region of the transfer chamber 124, and the wafer transfer mechanism 125 rotates the wafer 200 in the horizontal direction or can move the wafer 200 in the horizontal direction. A substrate transfer device) 125a and a wafer transfer device elevator (substrate transfer device lifting mechanism) 125b for moving the wafer transfer device 125a up and down. As schematically shown in FIG. 2, the wafer transfer apparatus elevator 125b is installed between the right end of the pressure-resistant substrate processing apparatus main body 111 and the right end of the front area of the transfer chamber 124 of the sub-housing 119. . By the continuous operation of the wafer transfer device elevator 125b and the wafer transfer device 125a, the tweezer (substrate holder) 125c of the wafer transfer device 125a is used as a placement portion for the wafer 200 with respect to the boat (substrate holder) 217. The wafer 200 is loaded (charged) and unloaded (discharged).

移載室124の後側領域には、ボート217を収容して待機させる待機部126が構成されている。待機部126の上方には、処理炉202が設けられている。処理炉202の下端部は、炉口シャッタ(炉口開閉機構)147により開閉されるように構成されている。   In the rear region of the transfer chamber 124, a standby unit 126 that houses and waits for the boat 217 is configured. A processing furnace 202 is provided above the standby unit 126. The lower end portion of the processing furnace 202 is configured to be opened and closed by a furnace port shutter (furnace port opening / closing mechanism) 147.

図1Aに模式的に示されているように、耐圧基板処理装置本体111右側端部とサブ筐体119の待機部126右端部との間にはボート217を昇降させるためのボートエレベータ(基板保持具昇降機構)115が設置されている。ボートエレベータ115の昇降台に連結された連結具としてのアーム128には蓋体としてのシールキャップ219が水平に据え付けられており、シールキャップ219はボート217を垂直に支持し、処理炉202の下端部を閉塞可能なように構成されている。
ボート217は複数本の保持部材を備えており、複数枚(例えば、50〜125枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
As schematically shown in FIG. 1A, a boat elevator (substrate holding) for raising and lowering the boat 217 between the right end portion of the pressure-resistant substrate processing apparatus main body 111 and the standby portion 126 right end portion of the sub-casing 119. (Elevating mechanism) 115 is installed. A seal cap 219 serving as a lid is horizontally installed on an arm 128 serving as a connecting tool connected to a lifting platform of the boat elevator 115, and the seal cap 219 supports the boat 217 vertically, and a lower end of the processing furnace 202. It is comprised so that a part can be obstruct | occluded.
The boat 217 includes a plurality of holding members, and is configured to hold a plurality of (for example, about 50 to 125) wafers 200 horizontally in a state where the centers are aligned in the vertical direction. Has been.

また、図1Aに模式的に示されているように移載室124のウエハ移載装置エレベータ125b側及びボートエレベータ115側と反対側である左側端部には、清浄化した雰囲気もしくは不活性ガスであるクリーンエア133を供給するよう供給ファン及び防塵フィルタで構成されたクリーンユニット134が設置されており、ウエハ移載装置125aとクリーンユニット134との間には、図示はしないが、ウエハの円周方向の位置を整合させる基板整合装置としてのノッチ合わせ装置が設置されている。   Further, as schematically shown in FIG. 1A, a clean atmosphere or an inert gas is present at the left end of the transfer chamber 124 opposite to the wafer transfer device elevator 125b side and the boat elevator 115 side. A clean unit 134 composed of a supply fan and a dust-proof filter is installed to supply clean air 133, and a wafer circle is not shown between the wafer transfer device 125a and the clean unit 134. A notch aligning device is installed as a substrate aligning device for aligning positions in the circumferential direction.

クリーンユニット134から吹き出されたクリーンエア133は、ノッチ合わせ装置及びウエハ移載装置125a、待機部126にあるボート217に流通された後に、図示しないダクトにより吸い込まれて、基板処理装置本体111の外部に排気がなされるか、もしくはクリーンユニット134の吸い込み側である一次側(供給側)にまで循環され、再びクリーンユニット134によって、移載室124内に吹き出されるように構成されている。   The clean air 133 blown out from the clean unit 134 is circulated to the notch aligner / wafer transfer device 125a and the boat 217 in the standby unit 126, and then sucked in by a duct (not shown) to be external to the substrate processing apparatus main body 111. Or is circulated to the primary side (supply side) that is the suction side of the clean unit 134, and is again blown into the transfer chamber 124 by the clean unit 134.

次に、本発明の第1の実施形態に係る基板処理装置10の動作について説明する。
図1A及び図1Bに示されているように、ポッド110がロードポート114に供給されると、ポッド搬入搬出口112がフロントシャッタ113によって開放され、ロードポート114の上のポッド110はポッド搬送装置118によって基板処理装置本体111の内部へポッド搬入搬出口112から搬入される。
Next, the operation of the substrate processing apparatus 10 according to the first embodiment of the present invention will be described.
As shown in FIGS. 1A and 1B, when the pod 110 is supplied to the load port 114, the pod loading / unloading port 112 is opened by the front shutter 113, and the pod 110 above the load port 114 is connected to the pod transfer device. 118 is carried into the substrate processing apparatus main body 111 from the pod loading / unloading port 112.

搬入されたポッド110は回転式ポッド棚105の指定された棚板117へポッド搬送装置118によって自動的に搬送されて受け渡され、一時的に保管された後、棚板117から一方のポッドオープナ121に搬送されて受け渡され、一時的に保管された後、棚板117から一方のポッドオープナ121に搬送されて載置台122に移載されるか、もしくは直接ポッドオープナ121に搬送されて載置台122に移載される。この際、ポッドオープナ121のウエハ搬入搬出口120はキャップ着脱機構123によって閉じられており、移載室124にはクリーンエア133が流通され、充満されている。例えば、移載室124にはクリーンエア133として窒素ガスが充満することにより、酸素濃度が20ppm以下と、基板処理装置本体111の内部(大気雰囲気)の酸素濃度よりも遥かに低く設定されている。   The loaded pod 110 is automatically transported and delivered by the pod transport device 118 to the designated shelf 117 of the rotary pod shelf 105, temporarily stored, and then one pod opener from the shelf 117. After being transferred to 121 and delivered and temporarily stored, it is transferred from the shelf 117 to one of the pod openers 121 and transferred to the mounting table 122 or directly transferred to the pod opener 121 and mounted. It is transferred to the mounting table 122. At this time, the wafer loading / unloading port 120 of the pod opener 121 is closed by the cap attaching / detaching mechanism 123, and the transfer chamber 124 is filled with clean air 133. For example, the transfer chamber 124 is filled with nitrogen gas as clean air 133, so that the oxygen concentration is set to 20 ppm or less, which is much lower than the oxygen concentration inside the substrate processing apparatus main body 111 (atmosphere). .

載置台122に載置されたポッド110はその開口側端面がサブ筐体119の正面壁119aにおけるウエハ搬入搬出口120の開口縁辺部に押し付けられるとともに、そのキャップがキャップ着脱機構123によって取り外され、ウエハ出し入れ口を開放される。
ポッド110がポッドオープナ121によって開放されると、ウエハ200はポッド110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、図示しないノッチ合わせ装置135にてウエハを整合した後、移載室124の後方にある待機部126へ搬入され、ボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはポッド110に戻り、次のウエハをボート217に装填する。
The pod 110 mounted on the mounting table 122 has its opening-side end face pressed against the opening edge of the wafer loading / unloading port 120 on the front wall 119a of the sub-housing 119, and the cap is removed by the cap attaching / detaching mechanism 123. The wafer loading / unloading port is opened.
When the pod 110 is opened by the pod opener 121, the wafer 200 is picked up from the pod 110 by the tweezer 125c of the wafer transfer device 125a through the wafer loading / unloading port, aligned with the notch alignment device 135 (not shown), and then transferred. It is carried into the standby section 126 behind the chamber 124 and loaded (charged) into the boat 217. The wafer transfer device 125 a that has delivered the wafer 200 to the boat 217 returns to the pod 110 and loads the next wafer into the boat 217.

この一方(上段または下段)のポッドオープナ121におけるウエハ移載機構125によるウエハのボート217への装填作業中に、他方(下段または上段)のポッドオープナ121には回転式ポッド棚105から別のポッド110がポッド搬送装置118によって搬送されて移載され、ポッドオープナ121によるポッド110の開放作業が同時進行される。   During the loading operation of the wafer into the boat 217 by the wafer transfer mechanism 125 in the one (upper or lower) pod opener 121, the other (lower or upper) pod opener 121 receives another pod from the rotary pod shelf 105. 110 is transferred and transferred by the pod transfer device 118, and the opening operation of the pod 110 by the pod opener 121 is simultaneously performed.

予め指定された枚数のウエハ200がボート217に装填されると、炉口シャッタ147によって閉じられていた処理炉202の下端部が、炉口シャッタ147によって、開放される。続いて、ウエハ200群を保持したボート217はシールキャップ219がボートエレベータ115によって上昇されることにより、処理炉202内へ搬入(ローディング)されていく。   When a predetermined number of wafers 200 are loaded into the boat 217, the lower end portion of the processing furnace 202 closed by the furnace port shutter 147 is opened by the furnace port shutter 147. Subsequently, the boat 217 holding the wafers 200 is loaded into the processing furnace 202 when the seal cap 219 is lifted by the boat elevator 115.

ローディング後は、処理炉202にてウエハ200に任意の処理が実施される。
処理後は、図示しないノッチ合わせ装置135でのウエハの整合工程を除き、概上述の逆の手順で、ウエハ200及びポッド110は筐体の外部へ払い出される。
After loading, arbitrary processing is performed on the wafer 200 in the processing furnace 202.
After the processing, the wafer 200 and the pod 110 are discharged to the outside of the casing in the reverse order of the above-described procedure, except for the wafer alignment process in the notch alignment device 135 (not shown).

次に、図1Cを参照して、本発明の第1の実施形態に係る基板処理装置10における主コントローラ14を中心としたハードウエア構成について説明する。
図1Cに示されるように、基板処理装置10の基板処理装置本体111内に、主コントローラ14、スイッチングハブ15とあわせて、搬送制御部230と、プロセス制御部232とが設けられている。搬送制御部230とプロセス制御部232とは、基板処理装置本体111内に設けることに代えて、基板処理装置本体111外に設けてもよい。
Next, with reference to FIG. 1C, a hardware configuration centering on the main controller 14 in the substrate processing apparatus 10 according to the first embodiment of the present invention will be described.
As shown in FIG. 1C, a transfer control unit 230 and a process control unit 232 are provided in the substrate processing apparatus main body 111 of the substrate processing apparatus 10 together with the main controller 14 and the switching hub 15. The transfer control unit 230 and the process control unit 232 may be provided outside the substrate processing apparatus main body 111 instead of being provided in the substrate processing apparatus main body 111.

主制御部としての主コントローラ14は、主操作部としての主操作装置16と、例えば、ビデオケーブル20を用いて接続されている。なお、主コントローラ14と主操作装置16とをビデオケーブル20を用いて接続することに代えて、通信ネットワーク40を介して、主コントローラ14と主操作装置16とを接続してもよい。
また、主コントローラ14は、図示しない外部操作装置と、例えば、通信ネットワーク40を介して接続される。このため、外部操作装置は、基板処理装置10から離間した位置に配置することが可能である。例えば、基板処理装置10がクリーンルーム内に設置されている場合であっても、外部操作装置はクリーンルーム外の事務所等に配置することが可能である。
A main controller 14 as a main control unit is connected to a main operation device 16 as a main operation unit using, for example, a video cable 20. Instead of connecting the main controller 14 and the main operation device 16 using the video cable 20, the main controller 14 and the main operation device 16 may be connected via the communication network 40.
The main controller 14 is connected to an external operation device (not shown) via, for example, the communication network 40. For this reason, the external operating device can be arranged at a position separated from the substrate processing apparatus 10. For example, even when the substrate processing apparatus 10 is installed in a clean room, the external operation apparatus can be arranged in an office outside the clean room.

主操作装置16は、基板処理装置10の状態を操作者が確認できる位置に主操作装置16が配置される。例えば、基板処理装置本体111が設置されているクリーンルーム内に設置される。
主操作装置16は、主表示制御部240及び主表示装置18を有する。主表示部としての主表示装置18は、例えば、液晶表示パネルであり、主表示装置18には、基板処理装置10を操作するための操作画面などが表示され、操作画面を介して、使用者からの操作指示が受け付けられる。主表示制御部240は、主表示装置18により受け付けられた操作指示に基づいて、操作画面の切り替えを制御する。
The main operating device 16 is disposed at a position where the operator can check the state of the substrate processing apparatus 10. For example, it is installed in a clean room where the substrate processing apparatus main body 111 is installed.
The main operation device 16 includes a main display control unit 240 and a main display device 18. The main display device 18 as the main display unit is, for example, a liquid crystal display panel, and an operation screen for operating the substrate processing apparatus 10 is displayed on the main display device 18. Operation instructions from are accepted. The main display control unit 240 controls switching of the operation screen based on the operation instruction received by the main display device 18.

副操作装置50は、副表示制御部242及び副表示装置52を有する。主表示装置18と同様、副表示装置52は、例えば、液晶表示パネルであり、副表示装置52には、基板処理装置10を操作するための操作画面などが表示される。副表示装置52で表示される操作画面は、主表示装置18で表示される操作画面と同様の機能を有する。つまり、操作画面を介して、使用者からの操作指示が受け付けられる。副表示制御部242は、主表示制御部240と同様の機能を有する。   The sub operation device 50 includes a sub display control unit 242 and a sub display device 52. Similar to the main display device 18, the sub display device 52 is, for example, a liquid crystal display panel, and an operation screen for operating the substrate processing apparatus 10 is displayed on the sub display device 52. The operation screen displayed on the sub display device 52 has the same function as the operation screen displayed on the main display device 18. That is, an operation instruction from the user is accepted via the operation screen. The sub display control unit 242 has the same function as the main display control unit 240.

搬送制御部230は、例えばCPU等からなる搬送系コントローラ234を有し、プロセス制御部232は、例えばCPU等からなるプロセス系コントローラ236を有する。搬送系コントローラ234とプロセス系コントローラ236とは、スイッチングハブ15を介して、主コントローラ14にそれぞれ接続されている。
搬送系コントローラ234は、基板を搬送するための駆動源として用いられるモータを制御することにより、基板処理システム1内におけるウエハ100の搬送を制御する。
プロセス系コントローラ236は、処理炉202の外周部に設けられたヒータ(不図示)、処理炉202のガス配管に設けられたMFC(マスフローコントローラ,不図示)、処理炉202の排気配管に設けられた圧力センサ(不図示)などを制御する。
The transport control unit 230 has a transport system controller 234 made of, for example, a CPU, and the process control unit 232 has a process system controller 236 made of, for example, a CPU. The transport system controller 234 and the process system controller 236 are connected to the main controller 14 via the switching hub 15.
The transfer system controller 234 controls the transfer of the wafer 100 in the substrate processing system 1 by controlling a motor used as a drive source for transferring the substrate.
The process system controller 236 is provided in a heater (not shown) provided on the outer periphery of the processing furnace 202, an MFC (mass flow controller, not shown) provided in a gas pipe of the processing furnace 202, and an exhaust pipe of the processing furnace 202. Control a pressure sensor (not shown).

図3は、プロセスレシピ作成画面の一例である。図3に示すように、プロセスレシピを構成するステップを編集する作成画面は、少なくとも、温度、ガス流量及び圧力などを制御する制御パラメータを設定するためのパネルや、搬送機構(ローダ)に関する設定を行うためのパネルにより構成される。
ここで、温度、圧力、ローダ、GAS及びMFCなどがラベリングされているオブジェクトは、例えば、ボタンとして機能する。これらのボタンが押下されることにより、これらのボタンにラベリングされた項目を制御する制御パラメータを設定する設定画面などが表示される。例えば、温度がラベリングされたボタンが押下された場合、温度を制御するパラメータを設定する設定画面などが表示される。
FIG. 3 is an example of a process recipe creation screen. As shown in FIG. 3, the creation screen for editing the steps constituting the process recipe includes at least a panel for setting control parameters for controlling temperature, gas flow rate, pressure, and the like, and settings related to the transport mechanism (loader). Consists of panels to do.
Here, an object labeled with temperature, pressure, loader, GAS, MFC, and the like functions as, for example, a button. When these buttons are pressed, a setting screen for setting control parameters for controlling items labeled on these buttons is displayed. For example, when a button labeled with temperature is pressed, a setting screen for setting a parameter for controlling the temperature is displayed.

図3において矢印で示されるガス(GAS)ボタンを押下することにより、例えば、図4に示すガスパターン作成画面に遷移する。ガスパターン作成画面において、ガスパターンを作成するとともに、ガスパターンにおけるソフトインターロックを設定することができる。ここでは、ガスパターン作成画面上の矢印で示されるCONVUMバルブのオン(OPEN)及びオフ(CLOSE)を切り替えることにより、異なる2種類のソフトインターロックを切り替えることができる。
なお、本発明において、ソフトインターロックチェックとは、例えば、H2リーク、O2濃度検知異常及びH2濃度検知異常といった異常を防止するためのインターロックが作動するかどうか、ソフトウエア上でチェックすることである。また、ソフトインターロックとは、異常を防止するためにソフウエア上で設定されるインターロックである。
When a gas (GAS) button indicated by an arrow in FIG. 3 is pressed, for example, a transition is made to the gas pattern creation screen shown in FIG. On the gas pattern creation screen, a gas pattern can be created and a soft interlock in the gas pattern can be set. Here, two different types of soft interlocks can be switched by switching ON (OPEN) and OFF (CLOSE) of the CONVUM valve indicated by an arrow on the gas pattern creation screen.
In the present invention, the soft interlock check is performed by checking on software whether an interlock for preventing abnormalities such as H2 leak, O2 concentration detection abnormality, and H2 concentration detection abnormality operates. is there. The software interlock is an interlock set on the software in order to prevent an abnormality.

以下、図2を用いて、プロセスレシピが作成されてから、プロセスレシピが実行されるまでの流れを説明する。
図2は、図1Cの主操作装置16(又は副操作装置50)及び主コントローラ14の機能を説明するための図である。
図2の(1)に示すように、主操作装置16(又は副操作装置50)は、操作画面を介して、使用者からプロセスレシピを作成する指示を受け付ける。
ここでは、図3に示すプロセスレシピ作成画面及び図4に示すガスパターン作成画面において、ソフトインターロックAが設定されているプロセスレシピAか、ソフトインターロックBが設定されているプロセスレシピBが作成されたものとする。
Hereinafter, the flow from the creation of the process recipe to the execution of the process recipe will be described with reference to FIG.
FIG. 2 is a diagram for explaining functions of the main operation device 16 (or the sub operation device 50) and the main controller 14 of FIG. 1C.
As shown in (1) of FIG. 2, the main operating device 16 (or the sub operating device 50) receives an instruction to create a process recipe from the user via the operation screen.
Here, in the process recipe creation screen shown in FIG. 3 and the gas pattern creation screen shown in FIG. 4, a process recipe A in which soft interlock A is set or a process recipe B in which soft interlock B is set is created. It shall be assumed.

図2の(2)に示すように、操作画面に表示されるダウンロードボタン(不図示)が使用者によって押下されたことをトリガにして、使用者によって作成されたプロセスレシピA(又はプロセスレシピB)が、主操作装置16(又は副操作装置50)から基板処理装置10内のメモリ17に書き込まれる。
図2の(3)に示すように、主操作装置16(又は副操作装置50)は、操作画面を介して、使用者から装置モードを変更する指示を受け付ける。ここで、装置モードとは、装置の状態であり、アイドル、スタンバイ及びラン等である。
図2の(4)に示すように、使用者によってなされた装置モード変更指示は、主操作装置16(又は副操作装置50)からメモリ17に書き込まれる。
図2の(5)に示すように、主コントローラ14は、予め定められた周期でメモリ17を参照する。図2の(6)に示すように、メモリ17にプロセスレシピA(又はプロセスレシピB)が書き込まれ、装置モードがランになっている場合には、プロセスレシピA(又はプロセスレシピB)の実行を開始する。
As shown in (2) of FIG. 2, a process recipe A (or process recipe B) created by the user triggered by the user pressing a download button (not shown) displayed on the operation screen is displayed. ) Is written into the memory 17 in the substrate processing apparatus 10 from the main operating device 16 (or the sub operating device 50).
As shown in (3) of FIG. 2, the main operating device 16 (or the sub operating device 50) receives an instruction to change the device mode from the user via the operation screen. Here, the device mode is a state of the device, such as idle, standby, and run.
As shown in (4) of FIG. 2, the device mode change instruction issued by the user is written from the main operating device 16 (or the sub operating device 50) to the memory 17.
As shown in (5) of FIG. 2, the main controller 14 refers to the memory 17 at a predetermined cycle. As shown in (6) of FIG. 2, when the process recipe A (or process recipe B) is written in the memory 17 and the apparatus mode is set to run, the process recipe A (or process recipe B) is executed. To start.

図2の(8)に示すように、主コントローラ14は、プロセスレシピに記述された設定値を図1Cの搬送系コントローラ及びプロセス系コントローラに送信しながら、メモリ17に書き込まれたプロセスレシピA(又はプロセスレシピB)に記述されたステップを順次実行する。
ここで、インターロック条件が成立すると、図2の(7)に示すように、主コントローラ14は、図4のCONVUMバルブがオン及びオフのいずれに切り替えられているかに応じて、ソフトインターロックを実行する。例えば、オンのときには、ソフトインターロックAが実行され、オフのときには、ソフトインターロックBが実行される。
プロセスレシピに記述されたすべてのステップが実行された場合には、図2の(9)に示すように、主コントローラ14は、ソフトインターロックの実行を含む実行履歴を上位コントローラ等に送信する。なお、ソフトインターロックの実行が開始されたことにともない、ソフトインターロックの実行を含む実行履歴を上位コントローラ等に送信してもかまわない。
なお、詳述しないが、プロセスレシピの実行及びソフトインターロックの実行などを含む実行履歴は、メモリ17に書き込まれるように構成されている。
As shown in (8) of FIG. 2, the main controller 14 transmits the set value described in the process recipe to the transfer system controller and the process system controller of FIG. Alternatively, the steps described in the process recipe B) are executed sequentially.
Here, when the interlock condition is satisfied, as shown in FIG. 2 (7), the main controller 14 performs the soft interlock depending on whether the CONVUM valve in FIG. 4 is switched on or off. Execute. For example, the soft interlock A is executed when it is on, and the soft interlock B is executed when it is off.
When all the steps described in the process recipe are executed, the main controller 14 transmits an execution history including execution of the soft interlock to the host controller or the like as shown in (9) of FIG. It should be noted that the execution history including the execution of the soft interlock may be transmitted to the host controller or the like as the execution of the soft interlock is started.
Although not described in detail, an execution history including execution of a process recipe and execution of a soft interlock is configured to be written in the memory 17.

以上、プロセスレシピ作成時、容易にソフトインターロックの切り替えを設定することができる基板処理装置10を説明した。
しかしながら、この基板処理装置10においては、ソフトインターロックが実行されるまで、ソフトインターロックの内容を使用者が把握することは難しい。また、バルブの切り替えによりソフトインターロックを切り替える場合には、緊急のソフトインターロックを実行することが難しい。
以下、以上説明した第1の実施形態を改良し、操作性を向上させた第2の実施形態を説明する。
As described above, the substrate processing apparatus 10 that can easily set the switching of the soft interlock when creating the process recipe has been described.
However, in this substrate processing apparatus 10, it is difficult for the user to grasp the contents of the soft interlock until the soft interlock is executed. In addition, when soft interlock is switched by switching valves, it is difficult to execute emergency soft interlock.
Hereinafter, the second embodiment will be described in which the above-described first embodiment is improved and operability is improved.

[第2の実施形態]
本発明の第2の実施形態に係る基板処理装置10の構成及び動作は、本発明の第1の実施形態に係る基板処理装置10の構成及び動作と同様である。
以下、本発明の第2の実施形態に係る基板処理装置10における、主操作装置16(又は副操作装置50)及び主コントローラ14の機能を説明する。
[Second Embodiment]
The configuration and operation of the substrate processing apparatus 10 according to the second embodiment of the present invention are the same as the configuration and operation of the substrate processing apparatus 10 according to the first embodiment of the present invention.
Hereinafter, functions of the main operation device 16 (or the sub operation device 50) and the main controller 14 in the substrate processing apparatus 10 according to the second embodiment of the present invention will be described.

主操作装置16(又は副操作装置50)は、例えば、図6に示すプロセスレシピ作成画面を表示する。図6に示すように、プロセスレシピ作成画面は、少なくとも、温度、ガス流量及び圧力などを制御する制御パラメータを設定するためのパネルや、搬送機構に関する設定を行うためのパネルにより構成される。
ここで、温度、圧力、ローダ、GAS及びMFCなどがラベリングされているオブジェクトは、図3と同様、例えば、ボタンとして機能し、これらのボタンが押下されることにより、これらのボタンにラベリングされた項目を制御する制御パラメータを設定する設定画面などが表示される。
図6には、図3とは異なり、作業パネル62には、矢印で示されるレシピヘッダボタン及びレシピヘッダ表示部が表示される。そして、レシピヘッダボタンを押下することにより、プロセスレシピのヘッダの設定が行われる。設定されたプロセスレシピのヘッダは、レシピヘッダ表示部に表示される。
レシピヘッダボタンが押下されることにより、プロセスレシピ作成画面から、例えば、図7Aに示すレシピヘッダ設定画面に遷移する。
The main operation device 16 (or the sub operation device 50) displays, for example, a process recipe creation screen shown in FIG. As shown in FIG. 6, the process recipe creation screen includes at least a panel for setting control parameters for controlling temperature, gas flow rate, pressure, and the like, and a panel for setting related to the transport mechanism.
Here, objects labeled with temperature, pressure, loader, GAS, MFC, etc. function as buttons, for example, as in FIG. 3, and these buttons are labeled by pressing these buttons. A setting screen for setting control parameters for controlling items is displayed.
In FIG. 6, unlike FIG. 3, a recipe header button and a recipe header display section indicated by arrows are displayed on the work panel 62. Then, the process recipe header is set by pressing the recipe header button. The set process recipe header is displayed in the recipe header display section.
When the recipe header button is pressed, the process recipe creation screen transits to, for example, a recipe header setting screen shown in FIG. 7A.

図7Aに示すように、レシピヘッダ設定画面は、レシピデータ範囲チェックテーブル番号入力部64、N2パージモード入力部65、特殊シーケンス(H2Oタイプ)入力部66、特殊シーケンス(DCE有無)入力部67、OKボタン68及びキャンセルボタン68を備える。ここでは、各設定項目(ここでは、レシピデータ範囲チェックテーブル番号、N2パージモード、特殊シーケンス(H2Oタイプ)及び特殊シーケンス(DCE有無))についてまだ入力がなされていないが、入力がなされた後、OKボタン68が押下された場合には、ソフトインターロックが設定される。なお、キャンセルボタン69が押下された場合には、レシピヘッダ設定画面が閉じられる。   As shown in FIG. 7A, the recipe header setting screen includes a recipe data range check table number input unit 64, an N2 purge mode input unit 65, a special sequence (H2O type) input unit 66, a special sequence (DCE presence / absence) input unit 67, An OK button 68 and a cancel button 68 are provided. Here, input has not yet been made for each setting item (here, recipe data range check table number, N2 purge mode, special sequence (H2O type) and special sequence (DCE presence / absence)). When the OK button 68 is pressed, soft interlock is set. When the cancel button 69 is pressed, the recipe header setting screen is closed.

各設定項目の入力は、使用者が各入力部をタッチすることにより選択画面に遷移され、この選択画面に表示される内容のいずれかを使用者がタッチすることによりその内容が入力されてもよい。例えば、図7Aの特殊シーケンス(H2Oタイプ)入力部66が使用者によりタッチされると、図7Bの選択画面に遷移する。図7Bの選択画面は、特殊シーケンスについて、何も指定しない'指定なし'ボタン70、特殊シーケンスを指定する'H2Oタイプ'ボタン71、及び、選択画面を閉じるキャンセルボタン72を備える。また、図7Aの特殊シーケンス(DCE有無)入力部67が使用者によりタッチされると、図7Cの選択画面に遷移する。図7Cの選択画面は、特殊シーケンスについて、DCEを指定しない'なし'ボタン73、DCEを指定する'あり'ボタン74及びキャンセルボタン72を備える。   The input of each setting item is transitioned to the selection screen by the user touching each input unit, and even if the content is input by the user touching any of the contents displayed on this selection screen Good. For example, when the special sequence (H 2 O type) input unit 66 in FIG. 7A is touched by the user, the screen transitions to the selection screen in FIG. 7B. The selection screen of FIG. 7B includes a “no specification” button 70 for specifying nothing for the special sequence, an “H2O type” button 71 for specifying the special sequence, and a cancel button 72 for closing the selection screen. When the special sequence (DCE presence / absence) input unit 67 in FIG. 7A is touched by the user, the screen transitions to the selection screen in FIG. 7C. The selection screen of FIG. 7C includes a “None” button 73 for not specifying DCE, a “Yes” button 74 for specifying DCE, and a cancel button 72 for the special sequence.

図5は、本発明の第2の実施形態に係る基板処理装置10における、主操作装置16(又は副操作装置50)及び主コントローラ14の機能を説明するための図である。
図5の(1)に示すように、主操作装置16(又は副操作装置50)は、操作画面を介して、使用者からプロセスレシピを保存する指示を受け付ける。
ここでは、図6に示すプロセスレシピ作成画面及び図7に示すレシピヘッダ設定画面において、H2流量異常、O2流量異常及びH2及びO2流量比異常を防止するためのソフトインターロックAが設定されているプロセスレシピAか、O2及びDCE比異常及びCAPシールのオンを防止するためのソフトインターロックBが設定されているプロセスレシピBが作成されたものとする。
また、プロセスレシピA(又はプロセスレシピB)作成時に、プロセスレシピB(又はプロセスレシピA)で使用される特殊ガスを流すようなバルブ設定が行われていると、主操作装置16(又は副操作装置50)のプロセスレシピ編集(作成)画面上にエラーメッセージが表示され、レシピの保存が行われないように構成されている。
FIG. 5 is a diagram for explaining functions of the main operation device 16 (or the sub operation device 50) and the main controller 14 in the substrate processing apparatus 10 according to the second embodiment of the present invention.
As shown in (1) of FIG. 5, the main operating device 16 (or the sub operating device 50) accepts an instruction to save a process recipe from the user via the operation screen.
Here, in the process recipe creation screen shown in FIG. 6 and the recipe header setting screen shown in FIG. 7, the soft interlock A for preventing the H2 flow rate abnormality, the O2 flow rate abnormality, and the H2 and O2 flow rate ratio abnormality is set. Assume that the process recipe A or the process recipe B in which the soft interlock B is set to prevent the O2 and DCE ratio abnormality and the CAP seal from being turned on is created.
Further, when the valve setting is made so that the special gas used in the process recipe B (or process recipe A) flows when the process recipe A (or process recipe B) is created, the main operation device 16 (or the sub operation) An error message is displayed on the process recipe editing (creation) screen of the apparatus 50), and the recipe is not saved.

図5の(2)に示すように、操作画面に表示されるダウンロードボタン(不図示)が使用者によって押下されたことをトリガにして、使用者によって作成されたプロセスレシピA(又はプロセスレシピB)が、主操作装置16(又は副操作装置50)内の記憶手段としてのHDD(Hard Disk Drive)19に書き込まれ、図5の(3)に示すように、HDD19内のプロセスレシピファイル及び基板処理の材料に関する情報(以下、「FOUP情報」)が、主操作装置16(又は副操作装置50)内のメモリ20に書き込まれる。
図5の(4)に示すように、HDD19内のプロセスレシピ及びFOUP情報がメモリ20に書き込まれたことをトリガにして、主操作装置16(又は副操作装置50)から主コントローラ14にプロセスレシピA(又はプロセスレシピB)を送信する旨(以下、「プロセスレシピ指示」)が送信される。
図5の(5)に示すように、主コントローラ14は、プロセスレシピ指示を受信すると、プロセスレシピ指示を受信した旨を主操作装置16(又は副操作装置50)に送信する。
As shown in (2) of FIG. 5, the process recipe A (or process recipe B) created by the user triggered by the user pressing a download button (not shown) displayed on the operation screen. ) Is written in an HDD (Hard Disk Drive) 19 as a storage means in the main operating device 16 (or the sub operating device 50), and as shown in FIG. Information on the processing material (hereinafter, “FOUP information”) is written in the memory 20 in the main operating device 16 (or the sub operating device 50).
As shown in (4) of FIG. 5, the process recipe and the FOUP information in the HDD 19 are triggered to be written in the memory 20, and the process recipe is transferred from the main operating device 16 (or the sub operating device 50) to the main controller 14. A message to transmit A (or process recipe B) (hereinafter, “process recipe instruction”) is transmitted.
As shown in (5) of FIG. 5, when receiving the process recipe instruction, the main controller 14 transmits to the main operating device 16 (or the sub operating device 50) that the process recipe instruction has been received.

図5の(6)に示すように、主操作装置16(又は副操作装置50)は、主コントローラ14がプロセスレシピ指示を受信した旨を受信すると、HDD19に書き込まれたプロセスレシピを主コントローラ14に送信する。主操作装置16(又は副操作装置50)から送信されたプロセスレシピA(又はプロセスレシピB)は、メモリ17に書き込まれる。
図5の(7)に示すように、主コントローラ14は、メモリ17に書き込まれたプロセスレシピA(又はプロセスレシピB)の内容を読み出すとともに、プロセスレシピのヘッダの内容に応じて、ソフトインターロックチェックを実行する。
図5の(8)に示すように、主コントローラ14は、ソフトインターロックの内容に関する情報(進捗や経過した時間など)を、プロセスレシピA(又はプロセスレシピB)に関する情報とともに、主操作装置16(又は副操作装置50)に送信する。送信されたソフトインターロックの内容に関する情報は、HDD19内のプロセスレシピのヘッダの内容とともに、主表示装置18(又は副表示装置52)に表示される。
As shown in (6) of FIG. 5, when the main controller 16 (or the sub-operator 50) receives that the main controller 14 has received the process recipe instruction, the main controller 14 stores the process recipe written in the HDD 19. Send to. The process recipe A (or process recipe B) transmitted from the main operation device 16 (or the sub operation device 50) is written in the memory 17.
As shown in (7) of FIG. 5, the main controller 14 reads the contents of the process recipe A (or process recipe B) written in the memory 17 and, according to the contents of the header of the process recipe, soft interlock Run the check.
As shown in (8) of FIG. 5, the main controller 14 includes information on the contents of the soft interlock (such as progress and elapsed time) together with information on the process recipe A (or process recipe B). (Or the sub-operation device 50). The transmitted information on the contents of the software interlock is displayed on the main display device 18 (or the sub display device 52) together with the contents of the process recipe header in the HDD 19.

図5の(9)に示すように、主コントローラ14は、メモリ17に書き込まれたプロセスレシピA(又はプロセスレシピB)を読み出し、図5の(10)に示すように、プロセスレシピに記述された設定値を図1Cの搬送系コントローラ及びプロセス系コントローラに送信しながら、プロセスレシピのステップを順次実行する。
プロセスレシピに記述されたすべてのステップが実行された場合には、図5の(11)に示すように、主コントローラ14は、実行履歴を上位コントローラ等に送信する。なお、ソフトインターロックが実行された場合、主表示装置は表示するだけではなく、ソフトインターロックの実行が開始されるとともに、主コントローラ14は、実行履歴を上位コントローラ等に送信してもよい。
また、プロセスレシピの実行及びソフトインターロックの実行などを含む実行履歴は、メモリ17に書き込まれるように構成されている。
As shown in (9) of FIG. 5, the main controller 14 reads the process recipe A (or process recipe B) written in the memory 17, and is described in the process recipe as shown in (10) of FIG. The process recipe steps are sequentially executed while transmitting the set values to the transfer system controller and the process system controller of FIG. 1C.
When all the steps described in the process recipe have been executed, the main controller 14 transmits the execution history to the host controller or the like as shown in (11) of FIG. When soft interlock is executed, not only the main display device displays but also the execution of soft interlock is started, and the main controller 14 may transmit the execution history to the host controller or the like.
In addition, an execution history including execution of a process recipe and execution of a soft interlock is configured to be written in the memory 17.

図8は、プロセスレシピAに設定されたソフトインターロックAの制御状況を示す特殊ガスシーケンス画面である。図8には、特殊ガスシーケンスの実施例として、不図示の水蒸気発生装置を利用して特殊ガス(H2O)を流すためのガスフローシーケンスについての制御状況が示されている。図8に示す画面は、タイトルパネル61と、インフォメーションパネル75と、図示しないナビゲーションパネルとで構成される。
さらに、インフォメーションパネル75は、少なくとも、特殊ガスを流すためのガスフローシーケンスを表示する特殊ガスシーケンスパネルと、ソフトインターロックの内容を示すソフトインターロックパネルとで構成される。ガスフローシーケンス(特殊ガスを流すシーケンス)は、少なくとも、準備(Idle)工程と、O2を流す(O2 Flow)工程と、H2Oを流す(H2O Flow)工程(H2 Flow)と、H2を停止して(H2 Stop)O2のみを流す工程とを有する。各工程(各ステータス)は、実行中には、緑色の点滅表示で示され、終了すると緑色の点灯表示で示される。なお、異常(エラー)が発生したステータスは、赤色で表示されるように構成されてもよい。
FIG. 8 is a special gas sequence screen showing the control status of the soft interlock A set in the process recipe A. FIG. 8 shows a control state of a gas flow sequence for flowing a special gas (H 2 O) using a steam generator (not shown) as an example of the special gas sequence. The screen shown in FIG. 8 includes a title panel 61, an information panel 75, and a navigation panel (not shown).
Further, the information panel 75 includes at least a special gas sequence panel that displays a gas flow sequence for flowing a special gas, and a soft interlock panel that indicates the contents of the soft interlock. The gas flow sequence (a sequence of flowing a special gas) is at least a preparation (Idle) step, a flow of O2 (O2 Flow), a flow of H2O (H2O Flow) (H2 Flow), and H2 is stopped. (H2 Stop) and a flow of only O2. Each process (each status) is indicated by a green blinking display during execution, and is indicated by a green lighting display upon completion. Note that the status in which an abnormality (error) has occurred may be configured to be displayed in red.

特殊ガスシーケンスパネルには、O2 Flow工程から最後のH2 Stop工程まで、O2最大流量をチェックするソフトインターロックチェック制御が実施されることが、O2最大流量チェックアイコンにより示され、H2O Flow工程とH2 Stop工程では、O2最小流量をチェックするソフトインターロックチェック制御が実施されることが、O2最小流量チェックアイコンにより示される。また、H2O Flow工程では、H2最大流量をチェックするソフトインターロックチェック制御とH2/O2流量比の上下限値をチェックするインターロックチェック制御とが行われ、H2 Stop工程では、O2パージ上下限値をチェックするソフトインターロック制御が行われる。これらの制御の実施は、それぞれH2最大流量チェックアイコンとH2/O2流量比上下限チェックアイコンとO2パージ流量上限チェックアイコンとO2パージ流量下限チェックアイコンとにより特殊ガスシーケンスパネル上にバー表示されている。そして、バー表示されたこれらのアイコンは、それぞれ、インターロックチェック制御の結果に応じて色分け表示される。例えば、チェック未実行では白(又は色無し)、チェック中には緑、チェックNGでは赤で表示される。また、複数の工程にてインターロックチェックしている場合、チェックOKとチェックNGとで各工程で色分けして表示してもよい。また、特殊ガスシーケンスパネルは、H2/O2流量上下限チェックアイコンに関連させて、H2/O2流量比の上下限値とモニタ値(現在値)とを表示させるように構成されている。これは、流量比が上限値を超えると、H2ガスが多い状態となり非常に危険であり、また、流量比が下限値を超えると、プロセス処理に影響があるため、主表示装置18(または副表示装置50)に表示されるよう構成されている。   In the special gas sequence panel, the O2 maximum flow rate check icon indicates that the O2 maximum flow rate is checked from the O2 Flow step to the last H2 Stop step, which is indicated by the O2 maximum flow rate check icon. In the Stop step, it is indicated by the O2 minimum flow rate check icon that soft interlock check control for checking the O2 minimum flow rate is performed. In the H2O Flow process, soft interlock check control for checking the maximum H2 flow rate and interlock check control for checking the upper and lower limit values of the H2 / O2 flow ratio are performed. In the H2 Stop step, the O2 purge upper and lower limit values are performed. Soft interlock control is performed to check The implementation of these controls is indicated by a bar on the special gas sequence panel by an H2 maximum flow rate check icon, an H2 / O2 flow ratio upper / lower limit check icon, an O2 purge flow rate upper limit check icon, and an O2 purge flow rate lower limit check icon, respectively. . These icons displayed in a bar are displayed in different colors according to the result of the interlock check control. For example, white (or no color) is displayed when the check is not executed, green is displayed during the check, and red is displayed when the check is NG. Further, when interlock check is performed in a plurality of processes, the check OK and the check NG may be displayed in different colors for each process. The special gas sequence panel is configured to display the upper and lower limit values and the monitor value (current value) of the H2 / O2 flow rate ratio in association with the H2 / O2 flow rate upper and lower limit check icon. This is because when the flow rate ratio exceeds the upper limit value, the amount of H2 gas is increased, which is very dangerous. When the flow rate ratio exceeds the lower limit value, the process processing is affected. Display device 50).

ソフトインターロックパネルには、ソフトウエアが監視しているインターロックの発生状況が表示される。少なくともソフトインターロックとして、H2ガス流量に関するインターロックチェックの状況及び結果を示すH2流量エラーパネルと、O2ガス流量に関するインターロックチェックの状況及び結果を示すO2流量エラーパネルと、H2ガス及びO2ガスの流量比に関するインターロックチェックの状況及び結果を示すH2/O2流量比エラーパネルとで構成されている。   On the soft interlock panel, the occurrence status of the interlock monitored by the software is displayed. At least as a soft interlock, an H2 flow rate error panel indicating the status and result of an interlock check regarding the H2 gas flow rate, an O2 flow rate error panel indicating the status and result of an interlock check regarding the O2 gas flow rate, and the H2 gas and O2 gas It is composed of an H2 / O2 flow ratio error panel indicating the status and results of the interlock check related to the flow ratio.

H2流量エラーパネルは、H2流量エラーアイコンと、現在H2最大流量を超えている時間を示す無視時間欄と、H2最大流量を連続して超えるとエラーが設定されるチェック時間欄とで構成されている。無視時間欄に表示される無視時間はカウントアップされ、この無視時間がチェック時間欄に設定されて表示されるチェック時間に達した場合、H2最大流量エラーとなる。なお、エラーが発生したとき、H2流量エラーアイコンを変色して表示するよう構成してもよい。例えば、正常であれば緑色で表示し、異常であれば赤色で表示するようにしてもよい。   The H2 flow rate error panel is composed of an H2 flow rate error icon, an ignorance time column indicating the time when the current H2 maximum flow rate is exceeded, and a check time column where an error is set when the H2 maximum flow rate is exceeded continuously. Yes. The ignore time displayed in the ignore time column is counted up. When the ignore time reaches the check time set in the check time column and displayed, an H2 maximum flow rate error occurs. Note that when an error occurs, the H2 flow rate error icon may be discolored and displayed. For example, green may be displayed if normal, and red if abnormal.

O2流量エラーパネルは、O2流量エラーアイコンと、現在O2最大流量(又はO2最小流量)を超えている時間を示す無視時間欄と、O2最大流量を連続して超えるとエラーが設定される最大流量チェック時間欄と、O2最小流量を連続して下回るとエラーが設定される最小流量チェック時間欄とで構成されている。無視時間欄に表示される無視時間はカウントアップされ、この無視時間が最大流量チェック時間欄(又は最小流量チェック時間欄)に設定されて表示されるチェック時間に達した場合、O2流量エラーとなる。なお、エラーが発生したとき、O2流量エラーアイコンを変色して表示するよう構成してもよい。例えば、正常であれば緑色で表示し、異常であれば赤色で表示するようにしてもよい。また、O2流量最大エラー及びO2流量最小エラーの発生状況に応じて、さらに表示を変更してもよい。例えば、O2流量最大エラーのみ発生した場合と、O2流量最小エラーのみ発生した場合と、O2流量最小エラー及びO2流量最大エラーの両方が発生した場合とで、色分け表示してもよい。   The O2 flow error panel has an O2 flow error icon, an ignorance time column indicating the time when the current O2 maximum flow rate (or O2 minimum flow rate) is exceeded, and the maximum flow rate at which an error is set when the O2 maximum flow rate is exceeded It consists of a check time column and a minimum flow rate check time column in which an error is set if the O2 minimum flow rate is continuously reduced. The ignore time displayed in the ignore time column is counted up, and when this ignore time is set in the maximum flow rate check time column (or the minimum flow rate check time column) and reaches the displayed check time, an O2 flow rate error occurs. . When an error occurs, the O2 flow rate error icon may be discolored and displayed. For example, green may be displayed if normal, and red if abnormal. The display may be further changed according to the occurrence state of the maximum O2 flow rate error and the minimum O2 flow rate error. For example, the O2 flow rate maximum error only, the O2 flow minimum error only, and the O2 flow minimum error and the O2 flow maximum error both may be displayed in different colors.

H2/O2流量比エラーパネルは、H2/O2流量比エラーアイコンと、現在H2ガス及びO2ガスの流量比の上限値(又は下限値)を超えている時間を示す無視時間欄と、H2ガス及びO2ガスの流量比の上限値を連続して超えるとエラーが設定される上限チェック時間欄と、H2ガス及びO2ガスの流量比の下限値を連続して下回るとエラーが設定される下限チェック時間欄とで構成されている。無視時間欄に表示される無視時間はカウントアップされ、この無視時間が上限チェック時間欄(又は加減チェック時間欄)に設定されて表示されるチェック時間に達した場合、H2/O2流量比エラーとなる。なお、エラーが発生したとき、H2/O2流量比エラーアイコンを変色して表示するよう構成してもよい。例えば、正常であれば緑色で表示し、異常であれば赤色で表示するようにしてもよい。また、H2/O2流量比の上限チェックエラー及び下限チェックエラーの発生状況に応じて、さらに表示を変更してもよい。例えば、上限チェックエラーのみ発生した場合と、下限チェックエラーのみ発生した場合と、上限チェックエラー及び下限チェックエラーの両方が発生した場合とで、色分け表示してもよい。また、ソフトインターロックパネルに各ソフトインターロックがパネル表示されているのは、適宜、パネルを追加又は削除してもかまわないよう構成されているためである。つまり、新たにソフトインターロック条件を追加する場合、パネルを追加することにより対応できるよう構成されている。また、図示しないが、ハードインターロックの発生状況を表示するパネルを追加してもかまわない。   The H2 / O2 flow ratio error panel includes an H2 / O2 flow ratio error icon, an ignorance time column indicating a time when the current upper limit (or lower limit) of the flow ratio of H2 gas and O2 gas is exceeded, An upper limit check time column in which an error is set if the upper limit value of the flow rate ratio of O2 gas is continuously exceeded, and a lower limit check time in which an error is set if the lower limit value of the flow rate ratio of H2 gas and O2 gas is continuously reduced. It consists of a column. The ignore time displayed in the ignore time column is counted up, and if this ignore time is set in the upper limit check time column (or addition / subtraction check time column) and reaches the check time displayed, an H2 / O2 flow ratio error will occur. Become. When an error occurs, the H2 / O2 flow ratio error icon may be displayed in a discolored state. For example, green may be displayed if normal, and red if abnormal. Further, the display may be further changed according to the occurrence status of the upper limit check error and the lower limit check error of the H2 / O2 flow rate ratio. For example, different colors may be displayed when only the upper limit check error occurs, when only the lower limit check error occurs, and when both the upper limit check error and the lower limit check error occur. The reason why each soft interlock is displayed on the soft interlock panel is that the panel may be added or deleted as appropriate. That is, when a new soft interlock condition is added, it can be handled by adding a panel. Further, although not shown, a panel for displaying the occurrence status of the hard interlock may be added.

図9は、プロセスレシピBに設定されたソフトインターロックBの制御状況を示す特殊ガスシーケンス画面である。図9には、特殊ガスシーケンスの実施例として、不図示のDCE装置を利用して特殊ガス(DCE)を流すためのガスフローシーケンスについての制御状況が示されている。図9に示す画面は、図8と同様、タイトルパネル61と、インフォメーションパネル75と、図示しないナビゲーションパネルとで構成される。
さらに、インフォメーションパネル75は、図8と同様、少なくとも特殊ガスを流すためのガスフローシーケンスを表示する特殊ガスシーケンスパネルと、ソフトインターロックの内容を示すソフトインターロックパネルとで構成される。特殊ガスを流すガスフローシーケンスは、少なくとも、準備(Idle)工程と、O2を流す(O2 Flow)工程と、DCEを流す(DCE Flow)工程と、DCEを停止する(DCE Stop)工程とを有する。各工程(各ステータス)は、実行中には、緑色の点滅表示で示され、終了すると緑色の点灯表示で示される。なお、異常(エラー)が発生したステータスは、赤色で表示されるように構成されてもよい。
FIG. 9 is a special gas sequence screen showing the control status of the soft interlock B set in the process recipe B. FIG. 9 shows a control state of a gas flow sequence for flowing a special gas (DCE) using a DCE device (not shown) as an example of the special gas sequence. The screen shown in FIG. 9 includes a title panel 61, an information panel 75, and a navigation panel (not shown) as in FIG.
Further, as in FIG. 8, the information panel 75 includes at least a special gas sequence panel that displays a gas flow sequence for flowing a special gas, and a soft interlock panel that indicates the contents of the soft interlock. The gas flow sequence for flowing a special gas includes at least a preparation (Idle) step, a step for flowing O2 (O2 Flow), a step for flowing DCE (DCE Flow), and a step for stopping DCE (DCE Stop). . Each process (each status) is indicated by a green blinking display during execution, and is indicated by a green lighting display upon completion. Note that the status in which an abnormality (error) has occurred may be configured to be displayed in red.

特殊ガスシーケンスパネルには、ボートロード(Boat Load)工程からDCE Stop工程まで、炉口部202の下部を閉塞するシールキャップ219が炉口を密閉可能なようにシールしているかをチェックするソフトインターロック制御が実施されることがCAPシールONチェックアイコンにより示され、DCE Flow工程からDCE Stop工程(つまり、DCEが流れている間)まで、O2/DCE流量比をチェックするソフトインターロックチェック制御が実施されることがO2/DCE比チェックアイコンによりそれぞれバー表示される。そして、これらのバー表示されたアイコンは、それぞれ、インターロックチェック制御の結果に応じて色分け表示される。例えば、チェック未実行では白(又は色無し)、チェック中には緑、チェックNGでは赤で表示される。また、複数の工程にてインターロックチェックしている場合、チェックOKとチェックNGとで各工程で色分けして表示してもよい。また、特殊ガスシーケンスパネルは、O2/DCE流量上下限チェックアイコンに関連させて、O2/DCE流量比のリミット値とモニタ値(現在値)とを表示させるように構成されている。これは、流量比がリミット値を超えると、プロセス処理に影響があるため、主表示装置18(または副表示装置50)に表示されるよう構成されている。   The special gas sequence panel includes a soft interface that checks whether a seal cap 219 that closes the lower portion of the furnace port portion 202 seals the furnace port so that the furnace port can be sealed from the boat load process to the DCE stop process. The CAP seal ON check icon indicates that the lock control is performed, and the soft interlock check control that checks the O2 / DCE flow ratio from the DCE Flow process to the DCE Stop process (that is, while the DCE is flowing) Implementation is indicated by a bar with an O2 / DCE ratio check icon. The icons displayed in the bar are displayed in different colors according to the result of the interlock check control. For example, white (or no color) is displayed when the check is not executed, green is displayed during the check, and red is displayed when the check is NG. Further, when interlock check is performed in a plurality of processes, the check OK and the check NG may be displayed in different colors for each process. The special gas sequence panel is configured to display a limit value and a monitor value (current value) of the O2 / DCE flow rate ratio in association with the O2 / DCE flow rate upper / lower limit check icon. This is configured to be displayed on the main display device 18 (or the sub display device 50) because the process processing is affected when the flow rate ratio exceeds the limit value.

図8と同様、ソフトインターロックパネルには、ソフトウエアが監視しているインターロックの発生状況が表示される。少なくともソフトインターロックとして、O2ガス及びDCEガスの流量比に関するインターロックチェックの状況及び結果を示すO2/DCE流量比エラーパネルと、シールキャップ219に関するインターロックチェックの状況及び結果を示すCAPシールONチェックエラーパネルとで構成されている。なお、O2/DCE流量比エラーパネルは、O2/DCE流量比エラーアイコンと、O2/DCEの流量比を連続して超える時間(現在値)及びO2/DCEの流量比を連続して超えるとエラーが設定される時間(設定値)を表示するチェック時間欄とで構成されている。上記現在値が上記設定値に達した場合、O2/DCE流量比エラーとなる。なお、エラーが発生したとき、O2/DCE流量比エラーアイコンを変色して表示するよう構成してもよい。例えば、正常であれば緑色で表示し、異常であれば赤色で表示するようにしてもよい。CAPシールONチェックエラーパネルは、CAPシールONチェックエラーアイコンと、そのチェックの状況を示すステータス欄とで構成される。ここで、チェック結果に応じて、CAPシールONチェックエラーアイコンを色分け表示等、変更表示するようにしてもよい。   As in FIG. 8, the soft interlock panel displays the occurrence status of the interlock monitored by the software. At least as a soft interlock, an O2 / DCE flow ratio error panel indicating the status and result of the interlock check regarding the flow ratio of O2 gas and DCE gas, and a CAP seal ON check indicating the status and result of the interlock check regarding the seal cap 219 It consists of an error panel. Note that the O2 / DCE flow ratio error panel displays an error when the O2 / DCE flow ratio error icon, the time that continuously exceeds the O2 / DCE flow ratio (current value), and the O2 / DCE flow ratio are continuously exceeded. And a check time column for displaying a time (set value) for which is set. When the current value reaches the set value, an O2 / DCE flow rate ratio error occurs. When an error occurs, the O2 / DCE flow ratio error icon may be displayed in a discolored state. For example, green may be displayed if normal, and red if abnormal. The CAP seal ON check error panel is composed of a CAP seal ON check error icon and a status column indicating the status of the check. Here, according to the check result, the CAP seal ON check error icon may be changed and displayed, for example, by color coding.

以上、図8及び図9を参照して、2種類の特殊ガスシーケンスについて説明したが、これ以外の特殊ガスシーケンスの場合も同様であり、本発明が適用されることは言うまでもない。具体的には、プロセスレシピのヘッダの設定で項目を追加する。また、特殊ガスシーケンスの制御状況の表示については、図8及び図9から分かるように、H2Oタグ及びDCEタグごとに具体的な制御状況が表示されるように構成されていることから、タグを追加するという比較的容易な手段によって特殊ガスのシーケンスが追加できるように構成されている。
このように、第2の実施形態に係る基板処理装置10においては、プロセスレシピのヘッダにソフトインターロックの設定内容が記述されるとともに、ソフトインターロックチェック制御の実行に関する情報が使用者から閲覧可能になる。
As described above, the two types of special gas sequences have been described with reference to FIGS. 8 and 9. However, the same applies to other special gas sequences, and it goes without saying that the present invention is applied. Specifically, an item is added by setting the header of the process recipe. As shown in FIGS. 8 and 9, the special gas sequence control status display is configured to display a specific control status for each H2O tag and DCE tag. A special gas sequence can be added by a relatively easy means of adding.
As described above, in the substrate processing apparatus 10 according to the second embodiment, the setting details of the soft interlock are described in the header of the process recipe, and information regarding the execution of the soft interlock check control can be viewed from the user. become.

[第3の実施形態]
以下、図10及び図11を参照して、第3の実施形態を説明する。
本発明の第3の実施形態に係る基板処理装置10の構成及び動作は、本発明の第1の実施形態及び第2の実施形態に係る基板処理装置10の構成及び動作と同様である。
以下、本発明の第3の実施形態に係る基板処理装置10における、主操作装置16(又は副操作装置50)及び主コントローラ14の機能を説明する。
[Third embodiment]
Hereinafter, the third embodiment will be described with reference to FIGS. 10 and 11.
The configuration and operation of the substrate processing apparatus 10 according to the third embodiment of the present invention are the same as the configuration and operation of the substrate processing apparatus 10 according to the first and second embodiments of the present invention.
Hereinafter, functions of the main operation device 16 (or the sub operation device 50) and the main controller 14 in the substrate processing apparatus 10 according to the third embodiment of the present invention will be described.

主操作装置16(又は副操作装置50)は、第2の実施形態に係る基板処理装置10における主操作装置16(又は副操作装置50)において表示されるプロセスレシピ作成画面(図6を参照して上述)と同様のプロセスレシピ作成画面を表示する。
このプロセスレシピ作成画面では、図6を参照して上述したプロセスレシピ作成画面と同様、各種制御パラメータの設定などがなされるが、図6を参照して上述したプロセスレシピ作成画面とは、図10に示すメッセージウィンドウが重ねて表示される点で異なる。このメッセージウィンドウは、プロセスレシピ作成画面において、DCE用のプロセスレシピが作成される際に、O2リッチ側のH2バルブがオンに設定されている場合に表示される。第2の実施形態では、このままプロセスレシピが保存されて実行されると、プロセス上の問題(例えば、ロットアウト)が発生する。
そこで、第3の実施形態では、図10に例示されるメッセージウィンドウを表示し、プロセスレシピは修正しなければHDD等に保存できないことをユーザに警告する。メッセージウィンドウは、図10に示すように、警告内容を表示する部分76と、警告するに至った原因に関する情報を表示する部分77と、OKボタン78とからなる。
The main operation device 16 (or the sub operation device 50) is a process recipe creation screen (see FIG. 6) displayed on the main operation device 16 (or the sub operation device 50) in the substrate processing apparatus 10 according to the second embodiment. Display a process recipe creation screen similar to that described above.
In this process recipe creation screen, various control parameters are set in the same manner as the process recipe creation screen described above with reference to FIG. 6, but the process recipe creation screen described above with reference to FIG. This is different in that the message window shown in Fig. 2 is displayed in an overlapping manner. This message window is displayed when the O2 rich H2 valve is set to ON when a DCE process recipe is created on the process recipe creation screen. In the second embodiment, when the process recipe is stored and executed as it is, a problem in the process (for example, lotout) occurs.
Therefore, in the third embodiment, a message window illustrated in FIG. 10 is displayed to warn the user that the process recipe cannot be saved in the HDD or the like unless it is corrected. As shown in FIG. 10, the message window includes a portion 76 for displaying warning contents, a portion 77 for displaying information on the cause of the warning, and an OK button 78.

また、主操作装置16(又は副操作装置50)は、第2の実施形態に係る基板処理装置10における主操作装置16(又は副操作装置50)において表示される特殊ガスシーケンス画面(図9を参照して上述)と同様、DCE装置を利用してDCEを流すためのガスフローシーケンスについての制御状況を示すが、図9を参照して上述した特殊ガスシーケンス画面とは、逆流防止用N2流量チェックがなされていることが表示される点で異なる。
以下、逆流防止用N2流量チェックについて説明する。
図11は、逆流防止用N2流量チェックを含む処理フローを示す図である。
この処理フローは、特殊ガスシーケンスの工程のうち、O2 Flow工程が開始されたこと(つまり、DCE用のO2バルブをオンに切り替える設定に基づき、ボートロード後、DCE用のO2バルブをオンにする要求があったこと)をトリガとして開始される。
The main operation device 16 (or the sub operation device 50) is a special gas sequence screen (see FIG. 9) displayed on the main operation device 16 (or the sub operation device 50) in the substrate processing apparatus 10 according to the second embodiment. The control status of the gas flow sequence for flowing DCE using the DCE device is shown in the same manner as described above with reference to FIG. 9, but the special gas sequence screen described above with reference to FIG. The difference is that a check is displayed.
Hereinafter, the N2 flow rate check for backflow prevention will be described.
FIG. 11 is a diagram showing a processing flow including a N2 flow rate check for backflow prevention.
In this processing flow, the O2 Flow process of the special gas sequence process is started (that is, based on the setting for switching on the DCE O2 valve, after the boat is loaded, the DCE O2 valve is turned on. Triggered by the request).

図11Aに示すように、ステップ100(S100)において、逆流防止用N2バルブがオンになっているか否かが判定される。逆流防止用N2バルブがオンになっている場合には、ステップ102に進む。一方、オフになっている場合には、ステップ104に進み、例えば、このことを警告するウィンドウを表示し、ユーザに処置を促す。
ステップ102(S102)において、DCE用のO2バルブをオンにする要求に基づき、DCE用のO2バルブが実際にオンになったか否かが判定される。DCE用のO2バルブが実際にオンになるまで、このステップが繰り返される。実際にオンになった場合には、ステップ106及びステップ20に進み、ステップ106の処理及びステップ20の処理を並行して実行する。
As shown in FIG. 11A, in step 100 (S100), it is determined whether or not the backflow prevention N2 valve is on. If the N2 valve for backflow prevention is on, the process proceeds to step 102. On the other hand, if it is off, the process proceeds to step 104 to display, for example, a window that warns this and prompts the user to take action.
In step 102 (S102), based on the request to turn on the DCE O2 valve, it is determined whether or not the DCE O2 valve is actually turned on. This step is repeated until the O2 valve for DCE is actually turned on. If it is actually turned on, the process proceeds to step 106 and step 20, and the process of step 106 and the process of step 20 are executed in parallel.

ステップ106(S106)において、DCE Flow工程が開始されたか否か(つまり、DCE Flowバルブをオンに切り替える設定に基づき、DCE Flowバルブをオンにする要求があったか否か)が判定される。DCE Flow工程が開始されるまで、このステップが繰り返される。   In step 106 (S106), it is determined whether or not the DCE Flow process has been started (that is, whether or not there is a request to turn on the DCE Flow valve based on the setting for turning on the DCE Flow valve). This step is repeated until the DCE Flow process is started.

ステップ20(S20)において、逆流防止用N2流量チェックが実行される。
逆流防止用N2流量チェックの処理フローを示す図11Bに示すように、ステップ200(S200)において、逆流防止用N2流量チェックが開始されてから経過した時間が予め設定された時間内であるか否かが判定される。時間内である場合には、ステップ202に進み、時間を越えている場合には、エラーをセットして逆流防止用N2流量チェックを終了する(異常終了)。
ステップ202(S202)において、逆流防止用N2バルブから流れるN2流量のモニタ値が0以上であるか否かが判定される。N2流量のモニタ値が0以上である場合には、ステップ204に進み、0である場合には、ステップ200に戻る。
In step 20 (S20), the N2 flow check for backflow prevention is executed.
As shown in FIG. 11B showing the process flow of the backflow prevention N2 flow rate check, whether or not the time elapsed since the start of the backflow prevention N2 flow rate check is within a preset time in step 200 (S200). Is determined. If it is within the time, the process proceeds to step 202. If the time is exceeded, an error is set and the N2 flow check for backflow prevention is terminated (abnormal end).
In step 202 (S202), it is determined whether or not the monitor value of the N2 flow rate flowing from the backflow prevention N2 valve is 0 or more. If the monitor value of the N2 flow rate is 0 or more, the process proceeds to step 204. If it is 0, the process returns to step 200.

ステップ204(S204)において、ステップ200と同様の処理が実行され、逆流防止用N2流量チェックが開始されてから経過した時間が予め設定された時間内であるか否かが判定される。時間内である場合には、ステップ206に進み、時間を越えている場合には、エラーをセットして逆流防止用N2流量チェックを終了する(異常終了)。
ステップ206(S206)において、N2パージのモニタ値が予め設定された値に達したか否かが判定される。モニタ値が設定値に達した場合には、エラーをセットすることなく逆流防止用N2流量チェックを終了し(正常終了)、設定値に達していない場合には、ステップ204に戻る。
In step 204 (S204), the same processing as in step 200 is executed, and it is determined whether or not the time that has elapsed since the start of the backflow prevention N2 flow rate check is within a preset time. If it is within the time, the process proceeds to step 206. If the time is exceeded, an error is set and the N2 flow check for backflow prevention is terminated (abnormal end).
In step 206 (S206), it is determined whether or not the N2 purge monitoring value has reached a preset value. When the monitor value reaches the set value, the N2 flow check for backflow prevention is terminated without setting an error (normal end), and when the set value is not reached, the process returns to step 204.

図11Aに戻り、ステップ108(S108)において、逆流防止用N2流量チェックが正常終了したか否かが判定される。正常終了した場合には、ステップ110に進む。一方、異常終了した場合には、ステップ112に進み、例えば、このことを警告するウィンドウを表示し、ユーザに処置を促す。
ステップ110(S110)において、DCE Flowバルブをオンにする要求に基づいて、DCE Flowバルブが実際にオンになったか否かが判定される。DCE Flowバルブが実際にオンになったと判定されるまで、このステップが繰り返される。
図11Cに示すように、ステップ114(S114)において、ガスフローシーケンスの制御を続行するにあたり深刻なエラー(例えば流量比エラー)が発生していないか否かが判定される。エラーが発生している場合には、ステップ116に進み、エラーが発生していない場合には、ステップ30に進む。
ステップ116(S116)において、DCE Flowバルブを強制的にオフにして、後述するステップ134に進む。
Returning to FIG. 11A, in step 108 (S108), it is determined whether or not the N2 flow rate check for backflow prevention has ended normally. If the process ends normally, the process proceeds to step 110. On the other hand, if the process is abnormally terminated, the process proceeds to step 112, where, for example, a window warning this is displayed to prompt the user to take action.
In step 110 (S110), based on the request to turn on the DCE Flow valve, it is determined whether or not the DCE Flow valve has actually been turned on. This step is repeated until it is determined that the DCE Flow valve has actually been turned on.
As shown in FIG. 11C, in step 114 (S114), it is determined whether or not a serious error (for example, a flow ratio error) has occurred in continuing the control of the gas flow sequence. If an error has occurred, the process proceeds to step 116, and if no error has occurred, the process proceeds to step 30.
In step 116 (S116), the DCE Flow valve is forcibly turned off, and the process proceeds to step 134 described later.

ステップ30(S30)において、ステップ20と同様の処理が実行され、逆流防止用N2流量チェックが実行される。
ステップ118(S118)において、ステップ108と同様の処理が実行され、逆流防止用N2流量チェックが正常終了したか否かが判定される。正常終了した場合には、ステップ122に進む。一方、異常終了した場合には、ステップ120に進み、例えば、このことを警告するウィンドウを表示し、ユーザに処置を促す。
In step 30 (S30), the same processing as in step 20 is executed, and the N2 flow rate check for backflow prevention is executed.
In step 118 (S118), the same processing as in step 108 is executed, and it is determined whether or not the N2 flow rate check for backflow prevention has ended normally. If the process ends normally, the process proceeds to step 122. On the other hand, if the process ends abnormally, the process proceeds to step 120 where, for example, a window warning this is displayed to prompt the user to take action.

ステップ122(S122)において、DCE Flowバルブをオンにする要求にともなってDCE INバルブをオンにする要求に基づいて、DCE INバルブが実際にオンになったか否かが判定される。DCE INバルブが実際にオンになるまで、このステップが繰り返される。
図11Dに示すように、ステップ124(S124)において、ステップ114と同様の処理が実行され、流量比エラーなどのエラーが発生していないか否かが判定される。エラーが発生している場合には、ステップ126に進み、エラーが発生していない場合には、ステップ40に進む。
ステップ126(S126)において、DCE INバルブを強制的にオフにして、ステップ134に進む。
In step 122 (S122), it is determined whether or not the DCE IN valve is actually turned on based on the request to turn on the DCE IN valve in response to the request to turn on the DCE Flow valve. This step is repeated until the DCE IN valve is actually turned on.
As shown in FIG. 11D, in step 124 (S124), the same processing as in step 114 is executed to determine whether or not an error such as a flow rate ratio error has occurred. If an error has occurred, the process proceeds to step 126. If no error has occurred, the process proceeds to step 40.
In step 126 (S126), the DCE IN valve is forcibly turned off, and the process proceeds to step 134.

ステップ40(S40)において、ステップ20と同様の処理が実行され、逆流防止用N2流量チェックが実行される。
ステップ128(S128)において、ステップ108と同様の処理が実行され、逆流防止用N2流量チェックが正常終了したか否かが判定される。正常終了した場合には、ステップ132に進む。一方、異常終了した場合には、ステップ130に進み、例えば、このことを警告するウィンドウを表示し、ユーザに処置を促す。
In step 40 (S40), the same processing as in step 20 is executed, and the N2 flow rate check for backflow prevention is executed.
In step 128 (S128), the same processing as in step 108 is executed, and it is determined whether or not the N2 flow check for backflow prevention has been normally completed. If the process ends normally, the process proceeds to step 132. On the other hand, if the process is abnormally terminated, the process proceeds to step 130, where, for example, a window warning this is displayed to prompt the user to take action.

ステップ132(S132)において、DCE Stop工程が開始されたことにともない、DCE INバルブをオフにする要求に基づいて、DCE INバルブが実際にオフになったか否かが判定される。DCE INバルブが実際にオフにされるまで、このステップが繰り返される。
ステップ134(S134)において、ボートアンロードが要求されたか否かが判定される。ボートアンロードが要求されるまで、このステップが繰り返される。
このように、バルブが実際にオン及びオフされる前に、逆流防止用N2流量チェックを実行することにより、プロセス上の問題の発生を防止することができる。
In step 132 (S132), it is determined whether the DCE IN valve has actually been turned off based on a request to turn off the DCE IN valve as the DCE Stop process is started. This step is repeated until the DCE IN valve is actually turned off.
In step 134 (S134), it is determined whether boat unloading is requested. This step is repeated until a boat unload is requested.
In this way, the occurrence of process problems can be prevented by performing the backflow prevention N2 flow rate check before the valve is actually turned on and off.

なお、本発明は、基板処理装置10として、例えば、半導体装置(IC)の製造方法を実施する半導体製造装置として構成されているが、半導体製造装置だけでなくLCD装置のようなガラス基板を処理する装置にも適用することができる。
基板処理装置10で行われる成膜処理には、例えば、CVD、PVD、酸化膜、窒化膜を形成する処理、金属を含む膜を形成する処理がある。
また、本実施形態では、基板処理装置が縦型処理装置10であるとして記載したが、枚葉装置についても同様に適用することができ、さらに、露光装置、リソグラフィ装置、塗布装置等にも同様に適用することができる。
また、複数の基板処理装置10に接続され、複数の基板処理装置10を管理する群管理装置(管理サーバ)及びこのような基板処理装置及び群管理装置を含む基板処理システムにも適用することができる。
In the present invention, the substrate processing apparatus 10 is configured as, for example, a semiconductor manufacturing apparatus that performs a manufacturing method of a semiconductor device (IC). However, not only the semiconductor manufacturing apparatus but also a glass substrate such as an LCD device is processed. The present invention can also be applied to an apparatus that performs the above.
Examples of the film forming process performed in the substrate processing apparatus 10 include a process for forming a CVD, PVD, oxide film, and nitride film, and a process for forming a film containing a metal.
Further, in the present embodiment, the substrate processing apparatus is described as the vertical processing apparatus 10, but the present invention can also be applied to a single wafer apparatus, and the same applies to an exposure apparatus, a lithography apparatus, a coating apparatus, and the like. Can be applied to.
Further, the present invention can be applied to a group management apparatus (management server) connected to a plurality of substrate processing apparatuses 10 and managing the plurality of substrate processing apparatuses 10 and a substrate processing system including such a substrate processing apparatus and group management apparatus. it can.

好適には、前記制御手段は、ソフトインターロックの設定が受け付けられた場合、基板処理に関する情報の先頭部に反映されるよう制御する。
好適には、基板処理に関する情報に基づいて基板処理を実行する基板処理実行手段と、ソフトインターロックの設定が受け付けられた場合には、当該設定に基づいてソフトインターロックチェック制御を実行するソフトインターロック判定手段とをさらに有する。
好適には、前記制御手段は、前記ソフトインターロック判定手段によってソフトインターロックチェック制御が実行される場合、ソフトインターロックの内容とともに、ソフトインターロックチェック制御の実行に関する情報を表示するよう前記表示手段を制御する。
本発明に係る基板処理方法は、基板処理に関する情報を操作する操作画面を表示する表示ステップと、前記表示ステップを制御する表示制御ステップとをコンピュータに実行させる。
Preferably, when the setting of the soft interlock is received, the control means performs control so that the information related to the substrate processing is reflected in the head part.
Preferably, the substrate processing executing means for executing the substrate processing based on the information related to the substrate processing and the software interface for executing the soft interlock check control based on the setting when the setting of the software interlock is received. Lock determining means.
Preferably, when the soft interlock check control is executed by the soft interlock determination means, the control means displays the information related to the execution of the soft interlock check control together with the contents of the soft interlock. To control.
The substrate processing method according to the present invention causes a computer to execute a display step for displaying an operation screen for operating information relating to substrate processing, and a display control step for controlling the display step.

10 基板処理装置
14 主コントローラ(主制御部)
16 主操作装置(操作部)
18 主表示装置(表示部)
50 副操作装置
52 副表示装置
200 ウエハ
202 処理室
240 主表示制御部
242 副表示制御部
10 Substrate Processing Device 14 Main Controller (Main Control Unit)
16 Main operation device (operation unit)
18 Main display device (display unit)
50 Sub-operation device 52 Sub-display device 200 Wafer 202 Processing chamber 240 Main display controller 242 Sub-display controller

Claims (1)

基板処理に関する情報を操作する操作画面を表示する表示手段と、
基板処理を実行するための基板処理レシピを実行する制御手段と、
を有する基板処理装置であって、
前記制御手段は、前記基板処理レシピに応じて、ソフトインターロックの設定を受け付けた場合、ソフトインターロックが設定されたことが前記基板処理レシピの先頭部に反映されるように制御するとともに、設定されたソフトインターロックの内容が表示されるように前記表示手段を制御する
基板処理装置。
Display means for displaying an operation screen for operating information on substrate processing;
Control means for executing a substrate processing recipe for executing substrate processing;
A substrate processing apparatus comprising:
When the control means accepts the setting of the soft interlock according to the substrate processing recipe, the control means controls the setting so that the setting of the soft interlock is reflected in the head part of the substrate processing recipe, and the setting A substrate processing apparatus for controlling the display means so that the contents of the soft interlock displayed are displayed.
JP2011085244A 2011-04-07 2011-04-07 Substrate processing device Withdrawn JP2012222099A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011085244A JP2012222099A (en) 2011-04-07 2011-04-07 Substrate processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011085244A JP2012222099A (en) 2011-04-07 2011-04-07 Substrate processing device

Publications (1)

Publication Number Publication Date
JP2012222099A true JP2012222099A (en) 2012-11-12

Family

ID=47273305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011085244A Withdrawn JP2012222099A (en) 2011-04-07 2011-04-07 Substrate processing device

Country Status (1)

Country Link
JP (1) JP2012222099A (en)

Similar Documents

Publication Publication Date Title
JP5829248B2 (en) Substrate processing apparatus, recipe transition program, semiconductor device manufacturing method, and substrate processing apparatus recipe display method
JP4555881B2 (en) Substrate processing apparatus and display method
TWI406191B (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP5465226B2 (en) Substrate processing equipment
JP4616798B2 (en) Substrate processing apparatus and display method of substrate processing apparatus
JP4917660B2 (en) Substrate processing apparatus, substrate processing apparatus control method, semiconductor device manufacturing method, apparatus state transition method, substrate processing apparatus maintenance method, and state transition program
JP2010074141A (en) Substrate processing apparatus, and method of manufacturing semiconductor device
JP5254779B2 (en) Substrate processing system
JP2012222099A (en) Substrate processing device
JP5478033B2 (en) Substrate processing apparatus, substrate processing apparatus control method, condition setting program, and semiconductor device manufacturing method
JP5622334B2 (en) Substrate processing apparatus, control method therefor, and program
JP5531003B2 (en) Substrate processing apparatus, substrate processing apparatus maintenance method, and semiconductor device manufacturing method
JP2010153602A (en) Substrate processing apparatus
KR101204411B1 (en) Substrate processing apparatus
JP2011204865A (en) Substrate processing device
JP5546195B2 (en) Substrate processing apparatus, display method for substrate processing apparatus, and method for manufacturing semiconductor device
JP2012104700A (en) Substrate processing system
JP2011114250A (en) Substrate processing apparatus
JP2012104701A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140701