JP2012207993A - Test pattern generation system, test pattern generation method, and test pattern generation program - Google Patents

Test pattern generation system, test pattern generation method, and test pattern generation program Download PDF

Info

Publication number
JP2012207993A
JP2012207993A JP2011073284A JP2011073284A JP2012207993A JP 2012207993 A JP2012207993 A JP 2012207993A JP 2011073284 A JP2011073284 A JP 2011073284A JP 2011073284 A JP2011073284 A JP 2011073284A JP 2012207993 A JP2012207993 A JP 2012207993A
Authority
JP
Japan
Prior art keywords
list
test pattern
logic cone
pattern generation
failure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011073284A
Other languages
Japanese (ja)
Inventor
Mitsuteru Tokunaga
光輝 徳永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011073284A priority Critical patent/JP2012207993A/en
Publication of JP2012207993A publication Critical patent/JP2012207993A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a technology for shortening generation time of a test pattern in ATPG.SOLUTION: A test pattern generation system equipped with: EDA tools (11-16); and a file storage part (9) which holds information to which the EDA tools (11-16) refer is constituted. The file storage part (9) includes: a net list (21) showing connection information of a circuit to be an object of generation of a test pattern; and a start/end point list (22) showing a terminal to be the start point of a failure detection object area among circuit to be shown on the net list. A logic cone extraction part (11) specifies logic cones (34)(35) using the start point to be shown on the start/end point list (22) as a peak. In addition, a failure list generation part (12) excludes nodes which do not match to the logic cones from all the nodes included in combination circuits (32)(33) to generate a failure list (24).

Description

本発明は、テストパタン生成システム、テストパタン生成方法、およびテストパタン生成プログラムに関し、特に半導体集積回路の検査に使用するテストパタン生成システム、テストパタン生成方法、およびテストパタン生成プログラムに関する。   The present invention relates to a test pattern generation system, a test pattern generation method, and a test pattern generation program, and more particularly to a test pattern generation system, a test pattern generation method, and a test pattern generation program used for inspection of a semiconductor integrated circuit.

テストパタンを使用して半導体集積回路の検査をする技術が知られている(例えば、特許文献1参照)。特許文献1には、テストパタンの生成時間を短縮するためテストパタン生成方法および装置に関する技術が記載されている。特許文献1に記載の技術では、回路の出力端子の値に影響する回路ブロックをコーンとする。回路全体からコーンをゲート数の昇順に抽出して集めてパタン生成単位である部分回路を作成している。また、抽出コーンとパタン生成中の部分回路の重なりが閾値を越えていれば、当該コーンを後回しにして、該当するコーンが残っていなければ閾値を大きくしている。部分回路が作成されると、そこに含まれる未検出故障リストを作成して回路全体の未検出故障リストから除き、空きCPUに部分回路情報と故障リストを渡してパタンを生成させている。結果が返送されると、パタン未生成の仮定故障(以下、故障と記載する)を回路全体の未検出故障リストに戻している。以上の処理を、故障検出率が目標値になるか全コーンのパタンを生成するまで繰り返している。   A technique for inspecting a semiconductor integrated circuit using a test pattern is known (see, for example, Patent Document 1). Patent Document 1 describes a technique related to a test pattern generation method and apparatus for shortening the test pattern generation time. In the technique described in Patent Document 1, a circuit block that affects the value of the output terminal of a circuit is defined as a cone. A partial circuit as a pattern generation unit is created by extracting and collecting cones from the entire circuit in ascending order of the number of gates. Also, if the overlap between the extracted cone and the partial circuit during pattern generation exceeds the threshold, the cone is postponed, and if the corresponding cone does not remain, the threshold is increased. When a partial circuit is created, an undetected fault list included therein is created and removed from the undetected fault list of the entire circuit, and the partial circuit information and the fault list are passed to an empty CPU to generate a pattern. When the result is returned, a hypothetical fault that has not been generated (hereinafter referred to as a fault) is returned to the undetected fault list of the entire circuit. The above processing is repeated until the failure detection rate reaches the target value or the pattern of all cones is generated.

半導体集積回路の検査に用いるテストパタンを生成する技術として、ATPG(Automatic Test Pattern Generation)技術が広く利用されている。現在知られているATPG技術を利用したツール(ATPGツール)は、主に、スキャンフリップフロップに囲まれた組み合わせ回路を対象としてテストパタンを生成している。ATPGツールでは、半導体集積回路のメモリ(RAM、ROM)とスキャンフリップフロップとの間の故障を適切に検出するためのテストパタンの生成が困難である。そのため、例えば、テストパタンの自動生成と人手によるテストパタンの作成とが並行して行われ場合もあった。   As a technique for generating a test pattern used for testing a semiconductor integrated circuit, an ATPG (Automatic Test Pattern Generation) technique is widely used. Currently known tools using ATPG technology (ATPG tools) mainly generate test patterns for combinational circuits surrounded by scan flip-flops. With the ATPG tool, it is difficult to generate a test pattern for appropriately detecting a failure between a memory (RAM, ROM) of a semiconductor integrated circuit and a scan flip-flop. Therefore, for example, automatic test pattern generation and manual test pattern generation may be performed in parallel.

近年では、ATPG技術の進歩によって、順序回路素子(スキャンフリッププフロップではないフリップフロップ、ラッチ、RAMおよびROM)の故障も検出可能なシーケンシャルATPGによるテストパタンの生成技術が知られてきている。そのシーケンシャルATPG技術を用いることで、スキャンフリップフロップに囲まれた領域に順序回路素子を含む回路のテストパタンを、自動的に生成することが可能となる。しかしながら、順序回路素子を含む回路のテストパタンを生成する場合、テストパタン生成の複雑さが飛躍的に増大し、きわめて多くの時間がテストパタンの生成に費やされることになる。   In recent years, a test pattern generation technique using a sequential ATPG that can detect a failure of a sequential circuit element (flip-flop, latch, RAM, and ROM that is not a scan flip-flop) has been known as ATPG technology advances. By using the sequential ATPG technique, it is possible to automatically generate a test pattern of a circuit including a sequential circuit element in an area surrounded by scan flip-flops. However, when generating a test pattern for a circuit including a sequential circuit element, the complexity of test pattern generation increases dramatically, and a great deal of time is spent generating the test pattern.

例えば、同期式RAMを含む回路の故障を検出する場合、RAMにデータを書き込ためのアドレス値、および、データ入力値を、スキャンシフト動作によってスキャン入力端子からスキャンフリップフロップに供給する。このときスキャンフリップフロップに供給される値は、スキャンフリップフロップとRAMとの間にある論理(主に組み合わせ回路)を考慮して決定される。その後、キャプチャ動作によってRAMにクロックを印加し、データの書き込みを行う。次に、RAMのデータを読み出すためのアドレス値を、スキャンシフト動作によってスキャン入力端子からスキャンフリップフロップに供給する。このときスキャンフリップフロップに供給される値は、データ書き込み時と同様にスキャンフリップフロップとRAMとの間にある論理を考慮して決定される。その後、キャプチャ動作によってRAMにクロックを印加し、データの読み出しを行う。次に、RAMの後段にあるスキャンフリップフロップにデータを取り込み、スキャンシフト動作でスキャン出力端子との期待値を照合し、故障を検出できるかどうかを判断する。この照合する期待値もRAMとスキャンフリップフロップの論理を考慮して決定される。   For example, when a failure of a circuit including a synchronous RAM is detected, an address value for writing data to the RAM and a data input value are supplied from a scan input terminal to a scan flip-flop by a scan shift operation. At this time, the value supplied to the scan flip-flop is determined in consideration of the logic (mainly the combinational circuit) between the scan flip-flop and the RAM. Thereafter, a clock is applied to the RAM by a capture operation to write data. Next, an address value for reading data in the RAM is supplied from the scan input terminal to the scan flip-flop by a scan shift operation. At this time, the value supplied to the scan flip-flop is determined in consideration of the logic between the scan flip-flop and the RAM as in the data write. Thereafter, a clock is applied to the RAM by a capture operation to read data. Next, data is taken into a scan flip-flop at the subsequent stage of the RAM, and an expected value with the scan output terminal is collated by a scan shift operation to determine whether or not a failure can be detected. The expected value to be collated is also determined in consideration of the logic of the RAM and the scan flip-flop.

以上のように、順序回路素子を含む回路のシーケンシャルATPGでは、組み合わせ回路だけでなく順序回路素子の論理も考慮し、スキャンシフト動作によって供給する値の決定、および、故障の検出が可能かどうかの判断が必要であり、スキャンフリップフロップに囲まれた組み合わせ回路のみを対象とするATPGと比べると複雑さが飛躍的に増大する。さらに、近年の半導体集積回路の大規模化においてATPG対象となる故障定義の数が膨大となり、ATPG実行時間がさらに長くなっている。ATPG実行時間を短縮するための技術が知られている(例えば、特許文献2参照)   As described above, in the sequential ATPG of a circuit including a sequential circuit element, not only the combinational circuit but also the logic of the sequential circuit element is taken into consideration, and it is possible to determine a value to be supplied by a scan shift operation and to detect a failure. Judgment is necessary, and the complexity increases dramatically compared to ATPG that targets only combinational circuits surrounded by scan flip-flops. Furthermore, with the recent increase in the scale of semiconductor integrated circuits, the number of failure definitions subject to ATPG has become enormous, and the ATPG execution time has become even longer. A technique for shortening the ATPG execution time is known (see, for example, Patent Document 2).

特許文献2には、ATPGの実行時間を短縮するための技術が開示されている。特許文献2に記載の技術では、故障シミュレーションによって未検出故障を抽出し、未検出故障に対してのみテストパタンを生成することでATPGの実行時間の短縮を実現している。図1は、特許文献2に記載されたテストパタン生成装置の構成を示すブロック図である。特許文献2に記載のテストパタン生成装置は、テストパタン生成部101と、ネットリストを記憶しているネットリスト記憶部102と、生成されたデータを記憶するメモリ部103と、機能検証パタンを記憶している機能検証パタン記憶部104と、テストパタンを出力するテストパタン出力部105とを備えている。   Patent Document 2 discloses a technique for reducing the execution time of ATPG. In the technique described in Patent Document 2, undetected faults are extracted by fault simulation, and a test pattern is generated only for undetected faults, thereby reducing ATPG execution time. FIG. 1 is a block diagram showing a configuration of a test pattern generation device described in Patent Document 2. As shown in FIG. The test pattern generation device described in Patent Document 2 stores a test pattern generation unit 101, a netlist storage unit 102 that stores a netlist, a memory unit 103 that stores generated data, and a function verification pattern. A function verification pattern storage unit 104 and a test pattern output unit 105 for outputting a test pattern.

そのテストパタン生成部101には、故障シミュレーション実行機能ブロックである故障シミュレーション実行部101aと、テストパタン生成機能ブロックであるATGP実行部101bとが設けられている。故障シミュレーション実行部101aは、ネットリスト記憶部102において記憶されているネットリストを読み出し、読み出したネットリストに基づいて全ての故障を拾出した故障リストを生成し、生成した故障リストをメモリ部103に設けた故障リスト用メモリ103aに記憶させる。また、故障シミュレーション実行部101aは、機能検証パタンでは検出できなかった故障を検出して未検出故障リストを生成し、生成した未検出故障リストをメモリ部103に設けた未検出故障リスト用メモリ103bに記憶させる。   The test pattern generation unit 101 includes a failure simulation execution unit 101a that is a failure simulation execution function block and an ATGP execution unit 101b that is a test pattern generation function block. The failure simulation execution unit 101a reads the net list stored in the net list storage unit 102, generates a failure list in which all failures are picked up based on the read net list, and stores the generated failure list in the memory unit 103. Is stored in the failure list memory 103a. Further, the failure simulation execution unit 101 a detects a failure that cannot be detected by the function verification pattern, generates an undetected failure list, and an undetected failure list memory 103 b provided with the generated undetected failure list in the memory unit 103. Remember me.

図2は、特許文献2に記載されたテストパタン生成装置の動作を示すフローチャートである。図2に示されているように、動作開始にともなって、ネットリスト記憶部からテストパタンを生成する半導体集積回路のネットリストを読み出す(ステップS1)。次に、読み出したネットリストに対して想定され得る全ての故障の拾出しを行って故障リストを生成し、故障リスト用メモリ103aに記憶する(ステップS2)。そして、機能検証パタン記憶部に記憶した機能検証パタンに基づいて、故障シミュレーション実行部によって故障シミュレーションを実行する(ステップS3)。   FIG. 2 is a flowchart showing the operation of the test pattern generation device described in Patent Document 2. As shown in FIG. 2, when the operation starts, the net list of the semiconductor integrated circuit that generates the test pattern is read from the net list storage unit (step S1). Next, all possible faults are picked up from the read netlist, a fault list is generated, and stored in the fault list memory 103a (step S2). And based on the function verification pattern memorize | stored in the function verification pattern memory | storage part, a failure simulation is performed by the failure simulation execution part (step S3).

故障シミュレーションが終了すると、故障リストの故障のうち、機能検証パタンでは検出できなかった故障を抽出する(ステップS4)。そして、この未検出の故障に基づいて未検出故障リストを生成し、未検出故障リスト用メモリ103bに記憶する(ステップS5)。次いで、未検出故障リストに挙げられた各故障に対して、ATPG実行部によってATPGを実行することによりテストパタンを自動的に生成する(ステップS6)。そして、ATPGによって生成したテストパタンをテストパタン出力部によって記憶装置へのデータ出力を行う(ステップS7)。   When the fault simulation is completed, faults that cannot be detected by the function verification pattern are extracted from the faults in the fault list (step S4). Then, an undetected failure list is generated based on the undetected failure and stored in the undetected failure list memory 103b (step S5). Next, a test pattern is automatically generated by executing ATPG by the ATPG execution unit for each failure listed in the undetected failure list (step S6). Then, the test pattern generated by ATPG is output to the storage device by the test pattern output unit (step S7).

このように、特許文献2に記載の技術では、未検出の故障のみを対象にテストパタンを生成することで、検出済みの故障に対するテストパタンの生成を省略できる。それによって、ATPG実行時間の短縮、および、テストパタン数の削減を実現している。   As described above, in the technique described in Patent Document 2, generation of a test pattern for a detected fault can be omitted by generating a test pattern for only an undetected fault. As a result, the ATPG execution time is shortened and the number of test patterns is reduced.

特開2000−193725号公報JP 2000-193725 A 特開2005−062017号公報JP 2005-062017 A

特許文献2に記載された技術では、故障リストの生成において、読み出したネットリストに対して想定され得る全ての故障の拾出しを行って故障リストを生成している。そのため、大規模回路では故障リストに含まれる故障の数が非常に多くなってしまう。テストパタン生成対象の故障数が多い状態でシーケンシャルATPGを行うと、シーケンシャルATPGの実行時間が長くなることがあった。
本発明が解決しようとする課題は、ATPGにおけるテストパタンの生成時間を短縮するための技術を提供することにある。
In the technique described in Patent Document 2, in the generation of a fault list, all possible faults are picked up from the read net list to generate the fault list. Therefore, in a large-scale circuit, the number of failures included in the failure list becomes very large. When sequential ATPG is performed in a state where there are a large number of test pattern generation targets, the execution time of the sequential ATPG may become long.
The problem to be solved by the present invention is to provide a technique for shortening the test pattern generation time in ATPG.

以下に、[発明を実施するための形態]で使用される番号を用いて、[課題を解決するための手段]を説明する。これらの番号は、[特許請求の範囲]の記載と[発明を実施するための形態]との対応関係を明らかにするために付加されたものである。ただし、それらの番号を、[特許請求の範囲]に記載されている発明の技術的範囲の解釈に用いてはならない。   [Means for Solving the Problems] will be described below using the numbers used in [DETAILED DESCRIPTION]. These numbers are added to clarify the correspondence between the description of [Claims] and [Mode for Carrying Out the Invention]. However, these numbers should not be used to interpret the technical scope of the invention described in [Claims].

EDAツール(11〜16)と、EDAツール(11〜16)が参照する情報を保持するファイル格納部(9)とを具備するテストパタン生成システムを構成する。そのファイル格納部(9)は、テストパタンの生成の対象となる回路の接続情報を示すネットリスト(21)と、ネットリストに示される回路のうち、故障検出の対象となる故障検出対象領域の起点となる端子を示す始終点リスト(22)とを備えるものとする。
また、EDAツール(11〜16)は、故障検出対象領域の間にはさまれた組み合わせ回路(32)(33)の部分を、ロジックコーン(34)(35)として抽出するロジックコーン抽出部(11)と、ロジックコーン(34)(35)に含まれるノードに対する故障を抽出して故障リスト(24)を生成する故障リスト生成部(12)と、故障リスト(24)とネットリスト(21)とに基づいて、テストパタン(31)を自動的に生成するATPG実行部(13)とを備えるものとする。
ここで、そのロジックコーン抽出部(11)は、始終点リスト(22)に示される起点を頂点とするロジックコーン(34)(35)を特定する。また、故障リスト生成部(12)は、組み合わせ回路(32)(33)に含まれる全てのノードから、ロジックコーンに含致しないノードを除外して故障リスト(24)を生成する。
A test pattern generation system including an EDA tool (11-16) and a file storage unit (9) that holds information referred to by the EDA tool (11-16) is configured. The file storage unit (9) includes a netlist (21) indicating connection information of a circuit for which a test pattern is to be generated, and a failure detection target area to be detected for failure among the circuits indicated in the netlist. A start / end list (22) indicating a terminal serving as a start point is provided.
Further, the EDA tool (11 to 16) is a logic cone extraction unit that extracts a portion of the combinational circuit (32) (33) sandwiched between failure detection target areas as a logic cone (34) (35). 11), a fault list generation unit (12) that extracts faults for nodes included in the logic cones (34) and (35) and generates a fault list (24), a fault list (24), and a net list (21) And an ATPG execution unit (13) that automatically generates a test pattern (31).
Here, the logic cone extraction unit (11) specifies the logic cones (34) and (35) whose apexes are the starting points shown in the start / end list (22). The failure list generation unit (12) generates a failure list (24) by excluding nodes that are not included in the logic cone from all nodes included in the combinational circuits (32) and (33).

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、ATPGにおけるテストパタンの生成時間を短縮することができるという効果がある。また、半導体集積回路の大規模化により、テストパタン生成の対象となる故障数も増えてきている。本願発明を適用することにより、テストパタンの生成時間を短縮することができ、大規模な半導体集積回路デバイスの選別・検査を開始するまでに、テストパタンの生成が間に合わないという問題の発生を抑制することができる。   If the effect obtained by a representative one of the inventions disclosed in the present application is briefly described, there is an effect that the test pattern generation time in the ATPG can be shortened. In addition, as the scale of semiconductor integrated circuits increases, the number of failures for which test patterns are generated is increasing. By applying the present invention, the test pattern generation time can be shortened, and the occurrence of the problem that test pattern generation is not in time by the start of screening and inspection of large-scale semiconductor integrated circuit devices is suppressed. can do.

図1は、特許文献2に記載されたテストパタン生成装置の構成を示すブロック図である。FIG. 1 is a block diagram showing a configuration of a test pattern generation device described in Patent Document 2. As shown in FIG. 図2は、特許文献2に記載されたテストパタン生成装置の動作を示すフローチャートである。FIG. 2 is a flowchart showing the operation of the test pattern generation device described in Patent Document 2. 図3は、第1実施形態のテストパタン生成システム1の構成を例示するブロック図である。FIG. 3 is a block diagram illustrating the configuration of the test pattern generation system 1 according to the first embodiment. 図4は、第1実施形態のファイル格納部9の構成を例示するブロック図である。FIG. 4 is a block diagram illustrating the configuration of the file storage unit 9 according to the first embodiment. 図5は、第1実施形態のテストパタン生成システム1の構成を概念的に例示するブロック図である。FIG. 5 is a block diagram conceptually illustrating the configuration of the test pattern generation system 1 according to the first embodiment. 図6は、第1実施形態のテストパタン生成システム1の動作を例示するフローチャートである。FIG. 6 is a flowchart illustrating the operation of the test pattern generation system 1 according to the first embodiment. 図7は、ATPG対象ネットリスト21の構成を例示する記述である。FIG. 7 is a description illustrating the configuration of the ATPG target netlist 21. 図8は、テストパタンの生成対象となっている回路全体のうちの一部分を例示する回路図である。FIG. 8 is a circuit diagram illustrating a part of the entire circuit that is a test pattern generation target. 図9は、テストパタンの生成対象となっている回路全体のうちの一部分を例示する回路図である。FIG. 9 is a circuit diagram illustrating a part of the entire circuit that is a test pattern generation target. 図10は、ATPG対象始終点リスト22を例示する記述である。FIG. 10 is a description illustrating the ATPG target start / end list 22. 図11は、ロジックコーンセルリスト23の構成を例示する記述である。FIG. 11 is a description illustrating the configuration of the logic cone cell list 23. 図12は、ロジックコーン抽出部11によって特定されるロジックコーンの構成を例示する回路図である。FIG. 12 is a circuit diagram illustrating the configuration of the logic cone specified by the logic cone extraction unit 11. 図13は、ロジックコーン抽出部11によって特定されるロジックコーンの構成を例示する回路図である。FIG. 13 is a circuit diagram illustrating the configuration of the logic cone specified by the logic cone extraction unit 11. 図14は、ロジックコーン故障リスト24の構成を例示する記述である。FIG. 14 is a description illustrating the configuration of the logic cone fault list 24. 図15は、全故障リスト25の構成を例示する記述である。FIG. 15 is a description illustrating the configuration of the all fault list 25. 図16は、第2実施形態のテストパタン生成システム1の構成を例示するブロック図である。FIG. 16 is a block diagram illustrating the configuration of the test pattern generation system 1 according to the second embodiment. 図17は、第2実施形態のファイル格納部9の構成を例示するブロック図である。FIG. 17 is a block diagram illustrating the configuration of the file storage unit 9 according to the second embodiment. 図18は、第2実施形態のテストパタン生成システム1の構成を概念的に例示するブロック図である。FIG. 18 is a block diagram conceptually illustrating the configuration of the test pattern generation system 1 according to the second embodiment. 図19は、第2実施形態のテストパタン生成システム1の動作を例示するフローチャートである。FIG. 19 is a flowchart illustrating the operation of the test pattern generation system 1 according to the second embodiment. 図20は、既存パタン検出故障リスト26の構成を例示する記述である。FIG. 20 is a description illustrating the configuration of the existing pattern detection failure list 26. 図21は、未検出故障リスト27の構成を例示するリストである。FIG. 21 is a list illustrating the configuration of the undetected failure list 27.

以下、本発明の実施の形態を図面に基づいて説明する。なお、実施の形態を説明するための図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。図3は、本実施形態のテストパタン生成システム1の構成を例示するブロック図である。本実施形態のテストパタン生成システム1は、コンピュータ装置本体2と、入力装置3と、出力装置4とを備えている。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted. FIG. 3 is a block diagram illustrating the configuration of the test pattern generation system 1 of this embodiment. The test pattern generation system 1 according to the present embodiment includes a computer device body 2, an input device 3, and an output device 4.

コンピュータ装置本体2は、コンピュータプログラムに示される手順に従って情報処理を高速に行う。本実施形態のコンピュータ装置本体2は、テストパタン生成装置としての機能を提供する。コンピュータ装置本体2は、入力、記憶、演算、制御および出力の機能を備えている。入力装置3は、マウスやキーボードなどに代表されるマンマシンインターフェースである。入力装置3は、ユーザの操作に応答して、コンピュータ装置本体2へデータを入力する。出力装置4は、液晶ディスプレイやプリンターなどに代表されるマンマシンインターフェースである。出力装置4は、コンピュータ装置本体2の処理結果を、ユーザに認識できる形式で出力する。上述のコンピュータ装置本体2は、演算処理装置5と、情報記憶装置6とを備え、それらは、バス7を介して接続されている。   The computer apparatus body 2 performs information processing at high speed according to the procedure indicated by the computer program. The computer apparatus main body 2 of this embodiment provides a function as a test pattern generation apparatus. The computer apparatus body 2 has input, storage, calculation, control, and output functions. The input device 3 is a man-machine interface represented by a mouse or a keyboard. The input device 3 inputs data to the computer device body 2 in response to a user operation. The output device 4 is a man-machine interface typified by a liquid crystal display or a printer. The output device 4 outputs the processing result of the computer device body 2 in a format that can be recognized by the user. The computer device body 2 described above includes an arithmetic processing device 5 and an information storage device 6, which are connected via a bus 7.

演算処理装置5は、コンピュータ装置本体2に備えられた各種装置の制御やデータ処理を実行する。演算処理装置5は、コンピュータ装置本体2が入力装置3を介して受け取ったデータを解釈して演算し、その演算結果を出力装置4などで出力する。情報記憶装置6は、RAMやハードディスクなどに代表されるデータを記憶するための装置である。コンピュータ装置本体2は、外部ネットワークを介して他の機器とデータ通信するための通信処理装置を備えていてもよい。   The arithmetic processing device 5 executes control and data processing of various devices provided in the computer device main body 2. The arithmetic processing unit 5 interprets and calculates the data received by the computer device body 2 via the input device 3 and outputs the calculation result by the output device 4 or the like. The information storage device 6 is a device for storing data represented by a RAM, a hard disk, and the like. The computer device body 2 may include a communication processing device for data communication with other devices via an external network.

情報記憶装置6は、EDAツール格納部8と、ファイル格納部9とを備えている。EDAツール格納部8は、EDA(Electronic Design Automation)に必要な各種ツール(コンピュータプログラム)を保持している。ファイル格納部9は、コンピュータ装置本体2が、本実施形態のテストパタン生成システム1として機能するときに使用される情報やデータを保持している。またファイル格納部9は、そのテストパタン生成システム1によって生成される情報やデータを保持している。   The information storage device 6 includes an EDA tool storage unit 8 and a file storage unit 9. The EDA tool storage unit 8 holds various tools (computer programs) necessary for EDA (Electronic Design Automation). The file storage unit 9 holds information and data used when the computer apparatus body 2 functions as the test pattern generation system 1 of the present embodiment. The file storage unit 9 holds information and data generated by the test pattern generation system 1.

図3に示されているように、EDAツール格納部8は、ロジックコーン抽出部11と、故障リスト生成部12と、ATPG実行部13とを備えている。また、そのロジックコーン抽出部11は、入力側ロジックコーン解析部14と出力側ロジックコーン解析部15とを含んでいる。   As shown in FIG. 3, the EDA tool storage unit 8 includes a logic cone extraction unit 11, a failure list generation unit 12, and an ATPG execution unit 13. The logic cone extraction unit 11 includes an input side logic cone analysis unit 14 and an output side logic cone analysis unit 15.

ロジックコーン抽出部11は、フリップフロップなどの順序回路の間にはさまれた組み合わせ回路部分(以下、ロジックコーンと記載する)を抽出する機能ブロックである。本実施形態では、ロジックコーン抽出部11によって抽出される回路ブロックが、円錐の断面(頂点と底面とを含む面)に類似した形状に着目し、これらの回路ブロックをコーン(cone)、またはロジックコーンなどと表現する。   The logic cone extraction unit 11 is a functional block that extracts a combinational circuit portion (hereinafter referred to as a logic cone) sandwiched between sequential circuits such as flip-flops. In the present embodiment, the circuit block extracted by the logic cone extraction unit 11 focuses on a shape similar to a cross section of a cone (a surface including a vertex and a bottom surface), and these circuit blocks are defined as cones or logic. Expressed as corn.

ロジックコーン抽出部11は、入力端子、出力端子、論理ゲートで構成される回路ブロックのうち、出力端子を終点として入力端子(順序回路素子の出力ピンを含む)に到達するまで前段方向にトレースした回路をロジックコーンとして特定する。また、ロジックコーン抽出部11は、入力端子を始点として出力端子(順序回路素子の入力ピンを含む)に到達するまで後段方向にトレースした回路をロジックコーンとして特定する。   The logic cone extraction unit 11 traces in the previous stage until reaching the input terminal (including the output pin of the sequential circuit element) with the output terminal as an end point among the circuit blocks including the input terminal, the output terminal, and the logic gate. Identify the circuit as a logic cone. Further, the logic cone extraction unit 11 specifies a circuit traced in the subsequent direction from the input terminal as a starting point until reaching an output terminal (including an input pin of a sequential circuit element) as a logic cone.

そのロジックコーン抽出部11の入力側ロジックコーン解析部14は、順序回路の入力端子(入力ピン)を含むロジックコーンを特定する機能ブロックである。入力側ロジックコーン解析部14によって特定されるロジックコーンを、回路ブロックの出力端子から入力端子側に辿っていくことから、入力側ロジックコーンと表現する。そのロジックコーン抽出部11の出力側ロジックコーン解析部15は、順序回路の出力端子(出力ピン)を含むロジックコーンを特定する機能ブロックである。   The input side logic cone analysis unit 14 of the logic cone extraction unit 11 is a functional block that identifies a logic cone including an input terminal (input pin) of a sequential circuit. Since the logic cone specified by the input side logic cone analyzing unit 14 is traced from the output terminal of the circuit block to the input terminal side, it is expressed as an input side logic cone. The output-side logic cone analysis unit 15 of the logic cone extraction unit 11 is a functional block that identifies a logic cone including an output terminal (output pin) of a sequential circuit.

故障リスト生成部12は、ロジックコーン抽出部11によって抽出されたロジックコーンに含まれるセルなどのノードに対する故障を抽出する機能ブロックである。ATPG実行部13は、テストパタンを生成する機能ブロックである。   The failure list generation unit 12 is a functional block that extracts a failure for a node such as a cell included in the logic cone extracted by the logic cone extraction unit 11. The ATPG execution unit 13 is a functional block that generates a test pattern.

図4はファイル格納部9の構成を例示するブロック図である。第1実施形態のファイル格納部9は、ATPG対象ネットリスト21と、ATPG対象始終点リスト22と、ロジックコーンセルリスト23と、ロジックコーン故障リスト24と、全故障リスト25とを備えている。   FIG. 4 is a block diagram illustrating the configuration of the file storage unit 9. The file storage unit 9 according to the first embodiment includes an ATPG target net list 21, an ATPG target start / end list 22, a logic cone cell list 23, a logic cone failure list 24, and an all failure list 25.

ATPG対象ネットリスト21は、ATPGによるテストパタンの生成の対象となる半導体集積回路の全体に関する回路接続情報を示している。ATPG対象始終点リスト22は、テストパタン生成の対象にしたい回路の始点、および、終点の情報を示している。ATPG対象始終点リスト22には、例えば、順序回路などの故障検出が容易でない回路の故障を検出するテストパタンを生成したい場合には、その順序回路の始点、および、終点が指定されている。   The ATPG target netlist 21 indicates circuit connection information related to the entire semiconductor integrated circuit that is a target of test pattern generation by ATPG. The ATPG target start / end list 22 shows information on the start point and end point of a circuit that is to be a test pattern generation target. In the ATPG target start / end list 22, for example, when it is desired to generate a test pattern for detecting a failure of a circuit that is not easy to detect a failure such as a sequential circuit, the start point and the end point of the sequential circuit are designated.

ロジックコーンセルリスト23は、ロジックコーン上に存在するセルの情報を示している。ロジックコーン故障リスト24は、ロジックコーンセルリスト23に示されているロジックコーン上に存在するセルに対する故障を示している。全故障リスト25は、ネットリスト(ATPG対象ネットリスト21)に対して想定され得る全ての故障を示している。   The logic cone cell list 23 shows information of cells existing on the logic cone. The logic cone failure list 24 indicates failures for the cells existing on the logic cones shown in the logic cone cell list 23. The all fault list 25 shows all faults that can be assumed for the net list (ATPG target net list 21).

図5は、第1実施形態のテストパタン生成システム1の構成を概念的に例示するブロック図である。図5に示されているように、ロジックコーン抽出部11は、ATPG対象ネットリスト21とATPG対象始終点リスト22とを入力として受け取る。ロジックコーン抽出部11は、ATPG対象ネットリスト21とATPG対象始終点リスト22に示される情報に基づいて、ロジックコーンセルリスト23を生成する。故障リスト生成部12は、ロジックコーン抽出部11が生成したロジックコーンセルリスト23とATPG対象ネットリスト21とを入力として受け取る。故障リスト生成部12は、ロジックコーンセルリスト23とATPG対象ネットリスト21とに示される情報に基づいてロジックコーン故障リスト24を生成する。ATPG実行部13は、ATPG対象ネットリスト21とロジックコーン故障リスト24とを入力として受け取る。ATPG実行部13は、ATPG対象ネットリスト21とロジックコーン故障リスト24とに支援される情報に基づいてテストパタンファイル31を生成する。   FIG. 5 is a block diagram conceptually illustrating the configuration of the test pattern generation system 1 according to the first embodiment. As shown in FIG. 5, the logic cone extraction unit 11 receives an ATPG target net list 21 and an ATPG target start / end list 22 as inputs. The logic cone extraction unit 11 generates a logic cone cell list 23 based on information shown in the ATPG target net list 21 and the ATPG target start / end list 22. The failure list generator 12 receives the logic cone cell list 23 and the ATPG target netlist 21 generated by the logic cone extractor 11 as inputs. The failure list generation unit 12 generates a logic cone failure list 24 based on information indicated in the logic cone cell list 23 and the ATPG target netlist 21. The ATPG execution unit 13 receives the ATPG target net list 21 and the logic cone failure list 24 as inputs. The ATPG execution unit 13 generates a test pattern file 31 based on information supported by the ATPG target net list 21 and the logic cone failure list 24.

図6は、第1実施形態のテストパタン生成システム1の動作を例示するフローチャートである。ステップS101において、テストパタン生成システム1のロジックコーン抽出部11は、ATPG対象ネットリスト21を読み出して、ATPG対象となる回路全体に関する回路接続情報を特定する。   FIG. 6 is a flowchart illustrating the operation of the test pattern generation system 1 according to the first embodiment. In step S <b> 101, the logic cone extraction unit 11 of the test pattern generation system 1 reads the ATPG target netlist 21 and identifies circuit connection information regarding the entire circuit to be subjected to ATPG.

図7は、ATPG対象ネットリスト21の構成を例示する記述である。図7に例示するATPG対象ネットリスト21は、Verilog記述に準拠して表現されている。図7に例示される記述は、本実施形態のATPG対象ネットリスト21が、Verilog記述に限定されることを意味するものではない。また、図7の記述は、テストパタンの生成対象となっている回路全体のうちの一部分だけをATPG対象ネットリスト21として例示している。   FIG. 7 is a description illustrating the configuration of the ATPG target netlist 21. The ATPG target netlist 21 illustrated in FIG. 7 is expressed in conformity with the Verilog description. The description illustrated in FIG. 7 does not mean that the ATPG target netlist 21 of the present embodiment is limited to the Verilog description. In addition, the description of FIG. 7 illustrates only a part of the entire circuit that is the test pattern generation target as the ATPG target netlist 21.

図7には、このモジュールにTI31〜TI34という入力端子と、TI41〜TI45という入力端子と、TO31〜TO34という出力端子と、TO41〜TO43という出力端子とがあることが示されている。また、図7には、このモジュールにインスタンス名がg301〜g305の回路と、インスタンス名がg401〜g404の回路があることが示されている。   FIG. 7 shows that this module has input terminals TI31 to TI34, input terminals TI41 to TI45, output terminals TO31 to TO34, and output terminals TO41 to TO43. FIG. 7 also shows that this module has a circuit with instance names g301 to g305 and a circuit with instance names g401 to g404.

図8および図9は、テストパタンの生成対象となっている回路全体のうちの一部分だけを抽出して例示した回路図である。図8は、ATPG対象ネットリスト21に示されるATPG対象回路32の構成を例示している。また、図9は、ATPG対象ネットリスト21に示されるATPG対象回路33の構成を例示している。図8および図9の回路図では、故障検出の対象となる各ノードに参照符号を付している。本実施形態では、本願発明の理解を容易にするために、ATPG対象ネットリスト21に記述されているインスタンス名を、その参照符号として使用している。   FIGS. 8 and 9 are circuit diagrams illustrating only a part of the entire circuit that is the test pattern generation target. FIG. 8 illustrates the configuration of the ATPG target circuit 32 shown in the ATPG target netlist 21. FIG. 9 exemplifies the configuration of the ATPG target circuit 33 shown in the ATPG target netlist 21. In the circuit diagrams of FIGS. 8 and 9, reference numerals are assigned to the respective nodes that are the targets of failure detection. In the present embodiment, in order to facilitate understanding of the present invention, the instance name described in the ATPG target netlist 21 is used as the reference symbol.

図8を参照すると、ATPG対象回路32は、第1入力端子TI31〜第4入力端子TI34と、第1論理ゲートg301〜第5論理ゲートg305と、第1出力端子TO31〜第2出力端子TO32を備えている。第1論理ゲートg301、第2論理ゲートg302および第5論理ゲートg305は、AND回路としての機能を提供している。第3論理ゲートg303は、OR回路としての機能を提供している。第4論理ゲートg304は、インバータとしての機能を提供している。   Referring to FIG. 8, the ATPG target circuit 32 includes a first input terminal TI31 to a fourth input terminal TI34, a first logic gate g301 to a fifth logic gate g305, and a first output terminal TO31 to a second output terminal TO32. I have. The first logic gate g301, the second logic gate g302, and the fifth logic gate g305 provide a function as an AND circuit. The third logic gate g303 provides a function as an OR circuit. The fourth logic gate g304 provides a function as an inverter.

図9を参照すると、ATPG対象回路33は、第5入力端子TI41〜第9入力端子TI45と、第3出力端子TO41〜第5出力端子TO43と、第6論理ゲートg401〜第9論理ゲートg404を備えている。第6論理ゲートg401、第8論理ゲートg403および第9論理ゲートg404は、AND回路としての機能を提供している。第7論理ゲートg402は、OR回路としての機能を提供している。   Referring to FIG. 9, the ATPG target circuit 33 includes a fifth input terminal TI41 to a ninth input terminal TI45, a third output terminal TO41 to a fifth output terminal TO43, and a sixth logic gate g401 to a ninth logic gate g404. I have. The sixth logic gate g401, the eighth logic gate g403, and the ninth logic gate g404 provide a function as an AND circuit. The seventh logic gate g402 provides a function as an OR circuit.

図6に戻り、ステップS102において、テストパタン生成の対象にしたい回路の始点、および、終点の情報を記載したATPG対象始終点リスト22を読み込む。テストパタン生成の対象にしたい回路としては、スキャンフリップフロップと組み合わせ回路以外の回路が例示される。例えば、順序回路のような情報記憶する機能を有する回路などである。また、RAM、ROM、ラッチ、もしくはスキャンチェインに含まれないフリップフロップなどであっても良い。本実施形態のATPG対象始終点リスト22は、そのような領域の入力ピンと出力ピンの少なくとも一方を定義している。   Returning to FIG. 6, in step S <b> 102, the ATPG target start / end list 22 in which information on the start point and end point of the circuit to be generated as a test pattern is written is read. Circuits other than the scan flip-flop and the combinational circuit are exemplified as a circuit that is to be a test pattern generation target. For example, a circuit having a function of storing information, such as a sequential circuit. Further, it may be a RAM, a ROM, a latch, or a flip-flop not included in the scan chain. The ATPG target start / end list 22 of this embodiment defines at least one of an input pin and an output pin in such a region.

図10は、ATPG対象始終点リスト22の一部分だけを抽出して例示した記述である。1行目の行頭の“#”という文字は、その行がコメント行であることを表している。1行目の“type”に対応する列には、始点または終点を定義するための文字列が記載される。1行目の“pin_name”に対応する列には、端子名またはセルのピン名が記載される。図10を参照すると、2行目には、始点を定義するための文字列である“SP”が記述されている。その“SP”によって、“TI44”が始点として定義される。また、3行目には、終点を定義するための文字列である“EP”が記述されている。その“EP”によって、“TO32”が終点として定義される。   FIG. 10 is a description illustrating only a part of the ATPG target start / end list 22 extracted. The character “#” at the beginning of the first line indicates that the line is a comment line. In a column corresponding to “type” in the first row, a character string for defining a start point or an end point is described. In a column corresponding to “pin_name” in the first row, a terminal name or a cell pin name is described. Referring to FIG. 10, the second line describes “SP”, which is a character string for defining the start point. “TI44” is defined as the start point by the “SP”. In the third line, “EP”, which is a character string for defining the end point, is described. The “EP” defines “TO32” as the end point.

図6に戻り、ステップS103において、ATPG対象ネットリスト21の回路接続情報と、ATPG対象始終点リスト22に記載された始終点情報をもとに、ロジックコーン上に存在するセルを抽出する。抽出されたセルの情報は、ロジックコーンセルリスト23として後段に出力される。図11は、ロジックコーンセルリスト23の構成を例示する記述である。1行目の行頭の“#”という文字は、その行がコメント行であることを表している。1行目の“type”に対応する列には、端子(ピン)またはセルを定義するための文字列が記載される。1行目の“name”に対応する列には、端子(ピン)またはセルに対応するインスタンス名が記載される。   Returning to FIG. 6, in step S103, cells existing on the logic cone are extracted based on the circuit connection information of the ATPG target netlist 21 and the start / end point information described in the ATPG target start / end list 22. The extracted cell information is output as a logic cone cell list 23 to the subsequent stage. FIG. 11 is a description illustrating the configuration of the logic cone cell list 23. The character “#” at the beginning of the first line indicates that the line is a comment line. In a column corresponding to “type” in the first row, a character string for defining a terminal (pin) or a cell is described. In the column corresponding to “name” in the first row, an instance name corresponding to a terminal (pin) or a cell is described.

図12、図13は、ロジックコーン抽出部11によって特定されるロジックコーンの構成を例示する回路図である。図12は、ロジックコーンセルリスト23に示される入力側ロジックコーン34を例示する回路図である。入力側ロジックコーン34は、出力端子1つに対して1つが作成され、ある出力端子から入力端子の方向に向かって論理ゲートを順次辿っていったときに、入力端子に到達するまでに通過した全ての論理ゲート、到達した全ての入力端子、出発点となった出力端子の集合として定義される。換言すれば、ある1つの出力端子に論理値を伝播させることの可能な全ての論理ゲート及び入力端子の集まりと当該出力端子とで1つのコーンが形成されることになる。   FIGS. 12 and 13 are circuit diagrams illustrating the configuration of the logic cone specified by the logic cone extraction unit 11. FIG. 12 is a circuit diagram illustrating the input side logic cone 34 shown in the logic cone cell list 23. One input-side logic cone 34 is created for each output terminal, and when the logic gate is sequentially traced from a certain output terminal toward the input terminal, it passes through until reaching the input terminal. It is defined as the set of all logic gates, all input terminals reached, and the output terminal that is the starting point. In other words, a cone is formed by a collection of all the logic gates and input terminals that can propagate a logic value to a certain output terminal and the output terminal.

ステップS103では、入力側ロジックコーン解析部14は、ATPG対象始終点リスト22の終点情報として記載された第2出力端子TO32を起点として回路内を辿ってゆく。第2出力端子TO32には第3論理ゲートg303が接続されている。入力側ロジックコーン解析部14は、その第3論理ゲートg303をコーンに含ませる。また、第3論理ゲートg303の一方の入力ピンには、第2論理ゲートg302が接続されている。入力側ロジックコーン解析部14は、その第2論理ゲートg302をコーンに含める。第2論理ゲートg302の一方の入力ピンには、第2入力端子TI32および第1論理ゲートg301が接続されている。また、第2論理ゲートg302の他方の入力ピンには、第4論理ゲートg304が接続されている。入力側ロジックコーン解析部14は、第2入力端子TI32および第4論理ゲートg304をコーンに含める。   In step S <b> 103, the input side logic cone analyzing unit 14 follows the circuit starting from the second output terminal TO <b> 32 described as the end point information of the ATPG target start / end list 22. A third logic gate g303 is connected to the second output terminal TO32. The input side logic cone analyzing unit 14 includes the third logic gate g303 in the cone. The second logic gate g302 is connected to one input pin of the third logic gate g303. The input side logic cone analyzing unit 14 includes the second logic gate g302 in the cone. The second input terminal TI32 and the first logic gate g301 are connected to one input pin of the second logic gate g302. The fourth logic gate g304 is connected to the other input pin of the second logic gate g302. The input side logic cone analyzing unit 14 includes the second input terminal TI32 and the fourth logic gate g304 in the cone.

図12に示されているように、第1論理ゲートg301は、入力端子の方向に配置されていない。入力側ロジックコーン解析部14は、第1論理ゲートg301と第1出力端子TO31とをコーンに含めない。このような手順でコーンが形成される。そのコーン(入力側ロジックコーン34)には、第2出力端子TO32と、第2論理ゲートg302〜第5論理ゲートg305と、第2入力端子TI32〜第4入力端子TI34が含まれる。なお、ATPG対象始終点リスト22に終点情報として記載されない第1出力端子TO31については、入力側ロジックコーン解析部14は入力側のロジックコーン抽出を行わない。   As shown in FIG. 12, the first logic gate g301 is not arranged in the direction of the input terminal. The input side logic cone analyzing unit 14 does not include the first logic gate g301 and the first output terminal TO31 in the cone. A cone is formed in such a procedure. The cone (input-side logic cone 34) includes a second output terminal TO32, a second logic gate g302 to a fifth logic gate g305, and a second input terminal TI32 to a fourth input terminal TI34. For the first output terminal TO31 that is not described as the end point information in the ATPG target start / end list 22, the input side logic cone analyzing unit 14 does not perform the input side logic cone extraction.

図13は、ロジックコーンセルリスト23に示される出力側ロジックコーン35を例示する回路図である。出力側ロジックコーン解析部15は、ATPG対象始終点リスト22の始点情報をもとに、リストに記載の1つの始点から出力端子(順序回路素子の出力ピンを含む)に到達するまで後段方向にトレースし、出力側のロジックコーンを抽出する。出力側ロジックコーン35は、入力端子1つに対して1つが作成され、ある入力端子から出力端子の方向に向かって論理ゲートを順次辿っていったときに、出力端子に到達するまでに通過した全ての論理ゲート、到達した全ての出力端子、出発点となった入力端子の集合として定義される。換言すれば、ある1つの入力端子から出力方向に論理値を伝播させることの可能な全ての論理ゲート及び出力端子の集まりと当該入力端子とで1つのコーンが形成されることになる。   FIG. 13 is a circuit diagram illustrating the output side logic cone 35 shown in the logic cone cell list 23. Based on the start point information in the ATPG target start / end list 22, the output side logic cone analysis unit 15 proceeds in the subsequent stage until reaching the output terminal (including the output pin of the sequential circuit element) from one start point described in the list. Trace and extract the logic cone on the output side. One output-side logic cone 35 is created for each input terminal, and when the logic gate is sequentially traced from a certain input terminal toward the output terminal, it passes until it reaches the output terminal. It is defined as the set of all logic gates, all output terminals reached, and the input terminal that is the starting point. In other words, one cone is formed by a set of all the logic gates and output terminals capable of propagating logic values in the output direction from one input terminal and the input terminal.

出力側ロジックコーン解析部15は、ATPG対象始終点リスト22の始点情報として記載された第8入力端子TI44を起点として回路内を辿ってゆく。第8入力端子TI44には第8論理ゲートg403が接続されている。出力側ロジックコーン解析部15は、その第8論理ゲートg403をコーンに含ませる。また、第8論理ゲートg403の出力ピンには、第7論理ゲートg402および第9論理ゲートg404が接続されている。出力側ロジックコーン解析部15は、第7論理ゲートg402および第9論理ゲートg404をコーンに含める。更に、第7論理ゲートg402の出力ピンには第4出力端子TO42が接続されている。出力側ロジックコーン解析部15は、その第4出力端子TO42をコーンに含める。このような手順でコーンが形成される。   The output side logic cone analyzing unit 15 traces the circuit starting from the eighth input terminal TI44 described as the starting point information of the ATPG target start / end list 22. An eighth logic gate g403 is connected to the eighth input terminal TI44. The output side logic cone analyzing unit 15 includes the eighth logic gate g403 in the cone. The seventh logic gate g402 and the ninth logic gate g404 are connected to the output pin of the eighth logic gate g403. The output side logic cone analyzing unit 15 includes the seventh logic gate g402 and the ninth logic gate g404 in the cone. Further, the fourth output terminal TO42 is connected to the output pin of the seventh logic gate g402. The output side logic cone analyzing unit 15 includes the fourth output terminal TO42 in the cone. A cone is formed in such a procedure.

図13に示されているように、コーン(出力側ロジックコーン35)には、第8入力端子TI44、第7論理ゲートg402〜第9論理ゲートg404、第4出力端子TO42〜第5出力端子TO43が含まれている。なお、ATPG対象始終点リスト22に始点情報として記載されない第5入力端子TI41〜第7入力端子TI43、第8入力端子TI44については、出力側ロジックコーン解析部15は、出力側のロジックコーン抽出を行わない。   As shown in FIG. 13, the cone (output side logic cone 35) includes an eighth input terminal TI44, a seventh logic gate g402 to a ninth logic gate g404, a fourth output terminal TO42 to a fifth output terminal TO43. It is included. For the fifth input terminal TI41 to the seventh input terminal TI43 and the eighth input terminal TI44 that are not described as start point information in the ATPG target start / end list 22, the output side logic cone analyzing unit 15 performs the output side logic cone extraction. Not performed.

図6に戻り、ステップS104において、ATPG対象ネットリスト21の回路接続情報、および、ロジックコーンセルリスト23に記載されたセル情報をもとに、そのセルに対する故障を抽出する。そして、抽出した故障に基づいてロジックコーン故障リスト24を生成する。   Returning to FIG. 6, in step S104, based on the circuit connection information of the ATPG target netlist 21 and the cell information described in the logic cone cell list 23, a failure for the cell is extracted. Then, a logic cone fault list 24 is generated based on the extracted faults.

図14は、ロジックコーン故障リスト24の構成を例示する記述である。1行目の行頭の“#”という文字は、その行がコメント行であることを表している。1行目の“type”に対応する列には、“0”、“1”、“01”の何れかが記載される。“type”列に“0”が記載される場合、その故障が、stack_at_0またはslow_to_riseであることを示している。“type”列に“1”が記載される場合、その故障が、stack_at_1またはslow_to_fallであることを示している。“type”列に“01”が記載される場合、その故障がboth(type 0、type 1の両方)であることを示している。   FIG. 14 is a description illustrating the configuration of the logic cone fault list 24. The character “#” at the beginning of the first line indicates that the line is a comment line. In the column corresponding to “type” in the first row, “0”, “1”, or “01” is described. When “0” is written in the “type” column, it indicates that the failure is stack_at_0 or slow_to_rise. When “1” is described in the “type” column, it indicates that the failure is stack_at_1 or slow_to_fall. When “01” is written in the “type” column, it indicates that the failure is “both” (both type 0 and type 1).

1行目の“class”に対応する列には、故障に対する状態が記載される。“class”列に“DT”が記載された場合、対応する故障がDetected(検出故障)として処理される。“class”列に“ND”が記載された場合、対応する故障がNotDetected(未検出故障)として処理される。“class”列に“AU”が記載された場合、対応する故障がATPG Untestable(検出不能故障)として処理される。1行目の“pin_name”に対応する列には、対応する端子名またはセルのピン名が記載される。   In the column corresponding to “class” in the first row, the state for the failure is described. When “DT” is described in the “class” column, the corresponding failure is processed as Detected (detected failure). When “ND” is described in the “class” column, the corresponding failure is processed as NotDetected (undetected failure). When “AU” is described in the “class” column, the corresponding failure is processed as an ATPG Untestable (undetectable failure). In the column corresponding to “pin_name” in the first row, the corresponding terminal name or cell pin name is described.

図6に戻り、ステップS105において、ATPG対象ネットリスト21の回路接続情報、および、ロジックコーンセルリスト23に記載されたロジックコーンの故障リストを対象にテストパタンを生成する。その後、ステップS106において、生成されたテストパタンデータをテストパタンファイル31に出力する。   Returning to FIG. 6, in step S <b> 105, a test pattern is generated for the circuit connection information of the ATPG target netlist 21 and the logic cone failure list described in the logic cone cell list 23. Thereafter, in step S106, the generated test pattern data is output to the test pattern file 31.

上述のように、本実施形態のテストパタン生成システム1は、出力側回路ロジックコーン、及び入力側回路のロジックコーンに故障定義を絞り込んでいる。そのため、余計な組み合わせ回路の故障を取り除いた状態の順序回路の部分を特定することが可能となり、その部分に限定してシーケンシャルATPGを実行することができる。これによって、ATPGの実行時間を短縮することが出来る。   As described above, the test pattern generation system 1 of the present embodiment narrows down the fault definition to the output-side circuit logic cone and the input-side circuit logic cone. Therefore, it is possible to specify the sequential circuit portion in a state in which the failure of the extra combinational circuit is removed, and the sequential ATPG can be executed only in that portion. Thereby, the execution time of ATPG can be shortened.

[比較例]
以下に、本願発明の比較例について説明を行う。図15は、ATPG対象ネットリスト21に対して、想定され得る全ての故障の拾い出しを行って故障リストを生成した場合の全故障リスト25の構成を例示する記述である。1行目の“type”に対応する列には、“0”、“1”、“01”の何れかが記載される。“type”列に“0”が記載される場合、その故障が、stack_at_0またはslow_to_riseであることを示している。“type”列に“1”が記載される場合、その故障が、stack_at_1またはslow_to_fallであることを示している。“type”列に“01”が記載される場合、その故障がboth(type 0、type 1の両方)であることを示している。
[Comparative example]
Below, the comparative example of this invention is demonstrated. FIG. 15 is a description exemplifying a configuration of the entire failure list 25 when all possible failures are picked up from the ATPG target netlist 21 and a failure list is generated. In the column corresponding to “type” in the first row, “0”, “1”, or “01” is described. When “0” is written in the “type” column, it indicates that the failure is stack_at_0 or slow_to_rise. When “1” is described in the “type” column, it indicates that the failure is stack_at_1 or slow_to_fall. When “01” is written in the “type” column, it indicates that the failure is “both” (both type 0 and type 1).

1行目の“class”に対応する列には、故障に対する状態が記載される。“class”列に“DT”が記載された場合、対応する故障がDetected(検出故障)として処理される。“class”列に“ND”が記載された場合、対応する故障がNotDetected(未検出故障)として処理される。“class”列に“AU”が記載された場合、対応する故障がATPG Untestable(検出不能故障)として処理される。1行目の“pin_name”に対応する列には、対応する端子名またはセルのピン名が記載される。   In the column corresponding to “class” in the first row, the state for the failure is described. When “DT” is described in the “class” column, the corresponding failure is processed as Detected (detected failure). When “ND” is described in the “class” column, the corresponding failure is processed as NotDetected (undetected failure). When “AU” is described in the “class” column, the corresponding failure is processed as an ATPG Untestable (undetectable failure). In the column corresponding to “pin_name” in the first row, the corresponding terminal name or cell pin name is described.

図15に示されているように、全故障リスト25に示される故障の総数は、80個になる。ここで、図14を参照すると、ロジックコーンセルリスト23に示される故障数は、54個である。本実施形態のテストパタン生成システム1は、ATPG対象ネットリスト21の回路接続情報、および、ロジックコーンセルリスト23に記載されたロジックコーンの故障リストを対象にテストパタンを生成している。ロジックコーンセルリスト23は、余計な組み合わせ回路の故障を取り除いた状態のリストであり、出力側回路ロジックコーン、及び入力側回路のロジックコーンに故障定義が絞り込まれている。そのため、ATPGの実行時間を短縮することが出来る。   As shown in FIG. 15, the total number of faults shown in the total fault list 25 is 80. Here, referring to FIG. 14, the number of failures shown in the logic cone cell list 23 is 54. The test pattern generation system 1 of the present embodiment generates a test pattern for the circuit connection information of the ATPG target netlist 21 and the logic cone failure list described in the logic cone cell list 23. The logic cone cell list 23 is a list in a state in which unnecessary faults of the combinational circuit are removed, and the fault definition is narrowed down to the output side logic cone and the logic cone of the input side circuit. Therefore, the execution time of ATPG can be shortened.

上述してきたように、テストパタン生成システム1は、順序回路素子を含む回路などのテストパタン生成の対象にしたい回路の始点(順序回路素子の出力ピン)、および終点(順序回路素子の入力ピン)を指定し、始点および終点を起点としたロジックコーンを抽出している。そして、そのロジックコーンにのみ故障を定義した故障リストを生成している。その故障リストを使用することによって、組み合わせ回路の故障を取り除いた状態で順序回路素子の部分に限定してシーケンシャルATPGを実行できる。   As described above, the test pattern generation system 1 includes the start point (sequential circuit element output pin) and the end point (sequential circuit element input pin) of a circuit to be subjected to test pattern generation, such as a circuit including a sequential circuit element. Is specified, and the logic cone starting from the start point and end point is extracted. Then, a fault list in which faults are defined only for the logic cone is generated. By using the failure list, the sequential ATPG can be executed only on the sequential circuit element portion with the failure of the combinational circuit removed.

また、1つの故障を検出するために複数回のスキャンシフト動作が必要になるテストパタンが生成されることがある。本実施形態のようなテストパタン生成システム1を構成することによって、そのようなテストパタンによるテスト時間の増加を最小限にすることができる。   In addition, a test pattern that requires a plurality of scan shift operations in order to detect one failure may be generated. By configuring the test pattern generation system 1 as in the present embodiment, an increase in test time due to such a test pattern can be minimized.

一般的に、順序回路素子の故障を検出するには、回路素子に対して任意の値を複数回印加する必要がある。その任意の値を、1回のスキャンシフト動作、および、1回もしくは複数回のスキャンキャプチャ動作の組み合わせによって印加していくのは困難である。従って、順序回路素子を含む回路の故障を検出するシーケンシャルATPGでは、複数回のスキャンシフト動作、および、スキャンキャプチャ動作によって、順序回路素子に任意の値を印加するテストパタンが生成されることになる。   In general, in order to detect a failure of a sequential circuit element, it is necessary to apply an arbitrary value to the circuit element a plurality of times. It is difficult to apply the arbitrary value by a combination of one scan shift operation and one or more scan capture operations. Therefore, in the sequential ATPG that detects a failure of a circuit including a sequential circuit element, a test pattern for applying an arbitrary value to the sequential circuit element is generated by a plurality of scan shift operations and scan capture operations. .

スキャンパタンのテスト時間はシフト動作の回数に比例するため、1回のスキャンシフト動作で検出できるような組み合わせ回路の故障に対して、複数回のスキャンシフト動作が必要なテストパタンを生成すると、組み合わせ回路の故障を検出するパタンにおけるスキャンシフト動作の回数だけテスト時間が長くなる。本実施形態のテストパタン生成システム1は、余計な組み合わせ回路の故障を取り除いた状態で順序回路素子の部分に限定してシーケンシャルATPGを実行することができる。そのため、テスターよる半導体集積回路のテスト時間の短縮を実現することができる。   Since the scan pattern test time is proportional to the number of shift operations, if a test pattern that requires multiple scan shift operations is generated for a combinational circuit failure that can be detected by a single scan shift operation, The test time is increased by the number of scan shift operations in the pattern for detecting a circuit failure. The test pattern generation system 1 according to the present embodiment can execute sequential ATPG only in the sequential circuit element portion in a state in which an excessive combinational circuit failure is removed. Therefore, the test time of the semiconductor integrated circuit by the tester can be shortened.

[第2実施形態]
以下に、図面を参照して本願発明の第2実施形態について説明を行う。図16は、第2実施形態のテストパタン生成システム1の構成を例示するブロック図である。第2実施形態のテストパタン生成システム1は、第1実施形態のテストパタン生成システム1の構成に加え、さらに、未検出故障リスト生成部16が備えられえている。未検出故障リスト生成部16は、既存パタン検出故障リスト26(既存パタン検出故障リスト26の構成に関しては後述する)を参照して、ロジックコーン故障リスト24に基づいて、ロジックコーンの未検出故障を絞り込んだリスト(以下、未検出故障リスト27と記載する)を生成する。
[Second Embodiment]
The second embodiment of the present invention will be described below with reference to the drawings. FIG. 16 is a block diagram illustrating the configuration of the test pattern generation system 1 according to the second embodiment. In addition to the configuration of the test pattern generation system 1 of the first embodiment, the test pattern generation system 1 of the second embodiment further includes an undetected fault list generation unit 16. The undetected fault list generation unit 16 refers to the existing pattern detected fault list 26 (the configuration of the existing pattern detected fault list 26 will be described later), and generates an undetected fault of the logic cone based on the logic cone fault list 24. A narrowed list (hereinafter referred to as an undetected fault list 27) is generated.

図17は、第2実施形態のファイル格納部9の構成を例示するブロック図である。第2実施形態のファイル格納部9は、第1実施形態のファイル格納部9の構成に加え、さらに、既存パタン検出故障リスト26と未検出故障リスト27とを備えている。既存パタン検出故障リスト26は、人手によって予め作成されている機能検証パタンや、ATPGにより予め生成されているテストパタンなどである。既存パタン検出故障リスト26には、シミュレーションや以前に実行したATPGによって検出済みの故障が示されている。未検出故障リスト27には、ロジックコーン故障リスト24に示されている故障と既存パタン検出故障リスト26に示されている故障とを比較して、合致しない故障を抽出して保持している。換言すると、未検出故障リスト27は、ロジックコーン故障リスト24から、既存パタン検出故障リスト26に示される故障を除外した構成であるということもできる。   FIG. 17 is a block diagram illustrating the configuration of the file storage unit 9 according to the second embodiment. In addition to the configuration of the file storage unit 9 of the first embodiment, the file storage unit 9 of the second embodiment further includes an existing pattern detection failure list 26 and an undetected failure list 27. The existing pattern detection failure list 26 is a function verification pattern created in advance by hand, a test pattern generated in advance by ATPG, or the like. The existing pattern detection fault list 26 shows faults that have been detected by simulation or previously executed ATPG. In the undetected fault list 27, the faults shown in the logic cone fault list 24 and the faults shown in the existing pattern detected fault list 26 are compared, and faults that do not match are extracted and held. In other words, the undetected fault list 27 may be configured to exclude the fault indicated in the existing pattern detected fault list 26 from the logic cone fault list 24.

図18は、第2実施形態のテストパタン生成システム1の構成を概念的に例示するブロック図である。第2実施形態のテストパタン生成システム1において、ロジックコーン抽出部11は、第1実施形態のロジックコーン抽出部11と同様に、ATPG対象ネットリスト21とATPG対象始終点リスト22とを入力として受け取る。ロジックコーン抽出部11は、ATPG対象ネットリスト21とATPG対象始終点リスト22に示される情報に基づいて、ロジックコーンセルリスト23を生成する。故障リスト生成部12は、ロジックコーン抽出部11が生成したロジックコーン故障リスト24とATPG対象ネットリスト21とを入力として受け取る。故障リスト生成部12は、ロジックコーンセルリスト23とATPG対象ネットリスト21とに示される情報に基づいてロジックコーン故障リスト24を生成する。   FIG. 18 is a block diagram conceptually illustrating the configuration of the test pattern generation system 1 according to the second embodiment. In the test pattern generation system 1 of the second embodiment, the logic cone extraction unit 11 receives the ATPG target netlist 21 and the ATPG target start / end list 22 as inputs, as in the logic cone extraction unit 11 of the first embodiment. . The logic cone extraction unit 11 generates a logic cone cell list 23 based on information shown in the ATPG target net list 21 and the ATPG target start / end list 22. The failure list generation unit 12 receives the logic cone failure list 24 and the ATPG target netlist 21 generated by the logic cone extraction unit 11 as inputs. The failure list generation unit 12 generates a logic cone failure list 24 based on information indicated in the logic cone cell list 23 and the ATPG target netlist 21.

第2実施形態のテストパタン生成システム1において、未検出故障リスト生成部16は、既存パタン検出故障リスト26とロジックコーン故障リスト24とを入力として受け取る。未検出故障リスト生成部16は、既存パタン検出故障リスト26とロジックコーン故障リスト24とに基づいて、未検出故障リスト27を生成してATPG実行部13に供給する。ATPG実行部13は、未検出故障リスト27とATPG対象ネットリスト21とを入力として受け取り、テストパタンファイル31を生成する。   In the test pattern generation system 1 of the second embodiment, the undetected fault list generation unit 16 receives the existing pattern detected fault list 26 and the logic cone fault list 24 as inputs. The undetected failure list generation unit 16 generates an undetected failure list 27 based on the existing pattern detection failure list 26 and the logic cone failure list 24 and supplies it to the ATPG execution unit 13. The ATPG execution unit 13 receives the undetected failure list 27 and the ATPG target netlist 21 as inputs, and generates a test pattern file 31.

図19は、第2実施形態のテストパタン生成システム1の動作を例示するフローチャートである。第2実施形態のテストパタン生成システム1において、ステップS101からステップS104までは、第1実施形態と同様に動作する。   FIG. 19 is a flowchart illustrating the operation of the test pattern generation system 1 according to the second embodiment. In the test pattern generation system 1 according to the second embodiment, steps S101 to S104 operate in the same manner as in the first embodiment.

ステップS201において、未検出故障リスト生成部16は、既存パタン検出故障リスト26を読み出し、その既存パタン検出故障リスト26に示される検出済みの故障を特定する。図20は、既存パタン検出故障リスト26の構成を例示する記述である。1行目の行頭の“#”という文字は、その行がコメント行であることを表している。1行目の“type”に対応する列には、“0”、“1”、“01”の何れかが記載される。“type”列に“0”が記載される場合、その故障が、stack_at_0またはslow_to_riseであることを示している。“type”列に“1”が記載される場合、その故障が、stack_at_1またはslow_to_fallであることを示している。“type”列に“01”が記載される場合、その故障がboth(type 0、type 1の両方)であることを示している。   In step S <b> 201, the undetected fault list generation unit 16 reads the existing pattern detected fault list 26 and identifies a detected fault indicated in the existing pattern detected fault list 26. FIG. 20 is a description illustrating the configuration of the existing pattern detection failure list 26. The character “#” at the beginning of the first line indicates that the line is a comment line. In the column corresponding to “type” in the first row, “0”, “1”, or “01” is described. When “0” is written in the “type” column, it indicates that the failure is stack_at_0 or slow_to_rise. When “1” is described in the “type” column, it indicates that the failure is stack_at_1 or slow_to_fall. When “01” is written in the “type” column, it indicates that the failure is “both” (both type 0 and type 1).

1行目の“class”に対応する列には、故障に対する状態が記載される。“class”列に“DT”が記載された場合、対応する故障がDetected(検出故障)として処理される。“class”列に“ND”が記載された場合、対応する故障がNotDetected(未検出故障)として処理される。“class”列に“AU”が記載された場合、対応する故障がATPG Untestable(検出不能故障)として処理される。1行目の“pin_name”に対応する列には、対応する端子名またはセルのピン名が記載される。   In the column corresponding to “class” in the first row, the state for the failure is described. When “DT” is described in the “class” column, the corresponding failure is processed as Detected (detected failure). When “ND” is described in the “class” column, the corresponding failure is processed as NotDetected (undetected failure). When “AU” is described in the “class” column, the corresponding failure is processed as an ATPG Untestable (undetectable failure). In the column corresponding to “pin_name” in the first row, the corresponding terminal name or cell pin name is described.

図19に戻り、ステップS202において、未検出故障リスト生成部16は、ロジックコーン故障リスト24に存在し、且つ、既存パタン検出故障リスト26に存在しない故障を抽出する。ステップS203において、未検出故障リスト生成部16は、抽出した故障に基づいて、未検出故障リスト27を生成する。図21は、未検出故障リスト27の構成を例示するリストである。1行目の“type”に対応する列、“class”に対応する列、および“pin_name”に対応する列に記載される文字列は、既存パタン検出故障リスト26と同様の意味内容を示している。図21を参照すると、未検出故障リスト27には、45個の故障が定義されている。   Returning to FIG. 19, in step S <b> 202, the undetected fault list generation unit 16 extracts faults that exist in the logic cone fault list 24 and do not exist in the existing pattern detection fault list 26. In step S203, the undetected fault list generation unit 16 generates an undetected fault list 27 based on the extracted faults. FIG. 21 is a list illustrating the configuration of the undetected failure list 27. The character strings described in the column corresponding to “type”, the column corresponding to “class”, and the column corresponding to “pin_name” in the first row indicate the same semantic content as in the existing pattern detection failure list 26. Yes. Referring to FIG. 21, 45 faults are defined in the undetected fault list 27.

図19に戻り、ステップS204において、ATPG対象ネットリスト21の回路接続情報、および、未検出故障リスト27に記載されたロジックコーンの未検出故障リストを対象にテストパタンデータを生成する。ステップS205において、生成されたテストパタンデータをテストパタンファイル31に出力する。   Returning to FIG. 19, in step S204, test pattern data is generated for the circuit connection information of the ATPG target netlist 21 and the logic cone undetected fault list described in the undetected fault list 27. In step S205, the generated test pattern data is output to the test pattern file 31.

図21に示されているように、第2実施形態のテストパタン生成システム1において、未検出故障リスト27には45個の故障が定義されている。上述の図15の全故障リスト25に示される故障の総数は、80個になる。また、図14のロジックコーンセルリスト23に示される故障の総数は、54個である。このように、第2実施形態のテストパタン生成システム1は、既存のテストパタンで検出済みの故障リストを利用することで、よりATPGの実行時間を短縮することが出来る。   As shown in FIG. 21, in the test pattern generation system 1 of the second embodiment, 45 faults are defined in the undetected fault list 27. The total number of failures shown in the all failure list 25 of FIG. 15 is 80. The total number of failures shown in the logic cone cell list 23 of FIG. 14 is 54. As described above, the test pattern generation system 1 according to the second embodiment can further reduce the ATPG execution time by using the fault list detected in the existing test pattern.

特許文献2に記載された技術のように、読み出したネットリストに対して、想定され得る全ての故障の拾出しを行って故障リストを生成する場合、故障リストに含まれる故障が非常に多くなってしまう。仮に、1千万個の故障が故障リストに存在するとして、故障シミュレーションによって99%という高い検出率が得られるとしても、テストパタン生成の対象となる故障数は10万個にもなる。その10万個のテストパタン生成の対象は、順序回路素子と組み合わせ回路を含んでいる。このように順序回路素子と組み合わせ回路を含んだテストパタン生成対象の故障数が多い状態でシーケンシャルATPGを行うと、組み合わせ回路のみで構成される回路も含めてシーケンシャルATPGを実行することとなる。   As in the technique described in Patent Document 2, when a fault list is generated by extracting all possible faults from the read netlist, the faults included in the fault list become extremely large. End up. Assuming that 10 million faults exist in the fault list, even if a high detection rate of 99% is obtained by fault simulation, the number of faults that are subject to test pattern generation is 100,000. The 100,000 test pattern generation targets include sequential circuit elements and combinational circuits. As described above, when sequential ATPG is performed in a state where the number of test pattern generation target failures including sequential circuit elements and combinational circuits is large, sequential ATPG is performed including circuits composed only of combinational circuits.

しかしながら、上述してきたように、本実施形態のテストパタン生成システムでは、テストパタン生成対象回路の始点もしくは終点を記載した始終点リストを使用して、その始終点リストをもとに出力側回路のロジックコーンの故障および入力側回路のロジックコーンの故障を抽出している。そして、余計な組み合わせ回路の故障を取り除いた状態で順序回路素子の部分に限定してシーケンシャルATPGを実行している。また、既存のテストパタンで検出済みの故障定義リストを利用して、出力側回路及び入力側回路のロジックコーンの故障を絞り込むことで、ATPGの実行時間を短縮することが出来る。   However, as described above, in the test pattern generation system according to the present embodiment, the start / end point list describing the start point or end point of the test pattern generation target circuit is used, and the output side circuit is based on the start / end point list. The failure of the logic cone and the failure of the logic cone of the input side circuit are extracted. Then, the sequential ATPG is executed only for the sequential circuit elements in a state in which the trouble of the extra combinational circuit is removed. In addition, the ATPG execution time can be shortened by narrowing down the logic cone failures in the output side circuit and the input side circuit using the fault definition list detected in the existing test pattern.

以上、本願発明の実施の形態を具体的に説明した。本願発明は上述の実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。   The embodiment of the present invention has been specifically described above. The present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention.

1…テストパタン生成システム
2…コンピュータ装置本体
3…入力装置
4…出力装置
5…演算処理装置
6…情報記憶装置
7…バス
8…EDAツール格納部
9…ファイル格納部
11…ロジックコーン抽出部
12…故障リスト生成部
13…ATPG実行部
14…入力側ロジックコーン解析部
15…出力側ロジックコーン解析部
16…未検出故障リスト生成部
21…ATPG対象ネットリスト
22…ATPG対象始終点リスト
23…ロジックコーンセルリスト
24…ロジックコーン故障リスト
25…全故障リスト
26…既存パタン検出故障リスト
27…未検出故障リスト
31…テストパタンファイル
32…ATPG対象回路
33…ATPG対象回路
34…入力側ロジックコーン
35…出力側ロジックコーン
TI31…第1入力端子
TI32…第2入力端子
TI33…第3入力端子
TI34…第4入力端子
TI41…第5入力端子
TI42…第6入力端子
TI43…第7入力端子
TI44…第8入力端子
TI45…第9入力端子
TO31…第1出力端子
TO32…第2出力端子
TO41…第3出力端子
TO42…第4出力端子
TO43…第5出力端子
g301…第1論理ゲート
g302…第2論理ゲート
g303…第3論理ゲート
g304…第4論理ゲート
g305…第5論理ゲート
g401…第6論理ゲート
g402…第7論理ゲート
g403…第8論理ゲート
g404…第9論理ゲート
101…テストパタン生成部
101a…故障シミュレーション実行部
101b…ATGP実行部
102…ネットリスト記憶部
103…メモリ部
103a…故障リスト用メモリ
103b…未検出故障リスト用メモリ
104…機能検証パタン記憶部
105…テストパタン出力部
DESCRIPTION OF SYMBOLS 1 ... Test pattern generation system 2 ... Computer apparatus main body 3 ... Input device 4 ... Output device 5 ... Arithmetic processor 6 ... Information storage device 7 ... Bus 8 ... EDA tool storage part 9 ... File storage part 11 ... Logic cone extraction part 12 ... failure list generation unit 13 ... ATPG execution unit 14 ... input side logic cone analysis unit 15 ... output side logic cone analysis unit 16 ... undetected failure list generation unit 21 ... ATPG target net list 22 ... ATPG target start / end list 23 ... logic Cone cell list 24 ... logic cone fault list 25 ... all fault list 26 ... existing pattern detected fault list 27 ... undetected fault list 31 ... test pattern file 32 ... ATPG target circuit 33 ... ATPG target circuit 34 ... input side logic cone 35 ... Output side logic cone TI31 ... first input terminal TI32 ... second input Terminal TI33 ... Third input terminal TI34 ... Fourth input terminal TI41 ... Fifth input terminal TI42 ... Sixth input terminal TI43 ... Seventh input terminal TI44 ... Eighth input terminal TI45 ... Ninth input terminal TO31 ... First output terminal TO32 2nd output terminal TO41 3rd output terminal TO42 4th output terminal TO43 5th output terminal g301 1st logic gate g302 2nd logic gate g303 3rd logic gate g304 4th logic gate g305 5th logic gate g401 ... 6th logic gate g402 ... 7th logic gate g403 ... 8th logic gate g404 ... 9th logic gate 101 ... Test pattern generation unit 101a ... Fault simulation execution unit 101b ... ATGP execution unit 102 ... Netlist storage unit 103 ... Memory unit 103a ... Fault list memory 103b ... Undetected fault list Use memory 104 ... function verification pattern storage unit 105 ... test pattern output unit

Claims (15)

EDAツールと、
前記EDAツールが参照する情報を保持するファイル格納部と
を具備し、
前記ファイル格納部は、
テストパタンの生成の対象となる回路の接続情報を示すネットリストと、
前記ネットリストに示される回路のうち、故障検出の対象となる故障検出対象領域の起点となる端子を示す始終点リストと
を備え、
前記EDAツールは、
前記故障検出対象領域の間にはさまれた組み合わせ回路の部分をロジックコーンとして抽出するロジックコーン抽出部と、
前記ロジックコーンに含まれるノードに対する故障を抽出して故障リストを生成する故障リスト生成部と、
前記故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成するATPG実行部と
を備え、
前記ロジックコーン抽出部は、
前記始終点リストに示される前記起点を頂点とするロジックコーンを特定し、
前記故障リスト生成部は、
前記組み合わせ回路に含まれる全てのノードから、前記ロジックコーンに含致しないノードを除外して前記故障リストを生成する
テストパタン生成システム。
EDA tool,
A file storage unit for holding information referred to by the EDA tool,
The file storage unit
A netlist indicating connection information of a circuit for which a test pattern is to be generated;
Among the circuits shown in the net list, comprising a start / end list indicating a terminal that is a starting point of a failure detection target area that is a target of failure detection,
The EDA tool is
A logic cone extraction unit for extracting a portion of the combinational circuit sandwiched between the failure detection target areas as a logic cone;
A fault list generation unit that generates a fault list by extracting faults for nodes included in the logic cone;
An ATPG execution unit that automatically generates the test pattern based on the failure list and the net list, and
The logic cone extraction unit
Specify a logic cone having the starting point shown in the start / end list as a vertex,
The failure list generator is
A test pattern generation system for generating the fault list by excluding nodes not included in the logic cone from all nodes included in the combinational circuit.
請求項1に記載のテストパタン生成システムにおいて、
前記故障検出領域は、
情報を記憶する機能を有する記憶回路を含み、
前記始終点リストは、
前記記憶回路の始点または終点となる端子の情報を含む
テストパタン生成システム。
The test pattern generation system according to claim 1,
The failure detection area is
Including a memory circuit having a function of storing information;
The start / end list is
A test pattern generation system including information on a terminal serving as a start point or an end point of the storage circuit.
請求項2に記載のテストパタン生成システムにおいて、
前記記憶回路は、
スキャンチェインに含まれないフリップフロップを含み、
前記始終点リストは、
前記フリップフロップの始点または終点となる端子の情報を含む
テストパタン生成システム。
The test pattern generation system according to claim 2,
The memory circuit is
Including flip-flops not included in the scan chain,
The start / end list is
A test pattern generation system including information on a terminal which is a start point or an end point of the flip-flop.
請求項3に記載のテストパタン生成システムにおいて、
前記ロジックコーン抽出部は、
前記終点を頂点とする入力側のロジックコーンを抽出する入力側ロジックコーン解析部と、
前記始点を頂点とする出力側のロジックコーンを抽出する出力側ロジックコーン解析部と
を含む
テストパタン生成システム。
The test pattern generation system according to claim 3,
The logic cone extraction unit
An input side logic cone analyzing unit for extracting an input side logic cone having the end point as a vertex;
An output-side logic cone analyzing unit that extracts an output-side logic cone having the start point as a vertex;
請求項1から4の何れか一項に記載のテストパタン生成システムにおいて、
前記EDAツールは、さらに、
前記ロジックコーンの未検出故障を絞り込む未検出故障リスト生成部を備え、
前記ファイル記憶部は、さらに、
すでに検出済みの故障を示す既存パタン検出故障リストを備え、
前記未検出故障リスト生成部は、
前記故障リストに示されている故障と前記既存パタン検出故障リストに示されている故障とを比較して、合致しない故障を抽出し前記未検出故障リストを生成し、
前記ATPG実行部は、
前記未検出故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成する
テストパタン生成システム。
The test pattern generation system according to any one of claims 1 to 4,
The EDA tool further includes:
An undetected fault list generation unit that narrows down the undetected faults of the logic cone;
The file storage unit further includes:
It has an existing pattern detection fault list showing faults that have already been detected,
The undetected failure list generator is
Comparing the faults shown in the fault list with the faults shown in the existing pattern detection fault list, extracting faults that do not match, and generating the undetected fault list;
The ATPG execution unit
A test pattern generation system that automatically generates the test pattern based on the undetected fault list and the net list.
半導体集積回路の接続情報を示すネットリストを読み出すネットリスト読み出しステップと、
故障検出の対象となる故障検出対象領域の起点となる端子を示す始終点リストを読み出す始終点リスト読み出しステップと、
前記故障検出対象領域の間にはさまれた組み合わせ回路の部分をロジックコーンとして抽出するロジックコーン抽出ステップと、
前記ロジックコーンに含まれるノードに対する故障を抽出して故障リストを生成する故障リスト生成ステップと、
前記故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成するATPG実行ステップと、
を具備し、
前記ロジックコーン抽出ステップは、
前記始終点リストに示される前記起点を頂点とするロジックコーンを特定するステップを含み、
前記故障リスト生成ステップは、
前記組み合わせ回路に含まれる全てのノードから、前記ロジックコーンに含致しないノードを除外して前記故障リストを生成するステップを含む
テストパタン生成方法。
A net list reading step of reading a net list indicating connection information of the semiconductor integrated circuit;
A start / end point list reading step for reading a start / end point list indicating a terminal that is a starting point of a failure detection target area that is a target of failure detection;
A logic cone extraction step for extracting a portion of the combinational circuit sandwiched between the failure detection target areas as a logic cone;
A fault list generating step of generating a fault list by extracting faults for nodes included in the logic cone;
An ATPG execution step of automatically generating the test pattern based on the failure list and the net list;
Comprising
The logic cone extraction step includes
Identifying a logic cone having the starting point shown in the start / end list as a vertex;
The failure list generation step includes:
A test pattern generation method including a step of generating the fault list by excluding nodes not included in the logic cone from all nodes included in the combinational circuit.
請求項6に記載のテストパタン生成方法において、
前記始終点リスト読み出しステップは、
前記故障検出領域が情報を記憶する機能を有する記憶回路を含むとき、
前記記憶回路の始点または終点となる端子の情報を含む前記始終点リストを読み出すステップを含む
テストパタン生成方法。
The test pattern generation method according to claim 6,
The starting / ending point list reading step includes:
When the failure detection area includes a storage circuit having a function of storing information,
A test pattern generation method including a step of reading the start / end point list including information of a terminal that is a start point or an end point of the storage circuit.
請求項7に記載のテストパタン生成方法において、
前記始終点リスト読み出しステップは、
前記記憶回路がスキャンチェインに含まれないフリップフロップを含むとき、
前記フリップフロップの始点または終点となる端子の情報を含む前記始終点リストを読み出すステップを含む
テストパタン生成方法。
The test pattern generation method according to claim 7,
The starting / ending point list reading step includes:
When the storage circuit includes a flip-flop that is not included in the scan chain,
A test pattern generation method including a step of reading the start / end point list including information of a terminal which is a start point or an end point of the flip-flop.
請求項8に記載のテストパタン生成方法において、
前記ロジックコーン抽出ステップは、
前記終点を頂点とする入力側のロジックコーンを抽出する入力側ロジックコーン解析ステップと、
前記始点を頂点とする出力側のロジックコーンを抽出する出力側ロジックコーン解析ステップと
を含む
テストパタン生成方法。
The test pattern generation method according to claim 8,
The logic cone extraction step includes
An input side logic cone analyzing step for extracting an input side logic cone having the end point as a vertex;
An output side logic cone analyzing step for extracting an output side logic cone having the start point as a vertex.
請求項6から9の何れか一項に記載のテストパタン生成方法において、さらに、
前記ロジックコーンの未検出故障を絞り込む未検出故障リスト生成ステップを備え、
前記未検出故障リスト生成ステップは、
すでに検出済みの故障を示す既存パタン検出故障リストを読み出すステップと、
前記故障リストに示されている故障と前記既存パタン検出故障リストに示されている故障とを比較して、合致しない故障を抽出し前記未検出故障リストを生成するステップとを含み、
前記ATPG実行ステップは、
前記未検出故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成するステップを含む
テストパタン生成方法。
The test pattern generation method according to any one of claims 6 to 9, further comprising:
An undetected fault list generation step of narrowing down the undetected faults of the logic cone,
The undetected fault list generation step includes:
Reading an existing pattern detection fault list indicating faults that have already been detected;
Comparing the faults shown in the fault list with the faults shown in the existing pattern detected fault list to extract faults that do not match and generating the undetected fault list,
The ATPG execution step includes
A test pattern generation method including a step of automatically generating the test pattern based on the undetected fault list and the net list.
コンピュータをテストパタン生成装置として機能させるための手順を示すテストパタン生成プログラムであって、前記テストパタン生成プログラムは、
半導体集積回路の接続情報を示すネットリストを読み出すネットリスト読み出しステップと、
故障検出の対象となる故障検出対象領域の起点となる端子を示す始終点リストを読み出す始終点リスト読み出しステップと、
前記故障検出対象領域の間にはさまれた組み合わせ回路の部分をロジックコーンとして抽出するロジックコーン抽出ステップと、
前記ロジックコーンに含まれるノードに対する故障を抽出して故障リストを生成する故障リスト生成ステップと、
前記故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成するATPG実行ステップと
を実行するための手順を示し、
前記ロジックコーン抽出ステップは、
前記始終点リストに示される前記起点を頂点とするロジックコーンを特定するステップを含み、
前記故障リスト生成ステップは、
前記組み合わせ回路に含まれる全てのノードから、前記ロジックコーンに含致しないノードを除外して前記故障リストを生成するステップを含む
テストパタン生成プログラム。
A test pattern generation program showing a procedure for causing a computer to function as a test pattern generation device, the test pattern generation program,
A net list reading step of reading a net list indicating connection information of the semiconductor integrated circuit;
A start / end point list reading step for reading a start / end point list indicating a terminal that is a starting point of a failure detection target area that is a target of failure detection;
A logic cone extraction step for extracting a portion of the combinational circuit sandwiched between the failure detection target areas as a logic cone;
A fault list generating step of generating a fault list by extracting faults for nodes included in the logic cone;
An ATPG execution step for automatically generating the test pattern based on the failure list and the net list is shown.
The logic cone extraction step includes
Identifying a logic cone having the starting point shown in the start / end list as a vertex;
The failure list generation step includes:
A test pattern generation program including a step of generating the fault list by excluding nodes not included in the logic cone from all nodes included in the combinational circuit.
請求項11に記載のテストパタン生成プログラムにおいて、
前記故障検出領域は、
情報を記憶する機能を有する記憶回路を含み、
前記始終点リストは、
前記記憶回路の始点または終点となる端子の情報を含む
テストパタン生成プログラム。
In the test pattern generation program according to claim 11,
The failure detection area is
Including a memory circuit having a function of storing information;
The start / end list is
A test pattern generation program including information on a terminal which is a start point or an end point of the storage circuit.
請求項12に記載のテストパタン生成プログラムにおいて、
前記記憶回路は、
スキャンチェインに含まれないフリップフロップを含み、
前記始終点リストは、
前記フリップフロップの始点または終点となる端子の情報を含む
テストパタン生成プログラム。
The test pattern generation program according to claim 12,
The memory circuit is
Including flip-flops not included in the scan chain,
The start / end list is
A test pattern generation program including information on a terminal which is a start point or an end point of the flip-flop.
請求項13に記載のテストパタン生成プログラムにおいて、
前記ロジックコーン抽出ステップは、
前記終点を頂点とする入力側のロジックコーンを抽出する入力側ロジックコーン解析ステップと、
前記始点を頂点とする出力側のロジックコーンを抽出する出力側ロジックコーン解析ステップと
を含む
テストパタン生成プログラム。
In the test pattern generation program according to claim 13,
The logic cone extraction step includes
An input side logic cone analyzing step for extracting an input side logic cone having the end point as a vertex;
An output-side logic cone analyzing step for extracting an output-side logic cone having the start point as a vertex.
請求項11から14の何れか一項に記載のテストパタン生成プログラムにおいて、さらに、
前記ロジックコーンの未検出故障を絞り込む未検出故障リスト生成ステップを実行するための手順を示し、
前記未検出故障リスト生成ステップは、
すでに検出済みの故障を示す既存パタン検出故障リストを読み出すステップと、
前記故障リストに示されている故障と前記既存パタン検出故障リストに示されている故障とを比較して、合致しない故障を抽出し前記未検出故障リストを生成するステップとを含み、
前記ATPG実行ステップは、
前記未検出故障リストと前記ネットリストとに基づいて、前記テストパタンを自動的に生成するステップを含む
テストパタン生成プログラム。
The test pattern generation program according to any one of claims 11 to 14, further comprising:
Shows a procedure for performing an undetected fault list generation step of narrowing down the undetected faults of the logic cone;
The undetected fault list generation step includes:
Reading an existing pattern detection fault list indicating faults that have already been detected;
Comparing the faults shown in the fault list with the faults shown in the existing pattern detected fault list to extract faults that do not match and generating the undetected fault list,
The ATPG execution step includes
A test pattern generation program including a step of automatically generating the test pattern based on the undetected fault list and the net list.
JP2011073284A 2011-03-29 2011-03-29 Test pattern generation system, test pattern generation method, and test pattern generation program Withdrawn JP2012207993A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011073284A JP2012207993A (en) 2011-03-29 2011-03-29 Test pattern generation system, test pattern generation method, and test pattern generation program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011073284A JP2012207993A (en) 2011-03-29 2011-03-29 Test pattern generation system, test pattern generation method, and test pattern generation program

Publications (1)

Publication Number Publication Date
JP2012207993A true JP2012207993A (en) 2012-10-25

Family

ID=47187866

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011073284A Withdrawn JP2012207993A (en) 2011-03-29 2011-03-29 Test pattern generation system, test pattern generation method, and test pattern generation program

Country Status (1)

Country Link
JP (1) JP2012207993A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016080380A1 (en) * 2014-11-18 2016-05-26 学校法人早稲田大学 Method of detecting hardware trojan, program for detecting hardware trojan, and device for detecting hardware trojan
WO2023272424A1 (en) * 2021-06-28 2023-01-05 华为技术有限公司 Circuit verification method and apparatus based on automatic test pattern generation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016080380A1 (en) * 2014-11-18 2016-05-26 学校法人早稲田大学 Method of detecting hardware trojan, program for detecting hardware trojan, and device for detecting hardware trojan
WO2023272424A1 (en) * 2021-06-28 2023-01-05 华为技术有限公司 Circuit verification method and apparatus based on automatic test pattern generation

Similar Documents

Publication Publication Date Title
JP4729007B2 (en) Power consumption analysis apparatus and power consumption analysis method
JP5471432B2 (en) Verification support program and verification support device
US8683282B2 (en) Automatic identification of information useful for generation-based functional verification
CN103838894B (en) Method for achieving automatic PDK testing
EP1812878A1 (en) Assertion generating system, program thereof, circuit verifying system, and assertion generating method
US10078714B2 (en) Data propagation analysis for debugging a circuit design
JP2008065496A (en) Power consumption peak estimation program for lsi and its device
US10997332B1 (en) System and method for computing electrical over-stress of devices associated with an electronic design
CN103853863B (en) Implementation method for PDK (process design kit) automatic test interface
US8266573B2 (en) Method and system for test point insertion
CN105022692A (en) Deadlock detection verification method
JP2012207993A (en) Test pattern generation system, test pattern generation method, and test pattern generation program
JP4477054B2 (en) Counterexample analysis support device
EP2071480A1 (en) Signal selecting apparatus, circuit amending apparatus, circuit simulator, circuit emulator, method of signal selection and program
Ravi et al. TAO: Regular expression-based register-transfer level testability analysis and optimization
JP5292164B2 (en) Failure diagnosis method and failure diagnosis system
CN112858891B (en) Automatic detection method for circuit sensitive node
CN112347723B (en) Layout-based ROM code extraction verification method and device
JP2008243092A (en) Multi-cycle path detection device, multi-cycle path detection method, and multi-cycle path detection program
JP2002269169A (en) Automatic circuit verifying device
US11941335B1 (en) Providing concise data for analyzing checker completeness
CN110990263B (en) Automatic generator and generation method of test case set
JP5262678B2 (en) Behavioral synthesis system, behavioral synthesis method, and behavioral synthesis program
US11442106B2 (en) Method and apparatus for debugging integrated circuit systems using scan chain
Chun et al. DiSC: A new diagnosis method for multiple scan chain failures

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140603