JP2012099549A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2012099549A
JP2012099549A JP2010243882A JP2010243882A JP2012099549A JP 2012099549 A JP2012099549 A JP 2012099549A JP 2010243882 A JP2010243882 A JP 2010243882A JP 2010243882 A JP2010243882 A JP 2010243882A JP 2012099549 A JP2012099549 A JP 2012099549A
Authority
JP
Japan
Prior art keywords
film
forming
insulating film
electrode
metal electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010243882A
Other languages
Japanese (ja)
Inventor
Riichiro Mihashi
理一郎 三橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2010243882A priority Critical patent/JP2012099549A/en
Publication of JP2012099549A publication Critical patent/JP2012099549A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To achieve a semiconductor device with high yield and high performance by avoiding complicated steps and obtaining high effective work function value.SOLUTION: P-type source/drain regions 25p are formed on both sides of a dummy electrode 22 in an n-type active region 13 by introducing p-type impurity ions into the n-type active region 13 using the dummy electrode 22 as a mask, and then the formed source/drain regions 25 are heat-treated. After the heat treatment, an interlayer insulating film 26 is formed on the n-type active region 13 so as to cover the dummy electrode 22. The dummy electrode 22 is exposed from the formed interlayer insulating film 26, and then the exposed dummy electrode 22 is removed. Subsequently, a second metal electrode 27 is selectively formed in a recess 26a from which the dummy electrode 22 in the interlayer insulating film 26 is removed.

Description

本発明は、ゲート電極に金属を用いる半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device using a metal for a gate electrode.

近年、半導体装置に関して、低消費電力化と動作の高速化とが要求されている。半導体装置の高速化を実現するために、例えばMISFET(Metal Insulator Semiconductor Field Effect Transistor)のゲート容量を増大させることにより、該MISFETの駆動電流を増加させる方法が採用されている。ゲート容量を増大するには、ゲート絶縁膜を薄膜化して基板とゲート電極との間隔を小さくする必要がある。この要求に応えるため、現在、MISFETにおけるゲート絶縁膜の物理膜厚は、SiON(シリコン酸窒化物)を用いた場合に、2nm程度にまで薄膜化されている。しかしながら、ゲート絶縁膜を薄膜化するだけでは、ゲートリークが増大するという問題が生じる。そこで、ゲート絶縁膜を薄膜化しつつゲートリークを抑えるために、シリコン酸化物(SiO)系の材料に代えて、Hf(ハフニウム)を含む酸化物等である高誘電体材料をゲート絶縁膜として用いることが検討されている。 In recent years, semiconductor devices are required to have low power consumption and high speed operation. In order to increase the speed of the semiconductor device, for example, a method of increasing the drive current of the MISFET by increasing the gate capacitance of a MISFET (Metal Insulator Semiconductor Field Effect Transistor) is employed. In order to increase the gate capacitance, it is necessary to reduce the distance between the substrate and the gate electrode by thinning the gate insulating film. In order to meet this requirement, the physical film thickness of the gate insulating film in the MISFET is currently reduced to about 2 nm when SiON (silicon oxynitride) is used. However, there is a problem that gate leakage increases only by reducing the thickness of the gate insulating film. Therefore, in order to suppress gate leakage while reducing the thickness of the gate insulating film, a high dielectric material such as an oxide containing Hf (hafnium) is used as the gate insulating film instead of a silicon oxide (SiO 2 ) -based material. Use is under consideration.

また、ゲート絶縁膜の薄膜化に伴い、これまで用いられてきた多結晶シリコン(ポリシリコン)からなるゲート電極では、ゲート電極が空乏化してゲート容量が逆に低下するという問題も生じている。ゲート電極の空乏化によるゲート容量の低下量は、例えばシリコン酸化物(SiO)からなるゲート絶縁膜の膜厚に換算すると、膜厚を約0.5nm分だけ増加させることに相当する。ゲート電極の空乏化を抑えることができれば、ゲートリークを増大させることなく、ゲート絶縁膜の実効的な膜厚を薄くすることができる。ゲート絶縁膜がSiOの場合に、膜厚を0.1nmだけ薄くすると、薄膜化する前と比べてリーク電流が10倍以上にまで増大する。このため、ゲート電極の空乏化を抑制することにより、実効的なゲート絶縁膜の膜厚を薄くすることの効果は極めて大きい。 Further, with the thinning of the gate insulating film, the gate electrode made of polycrystalline silicon (polysilicon) that has been used until now has a problem that the gate electrode is depleted and the gate capacitance is reduced. The amount of decrease in the gate capacitance due to depletion of the gate electrode corresponds to increasing the film thickness by about 0.5 nm when converted to the film thickness of the gate insulating film made of, for example, silicon oxide (SiO 2 ). If depletion of the gate electrode can be suppressed, the effective thickness of the gate insulating film can be reduced without increasing gate leakage. In the case where the gate insulating film is SiO 2 , if the film thickness is reduced by 0.1 nm, the leakage current increases to 10 times or more compared to before the thinning. For this reason, the effect of reducing the thickness of the effective gate insulating film by suppressing the depletion of the gate electrode is extremely large.

そこで、ゲート電極の空乏化を抑制又は回避するため、ゲート電極の構成材料を多結晶シリコンから空乏化が生じない金属に置き換える検討がなされている。ところが、多結晶シリコンは、不純物の添加により不純物準位を形成することが可能であり、p型MISFET用電極とn型MISFET用電極とを作り分けることができる。これに対し、金属を用いたゲート電極の場合は、多結晶シリコンのように不純物の添加による作り分けができない。このため、p側領域の仕事関数(WF)値とn側領域の仕事関数(WF)値とのほぼ中央に相当する仕事関数(WF)値を有する金属を、p型MISFET用電極及びn型MISFET用電極に共通の材料として用いることにより、p型MISFETとn型MISFETとが互いに同一の閾値電圧Vtを持つように設計している。   Therefore, in order to suppress or avoid depletion of the gate electrode, studies have been made to replace the constituent material of the gate electrode with a metal that does not cause depletion from polycrystalline silicon. However, polycrystalline silicon can form an impurity level by adding an impurity, and can separately form a p-type MISFET electrode and an n-type MISFET electrode. On the other hand, in the case of a gate electrode using a metal, it cannot be made differently by adding impurities as in the case of polycrystalline silicon. For this reason, a metal having a work function (WF) value substantially corresponding to the center of the work function (WF) value of the p-side region and the work function (WF) value of the n-side region is converted into a p-type MISFET electrode and an n-type electrode. By using it as a common material for the MISFET electrode, the p-type MISFET and the n-type MISFET are designed to have the same threshold voltage Vt.

近年では、MISFETに、より高速な動作が要求されることから、低閾値電圧化が不可欠であり、p型MISFET用電極及びn型MISFET用電極の各々が、シリコンのバンドエッジに近い仕事関数(WF)値を有することが必要となってきている。なお、ここでいうバンドエッジとは、p側領域においては、シリコンの価電子帯の上部(トップエッジ)の仕事関数値(=約5.2eV)に近い高WF値を意味し、n側領域においては、シリコンの伝導帯の底部(ボトムエッジ)の仕事関数値(=約4.1eV)に近い低WF値を意味している。このため、p側領域のWF値とn側領域のWF値とのほぼ中央に相当するWF値を有する金属を、p型MISFET及びn型MISFETの電極材料とした半導体装置は、もはや実用的ではなくなってきている。   In recent years, since MISFETs are required to operate at higher speeds, it is indispensable to lower the threshold voltage. Each of the p-type MISFET electrode and the n-type MISFET electrode has a work function close to the band edge of silicon ( It has become necessary to have a (WF) value. The band edge here means a high WF value close to the work function value (= about 5.2 eV) of the upper part (top edge) of the valence band of silicon in the p-side region, and the n-side region. Is a low WF value close to the work function value (= about 4.1 eV) at the bottom (bottom edge) of the conduction band of silicon. For this reason, a semiconductor device in which a metal having a WF value substantially corresponding to the center of the WF value in the p-side region and the WF value in the n-side region is used as an electrode material for the p-type MISFET and the n-type MISFET is no longer practical. It is gone.

現在、p型MISFET及びn型MISFETのゲート電極として使用できる金属材料の探索が盛んに行われている。しかし、常温で適当なWF値を示す材料であっても、ソースドレインの活性化等の高温処理を経ると、適当なWF値が変動するということが明らかとなってきている。   At present, a search for metal materials that can be used as gate electrodes of p-type MISFETs and n-type MISFETs has been actively conducted. However, it has become clear that even if a material exhibits an appropriate WF value at room temperature, the appropriate WF value fluctuates after a high temperature treatment such as activation of the source and drain.

特開2010−098157号公報JP 2010-098157 A 特開2009−194352号公報JP 2009-194352 A 特開2010−135735号公報JP 2010-135735 A

S. Kubicek et al, "IEDM Tech Dig.", 2007年, p.49S. Kubicek et al, "IEDM Tech Dig.", 2007, p. 49 P. D. Kirsch, "IEDM", 2006年, p.629P. D. Kirsch, "IEDM", 2006, p. 629

上述のゲート電極に用いた金属のWF値が高温処理後に変動するという問題に対して、現在のところ、主に2つの対策がなされている。   At present, mainly two countermeasures have been taken against the problem that the WF value of the metal used for the gate electrode fluctuates after the high temperature treatment.

第1の対策は、金属電極が形成されるゲート電極形成領域にポリシリコン又はシリコン酸化物系材料をダミー電極として形成してMISFETを作製し、必要な高温熱処理を実施した後に、ダミー電極を除去し、さらに除去した部分に金属電極を形成するという方法である。このように、ゲート構造を最後に形成することから、ゲートラスト方式(リプレイスメントゲート、ダマシンゲート)と呼ばれる場合が多い。ゲートラスト方式においては、電極を加工(パターニング)した後に、ダミーゲートを覆うように層間絶縁膜を形成し、その後、化学機械研磨(CMP:Chemical mechanical polish)等により層間絶縁膜を平坦化しつつ除去し、ダミーゲート部分を露出する、いわゆる頭出しを行う場合が多い。その後、ダミーゲートを除去して、所望の金属電極を堆積する。従って、p型MISFETとn型MISFETとでそれぞれ必要な仕事関数(WF)値を得るために、p型MISFETとn型MISFETとでそれぞれ異なる電極材料を堆積する必要がある。このため、ダミーゲートを全面で除去し、p型MISFET用(又はn型MISFET用)の金属電極を全面に堆積し、その後、n型MISFET領域(又はp型MISFET領域)に形成された電極を除去し、今度は、n型MISFET用(又はp型MISFET用)の金属電極を堆積する。その結果、ゲート絶縁膜は、2回の除去洗浄を経ることとなり、ゲート絶縁膜に膜べりが発生して、MISFETの電気特性の変動及び信頼性の劣化を引き起こすおそれが高い。   The first countermeasure is to form a MISFET by forming polysilicon or a silicon oxide-based material as a dummy electrode in the gate electrode formation region where the metal electrode is formed, and after performing the necessary high-temperature heat treatment, the dummy electrode is removed. Then, a metal electrode is formed on the removed portion. Since the gate structure is formed last in this way, it is often called a gate last method (replacement gate, damascene gate). In the gate last method, after processing (patterning) the electrode, an interlayer insulating film is formed so as to cover the dummy gate, and then the interlayer insulating film is removed while being planarized by CMP (Chemical Mechanical Polish) etc. In many cases, so-called cueing is performed to expose the dummy gate portion. Thereafter, the dummy gate is removed and a desired metal electrode is deposited. Therefore, in order to obtain a work function (WF) value required for each of the p-type MISFET and the n-type MISFET, it is necessary to deposit different electrode materials for the p-type MISFET and the n-type MISFET. For this reason, the dummy gate is removed over the entire surface, a metal electrode for p-type MISFET (or n-type MISFET) is deposited on the entire surface, and then an electrode formed in the n-type MISFET region (or p-type MISFET region) is deposited. Then, a metal electrode for n-type MISFET (or p-type MISFET) is deposited. As a result, the gate insulating film undergoes removal cleaning twice, and there is a high possibility that the gate insulating film will be damaged, resulting in fluctuations in electrical characteristics and deterioration in reliability of the MISFET.

上記の特許文献1においては、ダミーゲート構造をポリシリコン(Si)とチタンナイトライド(TiN)との2層構造とし、ポリシリコン部分のみを除去する方法が示されている。この方法を用いれば、ゲート絶縁膜の膜べりは回避できるものの、適当な有効仕事関数(eWF)値を得るために、p型MISFET用の電極材料及びn型MISFET用の電極材料をそれぞれの領域に形成する必要がある。ここで、有効仕事関数(eWF)とは、MISFETのSi基板側に作用している実効的な仕事関数である。MISFETのVt(閾値電圧)又はVfb(フラットバンド電圧)は、理論的には、電極金属の仕事関数と、基板を構成するSiの物理定数及び不純物とから決定されるが、実際にはゲート絶縁膜中の電荷及び絶縁膜との界面に存在するダイポールの影響によって変動する。ここでは、それら全ての影響を分離せず、電極の仕事関数として算出した値を有効仕事関数と呼ぶ。このため、第1の金属電極の全面の堆積、一部のFETにおける第1の金属電極の除去、及び該一部のFETにおける第2の金属電極の再堆積が必要であることは同様である。このため、第1の金属電極の膜べりは回避することができない。また、ゲート構造の寸法は、年々小さくなっており、メタルゲートを適用する世代では40nm以下となる。幅が40nm以下のトレンチ(凹部)に第1の金属電極を埋め込むため、埋め込まれた第1の金属電極を除去する際には、トレンチの底部に第1の金属電極が残ったり、また、第2の金属電極の埋め込み不良が発生したりするなどの問題が生じり。このため、金属電極の堆積と除去とを2回繰り返すことは、歩留まりの低下に直結する。   In Patent Document 1 described above, a method is shown in which the dummy gate structure is a two-layer structure of polysilicon (Si) and titanium nitride (TiN), and only the polysilicon portion is removed. Although this method can prevent the gate insulating film from slipping, in order to obtain an appropriate effective work function (eWF) value, the electrode material for the p-type MISFET and the electrode material for the n-type MISFET are respectively provided in the respective regions. Need to be formed. Here, the effective work function (eWF) is an effective work function acting on the Si substrate side of the MISFET. The Vt (threshold voltage) or Vfb (flat band voltage) of the MISFET is theoretically determined from the work function of the electrode metal and the physical constants and impurities of Si constituting the substrate. It fluctuates due to the electric charge in the film and the influence of the dipole existing at the interface with the insulating film. Here, all the influences are not separated, and the value calculated as the work function of the electrode is called an effective work function. Therefore, it is necessary to deposit the entire surface of the first metal electrode, to remove the first metal electrode in some FETs, and to redeposit the second metal electrode in some FETs. . For this reason, film slippage of the first metal electrode cannot be avoided. Moreover, the dimension of the gate structure is getting smaller year by year, and it is 40 nm or less in the generation to which the metal gate is applied. Since the first metal electrode is embedded in the trench (recess) having a width of 40 nm or less, when removing the embedded first metal electrode, the first metal electrode remains at the bottom of the trench, Problems such as the occurrence of defective filling of the metal electrode 2 occur. For this reason, repeating the deposition and removal of the metal electrode twice directly leads to a decrease in yield.

第2の対策は、高誘電体膜とゲート電極との間に有効仕事関数(eWF)値を制御又は調整(変調)するキャップ材を堆積し、ゲート絶縁膜の内部又は高誘電体膜と金属との界面にダイポールを形成することにより、該eWF値を制御するという方法である(例えば、非特許文献1を参照。)。例えば、酸化ランタン(LaO)はeWF値を低下する効果が知られており、n型MISFETのゲート電極を形成するためのキャップ材料として期待されている(例えば、非特許文献2を参照。)。また、逆に酸化アルミニウム(AlO)はeWF値を増大する効果が知られており、p型MISFETのゲート電極を形成するためのキャップ材料として期待されている。   As a second countermeasure, a cap material for controlling or adjusting (modulating) an effective work function (eWF) value is deposited between the high dielectric film and the gate electrode, and the inside of the gate insulating film or the high dielectric film and the metal In this method, the eWF value is controlled by forming a dipole at the interface (see Non-Patent Document 1, for example). For example, lanthanum oxide (LaO) is known to have an effect of lowering the eWF value, and is expected as a cap material for forming a gate electrode of an n-type MISFET (see, for example, Non-Patent Document 2). Conversely, aluminum oxide (AlO) is known to have an effect of increasing the eWF value, and is expected as a cap material for forming a gate electrode of a p-type MISFET.

また、上記の特許文献2に記載されているように、現在は、このLaOとAlOとを用いた相補型MOS(CMOS:Complementary Metal Oxide Semiconductor)回路の開発が行われている。この場合、トランジスタの形成方法は従来通り、ゲート構造を熱処理する前に形成するため、ゲートファースト方式と呼ばれる方法となる。また、ゲートファースト構造のメタルゲートの場合は、従来のポリシリコン電極で構築された後工程フローとの整合性等の理由から、金属電極の上にポリシリコンを堆積した2層構造の電極とする場合が多く、この構造はMIPS(Metal Inserted Poly Silicon)構造と呼ばれる。   Further, as described in the above-mentioned Patent Document 2, a complementary metal oxide semiconductor (CMOS) circuit using LaO and AlO is currently being developed. In this case, the method for forming the transistor is a method called a gate first method because the gate structure is formed before heat treatment as usual. In addition, in the case of a metal gate having a gate-first structure, a two-layer electrode in which polysilicon is deposited on a metal electrode is used for reasons such as consistency with a post-process flow constructed with a conventional polysilicon electrode. In many cases, this structure is called a MIPS (Metal Inserted Poly Silicon) structure.

ゲートファースト方式は、ゲートラスト方式と比較して、加工上の問題は少ないが、eWF値の制御が極めて困難である。特にp型MISFETは、熱処理後にeWF値が低下する金属材料が多いことや、ダイポール形成材料である酸化アルミニウム(AlO)は誘電率が低いことから、ゲート絶縁膜の容量を低減してしまう。このため、十分なeWF値を得られる程度にまで、AlOの使用量を増やすことができないなど、十分に高いeWF値を得ることができないという問題がある。   The gate-first method has fewer processing problems than the gate-last method, but it is extremely difficult to control the eWF value. In particular, the p-type MISFET has a large amount of metal material whose eWF value decreases after heat treatment, and aluminum oxide (AlO), which is a dipole forming material, has a low dielectric constant, and thus reduces the capacity of the gate insulating film. For this reason, there is a problem that a sufficiently high eWF value cannot be obtained, for example, the amount of AlO used cannot be increased to such an extent that a sufficient eWF value can be obtained.

以上説明したように、ゲートラスト方式においては、製造工程の複雑さ、特に極細トレンチ部分でのp型MISFETとn型MISFETとの作り分け工程に問題が生じ、また、ゲートファースト方式においては、WF値の制御、特にp型MISFETの高eWF値化の実現が、主なメタルゲートCMOSの開発の問題となっている。   As described above, in the gate last method, there is a problem in the complexity of the manufacturing process, in particular, in the process of separately forming the p-type MISFET and the n-type MISFET in the ultrafine trench portion, and in the gate-first method, the WF The control of the value, especially the realization of the high eWF value of the p-type MISFET, is a major problem in the development of the metal gate CMOS.

本発明は、前記の問題を解決し、複雑な工程を回避すると共に、高い有効仕事関数(eWF)値を得ることにより、高歩留まり及び高性能の半導体装置を実現できるようにすることを目的とする。   An object of the present invention is to solve the above-mentioned problems, avoid complicated processes, and obtain a high effective work function (eWF) value, thereby realizing a high-yield and high-performance semiconductor device. To do.

前記の目的を達成するため、本発明は、半導体装置の製造方法を、まず、第1の金属電極とその上にダミー電極を形成した状態でパターニング並びに拡散領域の形成及び熱処理を行い、その後、ダミー電極を第2の金属電極で置換する構成とする。   In order to achieve the above object, the present invention provides a method for manufacturing a semiconductor device. First, patterning and forming a diffusion region and heat treatment are performed with a first metal electrode and a dummy electrode formed thereon, The dummy electrode is replaced with a second metal electrode.

具体的に、本発明に係る半導体装置の製造方法は、第1導電型の半導体領域の上にゲート絶縁膜形成膜を形成する工程と、ゲート絶縁膜形成膜の上に第1の金属電極形成膜を形成する工程と、第1の金属電極形成膜の上にダミー電極形成膜を形成する工程と、ダミー電極形成膜、第1の金属電極形成膜及びゲート絶縁膜形成膜をパターニングすることにより、ダミー電極形成膜からダミー電極を形成し、第1の金属電極形成膜から第1の金属電極を形成し、ゲート絶縁膜形成膜からゲート絶縁膜を形成する工程と、ダミー電極をマスクとして、半導体領域に第2導電型の不純物イオンを導入することにより、半導体領域におけるダミー電極の両側方の領域に拡散領域を形成し、形成された拡散領域に対して熱処理を施す工程と、熱処理を施した後に、半導体領域の上にダミー電極を覆うように層間絶縁膜を形成する工程と、形成された層間絶縁膜からダミー電極を露出し、層間絶縁膜から露出したダミー電極を除去する工程と、層間絶縁膜におけるダミー電極が除去された凹部に、第2の金属電極を選択的に形成する工程とを備えている。   Specifically, the method for manufacturing a semiconductor device according to the present invention includes a step of forming a gate insulating film forming film on a first conductivity type semiconductor region, and a first metal electrode forming on the gate insulating film forming film. A step of forming a film, a step of forming a dummy electrode formation film on the first metal electrode formation film, and patterning the dummy electrode formation film, the first metal electrode formation film, and the gate insulating film formation film Forming a dummy electrode from the dummy electrode forming film, forming a first metal electrode from the first metal electrode forming film, and forming a gate insulating film from the gate insulating film forming film; and using the dummy electrode as a mask, By introducing impurity ions of the second conductivity type into the semiconductor region, a diffusion region is formed in regions on both sides of the dummy electrode in the semiconductor region, and a heat treatment is performed on the formed diffusion region; A step of forming an interlayer insulating film on the semiconductor region so as to cover the dummy electrode; a step of exposing the dummy electrode from the formed interlayer insulating film; and a step of removing the dummy electrode exposed from the interlayer insulating film; And a step of selectively forming a second metal electrode in the recess from which the dummy electrode in the insulating film is removed.

本発明の半導体装置の製造方法によると、ゲート絶縁膜の上に第1の金属電極及びダミー電極を形成し、この状態で拡散領域を形成して熱処理を施す。その後、ダミー電極を除去し、除去した部分に第2の金属電極を形成する。従って、ダミー電極を除去する際には、ゲート絶縁膜が露出しないため、該ゲート絶縁膜に膜べり等のダメージを被るおそれがない。また、第2の金属電極は、熱処理の後に形成されるため、特に、p型の半導体装置(p型MISFET)の場合には、有効仕事関数(eWF)値が低下することもない。従って、複雑な工程を回避すると共に高いeWF値を得られるので、高歩留まり及び高性能の半導体装置を実現することができる。   According to the semiconductor device manufacturing method of the present invention, the first metal electrode and the dummy electrode are formed on the gate insulating film, and in this state, the diffusion region is formed and heat treatment is performed. Thereafter, the dummy electrode is removed, and a second metal electrode is formed in the removed portion. Therefore, when the dummy electrode is removed, the gate insulating film is not exposed, so that the gate insulating film is not likely to be damaged such as a film slip. In addition, since the second metal electrode is formed after the heat treatment, the effective work function (eWF) value is not lowered particularly in the case of a p-type semiconductor device (p-type MISFET). Therefore, a complicated process can be avoided and a high eWF value can be obtained, so that a high yield and high performance semiconductor device can be realized.

本発明の半導体装置の製造方法において、第1の金属電極は、チタンナイトライドからなっていてもよい。   In the method for manufacturing a semiconductor device of the present invention, the first metal electrode may be made of titanium nitride.

また、本発明の半導体装置の製造方法において、第2の金属電極は、チタンナイトライドからなっていてもよい。   In the method for manufacturing a semiconductor device of the present invention, the second metal electrode may be made of titanium nitride.

本発明の半導体装置の製造方法において、ゲート絶縁膜は、ハフニウムを含んでいてもよい。   In the method for manufacturing a semiconductor device of the present invention, the gate insulating film may contain hafnium.

この場合に、本発明の半導体装置の製造方法は、ゲート絶縁膜形成膜を形成する工程と第1の金属電極形成膜を形成する工程との間に、ゲート絶縁膜形成膜の上に、アルミニウムを含む第1のキャップ膜を形成する工程をさらに備え、第1の金属電極形成膜は、第1のキャップ膜の上に形成し、第1のキャップ膜は、第1の金属電極形成膜と共にパターニングしてもよい。   In this case, the method for manufacturing a semiconductor device according to the present invention provides an aluminum film on the gate insulating film forming film between the step of forming the gate insulating film forming film and the step of forming the first metal electrode forming film. And forming a first cap film including the first metal electrode forming film on the first cap film, and the first cap film together with the first metal electrode forming film. Patterning may be performed.

このようにすると、第2導電型がp型である場合に、ゲート絶縁膜にアルミニウムが拡散することにより、金属電極のeWF値を上昇させるため、p型トランジスタの特性が良好となる。   In this case, when the second conductivity type is p-type, the diffusion of aluminum into the gate insulating film increases the eWF value of the metal electrode, so that the characteristics of the p-type transistor are improved.

またこの場合に、本発明の半導体装置の製造方法は、ゲート絶縁膜形成膜を形成する工程と第1の金属電極形成膜を形成する工程との間に、ゲート絶縁膜形成膜の上に、ランタンを含む第2のキャップ膜を形成する工程と、第2のキャップ膜を加熱することにより、ゲート絶縁膜形成膜にランタンを拡散する工程と、加熱した後に、第2のキャップ膜を除去する工程とをさらに備えていてもよい。   In this case, the method of manufacturing a semiconductor device according to the present invention includes a step of forming a gate insulating film forming film and a step of forming a first metal electrode forming film on the gate insulating film forming film. A step of forming a second cap film containing lanthanum, a step of diffusing lanthanum into the gate insulating film formation film by heating the second cap film, and removing the second cap film after heating. And a process.

このようにすると、第2導電型がn型である場合に、ゲート絶縁膜にランタンが拡散することにより、金属電極のeWF値を低下させるため、n型トランジスタの特性が良好となる。   In this case, when the second conductivity type is n-type, lanthanum diffuses into the gate insulating film, thereby reducing the eWF value of the metal electrode, and the characteristics of the n-type transistor are improved.

本発明の半導体装置の製造方法は、ダミー電極を除去する工程において、アンモニア−過酸化水素水からなる溶液を用いてもよい。   In the method for manufacturing a semiconductor device of the present invention, a solution comprising ammonia-hydrogen peroxide solution may be used in the step of removing the dummy electrode.

本発明の半導体装置の製造方法において、第2の金属電極は、第1の金属電極と比べてゲート長方向の幅が広く形成されていてもよい。   In the method for manufacturing a semiconductor device of the present invention, the second metal electrode may be formed wider in the gate length direction than the first metal electrode.

ところで、チタンナイトライド(TiN)等の金属は、有効仕事関数(eWF)値に電極の膜厚依存性があり、電極の膜厚が厚くなる程eWF値が高くなること、また、eWF値は熱処理が施されると低下してしまうことなどが分かっている。なお、膜厚が厚い程eWF値が高いという膜厚依存性は、熱処理の有無に影響されない。   By the way, metals such as titanium nitride (TiN) have an effective work function (eWF) value dependent on the film thickness of the electrode, and the eWF value increases as the electrode film thickness increases, and the eWF value is It has been found that when heat treatment is applied, it decreases. Note that the film thickness dependency that the eWF value increases as the film thickness increases is not affected by the presence or absence of heat treatment.

さらに、本願発明者は、種々の実験を行った結果、TiN等の金属電極は、熱処理によりeWF値が低下しても、その金属電極の上に、熱処理を経ていない電極材料を再度堆積すれば、eWF値が上昇するという知見を得ている。   Furthermore, as a result of various experiments, the inventor of the present application has found that a metal electrode such as TiN can be deposited again on the metal electrode even if the eWF value is lowered by the heat treatment. The knowledge that eWF value rises has been obtained.

図1は上記の知見を説明するグラフ及び模式図であって、処理(a)〜(e)の5通りに形成されたチタンナイトライド(TiN)からなる金属電極のそれぞれのeWF値を表している。処理(a)に示す膜厚が19nmのTiNで且つアニールを行わないeWF値に対して、処理(b)のように、800℃の熱処理を加えると、熱処理されたTiNのeWF値は低下する。しかしながら、処理(c)〜(e)の場合に示すように、TiNを堆積し、アニール処理を行い、その後再度TiNを堆積した場合は、その場合のeWF値はアニールのみを行った処理(b)と比べて高くなる。そのeWF値の増加量は、アニール後に堆積するTiNの膜厚が厚くなるにつれて大きくなる。なお、処理(e)を例に採ると、最初に膜厚が4nmのTiN膜を堆積し、続いて800℃のアニールを施し、その後、膜厚が15nmのTiN膜をさらに堆積するという処理を表している。従って、処理(e)からは、処理(a)の膜厚が19nmでアニールされないTiNとほぼ変わらないeWF値を得られることが分かる。   FIG. 1 is a graph and a schematic diagram for explaining the above-mentioned knowledge, and represents the respective eWF values of metal electrodes made of titanium nitride (TiN) formed in five ways of treatments (a) to (e). Yes. When the heat treatment at 800 ° C. is applied to the eWF value of TiN having a thickness of 19 nm shown in treatment (a) and not annealed as in treatment (b), the eWF value of the heat-treated TiN is lowered. . However, as shown in the cases of the treatments (c) to (e), when TiN is deposited and annealed, and then TiN is deposited again, the eWF value in that case is the treatment (b) ) And higher. The amount of increase in the eWF value increases as the thickness of TiN deposited after annealing increases. Taking the process (e) as an example, a process of first depositing a TiN film having a thickness of 4 nm, subsequently performing annealing at 800 ° C., and then further depositing a TiN film having a thickness of 15 nm. Represents. Therefore, it can be seen from the treatment (e) that an eWF value that is substantially the same as TiN that is not annealed at a thickness of 19 nm can be obtained from the treatment (a).

この知見を利用し、例えば上記の特許文献3等に記載された方法である、MIPS構造を採るゲートファースト方式により、p型MISFETとn型MISFETとの作り分けを行うメタルゲートCMOSを構築した後に、ダミー電極であるポリシリコン膜を除去し、アニールを経ていないTiN等の電極材料に置き換えれば、p型MISFETとn型MISFETとの複雑な作り分けの工程を経ないゲートラスト方式の追加によって、より高いeWF値を得ることができる。このとき、低いeWF値が必要なn型MISFETのeWF値も上昇してしまうが、n型MISFETのeWF値は、誘電率が高くダイポールの効果が大きい酸化ランタン(LaO)等によってeWF値の調整が比較的に容易である。従って、第2の金属電極の堆積により、eWF値の向上を見込んだeWF値の設定が可能である。   Utilizing this knowledge, for example, after constructing a metal gate CMOS that separates p-type MISFETs and n-type MISFETs by a gate-first method that adopts the MIPS structure, which is a method described in Patent Document 3 above. If the polysilicon film that is a dummy electrode is removed and replaced with an electrode material such as TiN that has not been annealed, the addition of the gate-last method without the complicated separate process of p-type MISFET and n-type MISFET Higher eWF values can be obtained. At this time, the eWF value of the n-type MISFET that requires a low eWF value also increases. However, the eWF value of the n-type MISFET is adjusted by e.g. lanthanum oxide (LaO) having a high dielectric constant and a large dipole effect. Is relatively easy. Therefore, it is possible to set the eWF value in anticipation of an improvement in the eWF value by depositing the second metal electrode.

また、本発明によれば、ゲートファースト方式によりp型及びn型の各MISFETの作り分けを行うことにより、ゲートラスト方式におけるプロセスの複雑化を回避することができる。さらに、ゲートラスト方式により、p型及びn型の各MISFETにおけるeWF値を全体的に高い方向にシフトすることができるため、プロセス中の高温処理によるeWF値の低下を回避することができる。   In addition, according to the present invention, by making the p-type and n-type MISFETs differently by the gate first method, the process complexity in the gate last method can be avoided. Furthermore, since the eWF value in each of the p-type and n-type MISFETs can be shifted in the overall high direction by the gate last method, it is possible to avoid a decrease in the eWF value due to high-temperature processing during the process.

以上説明したように、ダミー電極を除去する際に、先に形成した第1の金属電極を除去することなく、MISFETに第2の金属電極を埋め込む構成とすることにより、ゲート絶縁膜が薬品に直接に曝されることがない。これにより、ゲート絶縁膜の信頼性の劣化(膜の原子レベルの欠陥生成)がなく、さらには、p型MISFETの閾値変動がない。従って、p型MISFET及びn型MISFETの双方のeWF値を適正値に合わせることができる。   As described above, when the dummy electrode is removed, the second metal electrode is embedded in the MISFET without removing the previously formed first metal electrode, so that the gate insulating film can be used as a chemical. There is no direct exposure. Thereby, there is no deterioration in the reliability of the gate insulating film (defect generation at the atomic level of the film), and there is no threshold fluctuation of the p-type MISFET. Therefore, the eWF values of both the p-type MISFET and the n-type MISFET can be adjusted to appropriate values.

本発明に係る半導体装置の製造方法によると、複雑な工程を回避すると共に高い有効仕事関数(eWF)値を得られることにより、高歩留まり及び高性能の半導体装置を実現することができる。   According to the semiconductor device manufacturing method of the present invention, a high yield and high performance semiconductor device can be realized by avoiding complicated processes and obtaining a high effective work function (eWF) value.

図1は本発明の効果を説明するためのチタンナイトライド(TiN)に対する各処理後の有効仕事関数(eWF)の値を示すグラフである。FIG. 1 is a graph showing the value of effective work function (eWF) after each treatment for titanium nitride (TiN) for explaining the effect of the present invention. 図2は本発明の一実施形態に係る半導体装置を示す模式的な断面図である。FIG. 2 is a schematic cross-sectional view showing a semiconductor device according to an embodiment of the present invention. 図3(a)〜図3(c)は本発明の一実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 3A to FIG. 3C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. 図4(a)〜図4(c)は本発明の一実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 4A to FIG. 4C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. 図5(a)〜図5(c)は本発明の一実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 5A to FIG. 5C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. 図6(a)〜図6(c)は本発明の一実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 6A to FIG. 6C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. 図7(a)及び図7(b)は本発明の一実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 7A and FIG. 7B are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to an embodiment of the present invention.

(一実施形態)
本発明の一実施形態について図2を参照しながら説明する。
(One embodiment)
An embodiment of the present invention will be described with reference to FIG.

図2に示すように、本実施形態に係る半導体装置は、p型トランジスタ領域10pに形成されたp型MISFETと、n型トランジスタ領域10nに形成されたn型MISFETとを有する相補型MIS(CMIS)構造を持つ半導体装置である。   As shown in FIG. 2, the semiconductor device according to the present embodiment includes a complementary MIS (CMIS) having a p-type MISFET formed in the p-type transistor region 10p and an n-type MISFET formed in the n-type transistor region 10n. ) A semiconductor device having a structure.

具体的には、例えば、シリコン(Si)からなる半導体基板11の上部に形成された、シャロウトレンチ分離(STI:Shallow Trench Isolation)からなる素子分離領域12によって、p型トランジスタ領域10pにはn型活性領域(n−ウェル)13が区画され、n型トランジスタ領域10nにはp型活性領域(p−ウェル)14が区画されて、互いに絶縁分離されている。   Specifically, for example, an n-type transistor region 10p is formed with an n-type by an element isolation region 12 made of shallow trench isolation (STI) formed on an upper portion of a semiconductor substrate 11 made of silicon (Si). An active region (n-well) 13 is defined, and a p-type active region (p-well) 14 is defined in the n-type transistor region 10n so as to be insulated from each other.

p型トランジスタ領域10pにおいて、n型活性領域13の上には、酸化シリコン(SiO)等からなる下地膜15と、高誘電体からなるゲート絶縁膜16と、酸化アルミニウム(AlO)からなる第1のキャップ膜17と、共にチタンナイトライド(TiN)等からなるゲート電極30を構成する第1の金属電極21及び第2の金属電極27とが順次積層されて形成されている。ゲート電極30の両側面上には、酸化シリコン又は窒化シリコン等からなるサイドウォール24が形成されている。 In the p-type transistor region 10p, on the n-type active region 13, a base film 15 made of silicon oxide (SiO 2 ) or the like, a gate insulating film 16 made of a high dielectric, and a first film made of aluminum oxide (AlO). A first cap electrode 17 and a first metal electrode 21 and a second metal electrode 27 constituting a gate electrode 30 made of titanium nitride (TiN) or the like are sequentially stacked. Sidewalls 24 made of silicon oxide, silicon nitride, or the like are formed on both side surfaces of the gate electrode 30.

n型活性領域13の上部におけるゲート電極30の両側方の領域には、p型のエクステンション領域23pが形成され、各エクステンション領域23pの外側の領域には、p型のソースドレイン領域25pがそれぞれエクステンション領域23pよりも深く且つ高濃度に形成されている。   A p-type extension region 23p is formed in a region on both sides of the gate electrode 30 above the n-type active region 13, and a p-type source / drain region 25p is provided in each region outside the extension region 23p. It is formed deeper than the region 23p and at a high concentration.

一方、n型トランジスタ領域10nにおいて、p型活性領域14の上には、酸化シリコン(SiO)等からなる下地膜15と、高誘電体からなるゲート絶縁膜16と、共にチタンナイトライド(TiN)等からなるゲート電極30を構成する第1の金属電極21及び第2の金属電極27とが順次積層されて形成されている。ゲート電極30の両側面上には、酸化シリコン等からなるサイドウォール24が形成されている。 On the other hand, in the n-type transistor region 10n, on the p-type active region 14, a base film 15 made of silicon oxide (SiO 2 ) or the like and a gate insulating film 16 made of a high dielectric material are both titanium nitride (TiN). The first metal electrode 21 and the second metal electrode 27 that constitute the gate electrode 30 made of or the like are sequentially laminated. Sidewalls 24 made of silicon oxide or the like are formed on both side surfaces of the gate electrode 30.

p型活性領域14の上部におけるゲート電極30の両側方の領域には、n型のエクステンション領域23nが形成され、各エクステンション領域23nの外側の領域には、n型のソースドレイン領域25nがそれぞれエクステンション領域23nよりも深く且つ高濃度に形成されている。   An n-type extension region 23n is formed in a region on both sides of the gate electrode 30 above the p-type active region 14, and an n-type source / drain region 25n is provided in the region outside each extension region 23n. It is formed deeper than the region 23n and at a high concentration.

ここで、両MISFETにおけるゲート絶縁膜16は、酸化ハフニウムシリコン(HfSiO)又は酸窒化ハフニウムシリコン(HfSiON)等を含む酸化ハフニウム(HfO)系の高誘電体膜である。   Here, the gate insulating film 16 in both MISFETs is a hafnium oxide (HfO) -based high dielectric film containing hafnium silicon oxide (HfSiO) or oxynitride hafnium silicon (HfSiON).

また、n型MISFETにおいては、後述するように、ゲート絶縁膜16の上に、酸化ランタン(LaO)からなる第2のキャップ膜を形成し、その後の熱拡散によって、有効仕事関数(eWF)値の調整用のLaを含む。なお、n型MISFETにおいては、ゲート絶縁膜16はLaを含んでおらず、また含んでいたとしても極めて微量である。   In the n-type MISFET, as will be described later, a second cap film made of lanthanum oxide (LaO) is formed on the gate insulating film 16, and the effective work function (eWF) value is obtained by subsequent thermal diffusion. Including La for adjustment. Note that in the n-type MISFET, the gate insulating film 16 does not contain La, and even if it contains it, the amount is extremely small.

また、上記のように、本実施形態に係るMISFETは、ゲート電極30の金属部分が2層構造であり、以下に示す製造方法から、上層の第2の金属電極27のゲート長方向の幅は、下層の第1の金属電極21のゲート長方向の幅よりも大きくなる。   Further, as described above, in the MISFET according to the present embodiment, the metal portion of the gate electrode 30 has a two-layer structure, and the width in the gate length direction of the second metal electrode 27 of the upper layer is determined from the manufacturing method described below. The width of the lower first metal electrode 21 is larger than the width in the gate length direction.

以下、前記のように構成された半導体装置の製造方法について図3〜図7を参照しながら説明する。   Hereinafter, a method of manufacturing the semiconductor device configured as described above will be described with reference to FIGS.

まず、図3(a)に示すように、シリコンからなる半導体基板11に素子分離領域12を選択的に形成して、p型トランジスタ領域10p及びn型トランジスタ領域10nを形成する。その後、リソグラフィ法及びイオン注入法により、半導体基板11におけるp型トランジスタ領域10pに、n型の不純物イオンであるヒ素(As)イオン又はリン(P)イオンを注入してn型活性領域13を形成する。続いて、n型トランジスタ領域10nに、p型の不純物イオンであるボロン(B)イオンを注入してp型活性領域14を形成する。なお、n型活性領域13とp型活性領域14との形成順序は特に問われない。   First, as shown in FIG. 3A, an element isolation region 12 is selectively formed on a semiconductor substrate 11 made of silicon to form a p-type transistor region 10p and an n-type transistor region 10n. Thereafter, arsenic (As) ions or phosphorus (P) ions, which are n-type impurity ions, are implanted into the p-type transistor region 10 p in the semiconductor substrate 11 by lithography and ion implantation to form the n-type active region 13. To do. Subsequently, boron (B) ions that are p-type impurity ions are implanted into the n-type transistor region 10 n to form the p-type active region 14. The order of forming the n-type active region 13 and the p-type active region 14 is not particularly limited.

続いて、半導体基板11の主面上の全面に、厚さが1nm程度のSiOからなる下地膜15を形成する。下地膜15は、酸素ガスを用いた急速熱酸化(RTO:Rapid Thermal Oxidation)法等により形成すればよい。なお、酸素ガス以外のガス種を用いたRTO法を用いてもよく、また、加熱炉を用いた熱酸化法を用いてもよい。また、下地膜15は、SiOに代えて、SiONでもよく、また、酸化性の薬液に浸漬して形成する、いわゆるケミカルオキサイド等としてもよい。 Subsequently, a base film 15 made of SiO 2 having a thickness of about 1 nm is formed on the entire main surface of the semiconductor substrate 11. The base film 15 may be formed by a rapid thermal oxidation (RTO) method using oxygen gas or the like. Note that an RTO method using a gas species other than oxygen gas may be used, or a thermal oxidation method using a heating furnace may be used. Further, the base film 15 may be SiON instead of SiO 2 , or may be so-called chemical oxide formed by immersing in an oxidizing chemical solution.

続いて、化学気相堆積(CVD:chemical vapor deposition)法等により、下地膜15の上に、膜厚が1.7nm程度の高誘電体からなるゲート絶縁膜形成膜16Aを堆積する。ここでは、ゲート絶縁膜形成膜16Aに、Hf/(Hf+Si)の組成比の値が60%程度であるHfSiO膜を用いる。なお、HfSiO膜に代えて、酸化ハフニウム(HfO)でもよく、さらには、Hfの組成比の値を上記の値と変えた高誘電体膜を用いてもよい。また、ゲート絶縁膜形成膜16Aの膜厚は1.7nmとしたが、半導体装置の用途又は該半導体装置に要求される能力によって変更してもよい。また、ハフニウム(Hf)に代えて、ジルコニウム(Zr)等の他の元素を含む高誘電体膜を用いてもよい。 Subsequently, a gate insulating film forming film 16A made of a high dielectric material having a film thickness of about 1.7 nm is deposited on the base film 15 by a chemical vapor deposition (CVD) method or the like. Here, an HfSiO film having a composition ratio of Hf / (Hf + Si) of about 60% is used for the gate insulating film forming film 16A. Instead of the HfSiO film, hafnium oxide (HfO 2 ) may be used, and furthermore, a high dielectric film in which the composition ratio value of Hf is changed from the above value may be used. Further, although the film thickness of the gate insulating film forming film 16A is 1.7 nm, it may be changed depending on the use of the semiconductor device or the capability required for the semiconductor device. Further, instead of hafnium (Hf), a high dielectric film containing other elements such as zirconium (Zr) may be used.

続いて、HfSiOからなるゲート絶縁膜形成膜16Aに、プラズマを用いた窒化処理を施すことにより、ゲート絶縁膜形成膜16AをHfSiONとする。その後、1000℃の窒素雰囲気でアニールを行って、ゲート絶縁膜形成膜16Aに対して焼き締めを行う。ここで、ゲート絶縁膜形成膜16Aに対する窒化処理は、該ゲート絶縁膜形成膜16A中に4atm%程度の窒素が導入される程度に行っているが、半導体装置のターゲット又はその用途に応じて窒素濃度を変更してもよい。なお、ゲート絶縁膜形成膜16Aに対する窒化処理は、他の処理を行った後で且つ金属電極の堆積直前に行ってもよい。また、窒化処理後の焼き締めアニールは、温度が1000℃の窒素雰囲気で行ったが、アニール温度は800℃〜1100℃の範囲であればよく、雰囲気ガスも窒化処理が可能であれば、窒素に限られない。   Subsequently, the gate insulating film forming film 16A is made to be HfSiON by performing nitriding treatment using plasma on the gate insulating film forming film 16A made of HfSiO. Thereafter, annealing is performed in a nitrogen atmosphere at 1000 ° C., and the gate insulating film forming film 16A is baked. Here, the nitriding treatment for the gate insulating film forming film 16A is performed to such an extent that about 4 atm% of nitrogen is introduced into the gate insulating film forming film 16A. The concentration may be changed. The nitriding treatment for the gate insulating film forming film 16A may be performed after performing other treatment and immediately before the deposition of the metal electrode. Further, the baking annealing after the nitriding treatment was performed in a nitrogen atmosphere having a temperature of 1000 ° C., but the annealing temperature may be in the range of 800 ° C. to 1100 ° C. Not limited to.

続いて、物理気相堆積(PVD:physical vapor deposition)法により、窒化処理されたゲート絶縁膜形成膜16Aの上に、膜厚が0.7nmのAlOからなる第1のキャップ膜形成膜17Aを堆積する。ここでは、第1のキャップ膜形成膜17Aは、PVD法を用いたが、原子層堆積(ALD:atomic layer deposition)法又はCVD法を用いてもよい。また、第1のキャップ膜形成膜17Aの膜厚は、仕様により変更が可能である。   Subsequently, a first cap film forming film 17A made of AlO having a film thickness of 0.7 nm is formed on the gate insulating film forming film 16A that has been nitrided by physical vapor deposition (PVD). accumulate. Here, the PVD method is used for the first cap film forming film 17A, but an atomic layer deposition (ALD) method or a CVD method may be used. Further, the film thickness of the first cap film forming film 17A can be changed depending on the specification.

続いて、CVD法等により、第1のキャップ膜形成膜17Aの上に、膜厚が5nm程度のチタンナイトライド(TiN)からなるHM(ハードマスク)金属膜18を形成する。   Subsequently, an HM (hard mask) metal film 18 made of titanium nitride (TiN) having a thickness of about 5 nm is formed on the first cap film formation film 17A by a CVD method or the like.

次に、図3(b)に示すように、リソグラフィ法により、p型トランジスタ領域10pを覆うレジスト膜19を形成する。   Next, as shown in FIG. 3B, a resist film 19 covering the p-type transistor region 10p is formed by lithography.

次に、図3(c)に示すように、形成されたレジスト膜19をマスクとして、HM金属膜18におけるn型トランジスタ領域10nに形成された部分を除去する。   Next, as shown in FIG. 3C, a portion of the HM metal film 18 formed in the n-type transistor region 10n is removed using the formed resist film 19 as a mask.

次に、図4(a)に示すように、レジスト膜19をシンナー洗浄により除去する。続いて、PVD法により、半導体基板11の上の全面に、膜厚が2nm程度のLaOからなる第2のキャップ膜20を堆積する。ここで、第2のキャップ膜20の形成には、PVD法を用いたが、ALD法又はCVD法を用いてもよい。また、LaOは2nm程度堆積したが、仕様により変更が可能である。   Next, as shown in FIG. 4A, the resist film 19 is removed by thinner cleaning. Subsequently, a second cap film 20 made of LaO having a thickness of about 2 nm is deposited on the entire surface of the semiconductor substrate 11 by the PVD method. Here, the PVD method is used to form the second cap film 20, but an ALD method or a CVD method may be used. LaO is deposited about 2 nm, but can be changed depending on the specification.

次に、図4(b)に示すように、第2のキャップ膜20が堆積された半導体基板11をアニール処理することにより、ゲート絶縁膜形成膜16Aにおけるn型トランジスタ領域10nに含まれる部分にランタン(La)を拡散する。ここでのアニール温度は700℃としている。   Next, as shown in FIG. 4B, the semiconductor substrate 11 on which the second cap film 20 is deposited is annealed so that the portion included in the n-type transistor region 10n in the gate insulating film formation film 16A. Spread lantern (La). The annealing temperature here is 700 ° C.

続いて、図4(c)に示すように、ゲート絶縁膜形成膜16Aに拡散せずに残った第2のキャップ膜20を除去する。第2のキャップ膜20の除去方法は、特に問われないが、例えば塩酸(濃度37質量%)を1000倍に希釈した希塩酸(dHCl)を用いて10秒間程度洗浄すればよい。なお、塩酸の希釈倍率及び洗浄時間は、第2のキャップ膜20の膜厚及び熱処理時間等に応じて適宜変更すればよい。第2のキャップ膜20を除去した後の、ゲート絶縁膜形成膜16Aに拡散し残留するLaの量は、LaOからなる第2のキャップ膜20の膜厚に換算して0.6nm程度である。このゲート絶縁膜形成膜16Aに拡散するLaの量は、第2のキャップ膜20の膜厚とアニール温度とによって制御が可能である。すなわち、第2のキャップ膜20を厚く堆積し、アニール温度を低温化すると、より拡散律速に近い領域となるので、LaOの堆積膜厚分布の影響を受けにくくなる。その結果、比較的に均一なLaの拡散分布を得ることができる。   Subsequently, as shown in FIG. 4C, the second cap film 20 remaining without being diffused in the gate insulating film forming film 16A is removed. The method for removing the second cap film 20 is not particularly limited. For example, the second cap film 20 may be cleaned for about 10 seconds using dilute hydrochloric acid (dHCl) obtained by diluting hydrochloric acid (concentration 37 mass%) 1000 times. The dilution ratio and cleaning time of hydrochloric acid may be appropriately changed according to the thickness of the second cap film 20, the heat treatment time, and the like. After removing the second cap film 20, the amount of La diffused and remaining in the gate insulating film forming film 16A is about 0.6 nm in terms of the film thickness of the second cap film 20 made of LaO. . The amount of La diffused into the gate insulating film forming film 16A can be controlled by the thickness of the second cap film 20 and the annealing temperature. That is, when the second cap film 20 is deposited thickly and the annealing temperature is lowered, the region becomes closer to the diffusion rate control, and therefore, the second cap film 20 is less affected by the deposited film thickness distribution of LaO. As a result, a relatively uniform La diffusion distribution can be obtained.

一方、p型トランジスタ領域10pにおけるゲート絶縁膜形成膜16Aの上には、HM金属膜18が形成されている。従って、p型トランジスタ領域10pにおいては、第2のキャップ膜20からのランタン(La)は、HM金属膜18の上部にのみ拡散し、ゲート絶縁膜形成膜16Aには拡散しない。これにより、p型トランジスタ領域10pには、Laが拡散していないゲート絶縁膜形成膜16Aが形成される。また、Laが含まれたとしても極めて微量である。なお、アニール処理の温度及び時間は、必要とする有効仕事関数(eWF)の値並びにゲート絶縁膜形成膜16Aの組成及び膜厚等により適宜変更すればよい。   On the other hand, the HM metal film 18 is formed on the gate insulating film forming film 16A in the p-type transistor region 10p. Accordingly, in the p-type transistor region 10p, the lanthanum (La) from the second cap film 20 diffuses only on the HM metal film 18 and does not diffuse into the gate insulating film formation film 16A. Thereby, a gate insulating film forming film 16A in which La is not diffused is formed in the p-type transistor region 10p. Even if La is contained, the amount is extremely small. Note that the annealing temperature and time may be appropriately changed according to the required effective work function (eWF) value, the composition and thickness of the gate insulating film forming film 16A, and the like.

次に、図5(a)に示すように、TiNからなるHM金属膜18を除去する。HM金属膜18の除去は、ゲート絶縁膜形成膜16Aを劣化させることなく、且つ、HM金属膜18における少なくともLaが拡散した領域を除去できれば、いかなる方法を用いてもよい。例えば、HM金属膜18がTiN膜であり、第2のキャップ膜20がLaO膜である場合には、過酸化水素水(H)を用いて除去すればよい。また、硫酸−過酸化水素水(SPM:sulfuric acid/hydrogen peroxide/water mix)又はアンモニア−過酸化水素水(APM:anmmonium hydroxide/hydrogen peroxide/water mix)等を用いてもよい。 Next, as shown in FIG. 5A, the HM metal film 18 made of TiN is removed. The removal of the HM metal film 18 may be performed by any method as long as it does not deteriorate the gate insulating film forming film 16A and can remove at least a region where La is diffused in the HM metal film 18. For example, when the HM metal film 18 is a TiN film and the second cap film 20 is a LaO film, it may be removed using hydrogen peroxide water (H 2 O 2 ). Alternatively, sulfuric acid / hydrogen peroxide / water mix (SPM) or ammonia hydroxide / hydrogen peroxide / water mix (APM) may be used.

次に、図5(b)に示すように、CVD法等により、半導体基板11上の全面にTiNからなる第1の金属電極形成膜21Aと、ポリシリコンからなるダミー電極形成膜22Aとを順次堆積する。   Next, as shown in FIG. 5B, the first metal electrode formation film 21A made of TiN and the dummy electrode formation film 22A made of polysilicon are sequentially formed on the entire surface of the semiconductor substrate 11 by CVD or the like. accumulate.

なお、第1の金属電極形成膜21A及びHM金属膜18は、TiNに限られない。例えば、チタン(Ti)又はタンタル(Ta)を含む金属が好ましく、TiNに代えて、タンタルナイトライド(TaN)、タンタルカーバイド(TaC)又はタンタルカーバイドナイトライド(TaCN)等を用いることができる。また、第1のキャップ膜形成膜17A及び第2のキャップ膜20と組み合わせた際に、適当なeWF値を得られる材料であれば、第1の金属電極形成膜21A及びHM金属膜18には、他の金属材料を用いてもよい。   Note that the first metal electrode formation film 21A and the HM metal film 18 are not limited to TiN. For example, a metal containing titanium (Ti) or tantalum (Ta) is preferable, and tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbide nitride (TaCN), or the like can be used instead of TiN. Further, the first metal electrode formation film 21A and the HM metal film 18 may be made of any material that can obtain an appropriate eWF value when combined with the first cap film formation film 17A and the second cap film 20. Other metal materials may be used.

次に、図5(c)に示すように、リソグラフィ法及び反応性イオンエッチング(RIE:Reactive Ion Etching)法を用いて、p型トランジスタ領域10pにおいては、ダミー電極形成膜22A、第1の金属電極形成膜21A、第1のキャップ膜形成膜17A、ゲート絶縁膜形成膜16A及び下地膜15を選択的にエッチングしてこれらをパターニングする。これと同時に、n型トランジスタ領域10nにおいては、ダミー電極形成膜22A、第1の金属電極形成膜21A、ゲート絶縁膜形成膜16A及び下地膜15を選択的にエッチングしてこれらをパターニングする。これにより、p型トランジスタ領域10pにおいては、下地膜15及び第1のキャップ膜17を含むゲート絶縁膜16と、TiNからなる第1の金属電極21及びポリシリコンからなるダミー電極22を有するダミーゲート構造が形成される。また、n型トランジスタ領域10nにおいては、下地膜15を含み且つLaが導入されたゲート絶縁膜16と、TiNからなる第1の金属電極21及びポリシリコンからなるダミー電極22を有するダミーゲート構造が形成される。   Next, as shown in FIG. 5C, in the p-type transistor region 10p, the dummy electrode formation film 22A and the first metal are formed by using a lithography method and a reactive ion etching (RIE) method. The electrode forming film 21A, the first cap film forming film 17A, the gate insulating film forming film 16A and the base film 15 are selectively etched and patterned. At the same time, in the n-type transistor region 10n, the dummy electrode forming film 22A, the first metal electrode forming film 21A, the gate insulating film forming film 16A, and the base film 15 are selectively etched and patterned. Thereby, in the p-type transistor region 10p, a dummy gate having the gate insulating film 16 including the base film 15 and the first cap film 17, the first metal electrode 21 made of TiN, and the dummy electrode 22 made of polysilicon. A structure is formed. Further, in the n-type transistor region 10n, a dummy gate structure including a gate insulating film 16 including a base film 15 into which La is introduced, a first metal electrode 21 made of TiN, and a dummy electrode 22 made of polysilicon. It is formed.

次に、図6(a)に示すように、p型トランジスタ領域10pにおいて、ダミー電極22をマスクとして、n型活性領域13に、例えばp型の不純物イオンであるBイオンをイオン注入する。これにより、n型活性領域13におけるダミー電極22の両側方の領域に、p型のエクステンション領域23pが形成される。続いて、n型トランジスタ領域10nにおいて、ダミー電極22をマスクとして、p型活性領域14に、例えばn型の不純物イオンであるAsイオン又はPイオンをイオン注入する。これにより、p型活性領域14におけるダミー電極22の両側方の領域に、n型のエクステンション領域23nが形成される。なお、各エクステンション領域23p、23nの形成順序は特に問われない。   Next, as shown in FIG. 6A, in the p-type transistor region 10p, for example, B ions, which are p-type impurity ions, are ion-implanted into the n-type active region 13 using the dummy electrode 22 as a mask. Thereby, p-type extension regions 23p are formed in regions on both sides of the dummy electrode 22 in the n-type active region 13. Subsequently, in the n-type transistor region 10n, for example, As ions or P ions, which are n-type impurity ions, are implanted into the p-type active region 14 using the dummy electrode 22 as a mask. As a result, n-type extension regions 23n are formed in regions on both sides of the dummy electrode 22 in the p-type active region 14. The order in which the extension regions 23p and 23n are formed is not particularly limited.

続いて、CVD法等により、半導体基板11上の全面に、例えばシリコン酸化(SiO)膜を堆積し、堆積したSiO膜をエッチバックすることにより、それぞれゲート絶縁膜を含む各ダミーゲート構造の両側面上に、SiOからなるサイドウォール24を形成する。続いて、p型トランジスタ領域10pにおいて、ダミー電極22及びサイドウォール24をマスクとして、n型活性領域13に、例えばBイオンをイオン注入する。これにより、n型活性領域13におけるサイドウォール24の外側の領域に、エクステンション領域23pと接続され且つ高濃度で接合深さが深いp型のソースドレイン領域25pが形成される。続いて、n型トランジスタ領域10nにおいて、ダミー電極22及びサイドウォール24をマスクとして、p型活性領域14に、例えばAsイオン又はPイオンをイオン注入する。これにより、p型活性領域14におけるサイドウォール24の外側の領域に、エクステンション領域23nと接続され且つ高濃度で接合深さが深いn型のソースドレイン領域25nが形成される。なお、各ソースドレイン領域25p、25nの形成順序は特に問われない。 Subsequently, for example, a silicon oxide (SiO 2 ) film is deposited on the entire surface of the semiconductor substrate 11 by a CVD method or the like, and the deposited SiO 2 film is etched back to thereby each dummy gate structure including a gate insulating film. Sidewalls 24 made of SiO 2 are formed on both side surfaces. Subsequently, in the p-type transistor region 10p, for example, B ions are ion-implanted into the n-type active region 13 using the dummy electrode 22 and the sidewall 24 as a mask. Thus, a p-type source / drain region 25p connected to the extension region 23p and having a high junction depth and a deep junction depth is formed in a region outside the sidewall 24 in the n-type active region 13. Subsequently, in the n-type transistor region 10n, for example, As ions or P ions are implanted into the p-type active region 14 using the dummy electrode 22 and the sidewall 24 as a mask. As a result, an n-type source / drain region 25n connected to the extension region 23n and having a high concentration and a deep junction depth is formed in a region outside the sidewall 24 in the p-type active region 14. The order of forming the source / drain regions 25p and 25n is not particularly limited.

続いて、形成されたエクステンション領域23p、23n及びソースドレイン領域25p、25nに対して、注入された不純物イオンを活性化する、温度が800℃程度のアニール処理を行う。   Subsequently, the formed extension regions 23p and 23n and source / drain regions 25p and 25n are annealed at a temperature of about 800 ° C. to activate the implanted impurity ions.

次に、図6(b)に示すように、半導体基板11の上の全面に、各サイドウォール24を含めダミー電極22を覆うように、TEOS(Tetra-Ethyl-Ortho-Silicate)をシリコン源とした酸化シリコン(SiO)からなる層間絶縁膜26を堆積する。なお、ここでは、層間絶縁膜26に段差被覆性に優れるTEOS膜を用いたが、TEOS膜以外のCVD系のSiO膜を用いてもよい。 Next, as shown in FIG. 6B, TEOS (Tetra-Ethyl-Ortho-Silicate) is used as a silicon source so as to cover the dummy electrode 22 including the sidewalls 24 on the entire surface of the semiconductor substrate 11. An interlayer insulating film 26 made of silicon oxide (SiO 2 ) is deposited. Here, a TEOS film having excellent step coverage is used for the interlayer insulating film 26, but a CVD-based SiO 2 film other than the TEOS film may be used.

次に、図6(c)に示すように、化学機械研磨(CMP)法等により、堆積した層間絶縁膜26を研磨して、各ダミーゲート構造におけるポリシリコンからなるダミー電極22を露出する。その後、例えば水酸化アンモニウム(NH液)を用いて、層間絶縁膜26から露出した各ダミー電極22を選択的に除去する。これにより、層間絶縁膜26に第1の金属電極21がそれぞれ露出した凹部(ゲートトレンチ)26aを形成する。ここで、ダミー電極22を除去するにはNH液を用いたが、低濃度のAPM等、他のシリコン溶解液を用いてもよい。 Next, as shown in FIG. 6C, the deposited interlayer insulating film 26 is polished by a chemical mechanical polishing (CMP) method or the like to expose the dummy electrode 22 made of polysilicon in each dummy gate structure. Thereafter, each dummy electrode 22 exposed from the interlayer insulating film 26 is selectively removed using, for example, ammonium hydroxide (NH 3 liquid). As a result, a recess (gate trench) 26 a in which the first metal electrode 21 is exposed is formed in the interlayer insulating film 26. Here, the NH 3 liquid is used to remove the dummy electrode 22, but other silicon solution such as low concentration APM may be used.

なお、従来のゲートラストプロセスは、ダミー電極を除去する際にゲート絶縁膜を露出するため、該ゲート絶縁膜の膜べりを抑制する必要がある。このため、NH液等、使用可能な薬液が限定される。しかしながら、本実施形態のように、ダミー電極22を除去した後に露出するのは、第1の金属電極21を構成するTiNである。従って、APM等の、よりパーティクル除去性が高い薬液を使用することが可能となる。また、プロセスによっては、ダミー電極22は、ポリシリコンに代えて窒化シリコン(SiN)等に変更が可能である。また、このとき、層間絶縁膜26に形成される凹部26aのゲート長方向の幅は、第1の金属電極21の幅よりも大きくなる場合がある。 In the conventional gate last process, since the gate insulating film is exposed when the dummy electrode is removed, it is necessary to suppress film slippage of the gate insulating film. For this reason, usable chemical solutions such as NH 3 solution are limited. However, as in the present embodiment, it is TiN constituting the first metal electrode 21 that is exposed after the dummy electrode 22 is removed. Therefore, it is possible to use a chemical solution having higher particle removability such as APM. Further, depending on the process, the dummy electrode 22 can be changed to silicon nitride (SiN) instead of polysilicon. At this time, the width of the recess 26 a formed in the interlayer insulating film 26 in the gate length direction may be larger than the width of the first metal electrode 21.

次に、図7(a)に示すように、CVD法等により、各凹部26aを埋めるように層間絶縁膜26の上の全面に、TiNからなる第2の金属電極形成膜27Aを堆積する。なお、第2の金属電極形成膜27Aには、TiNを用いたが、TiNに限られない。例えば、アニール処理を受けない2度目の堆積により、図1に示したような有効仕事関数(eWF)の値が上昇する他の金属材料を用いてもよい。   Next, as shown in FIG. 7A, a second metal electrode formation film 27A made of TiN is deposited on the entire surface of the interlayer insulating film 26 so as to fill the recesses 26a by the CVD method or the like. Although TiN is used for the second metal electrode formation film 27A, it is not limited to TiN. For example, another metal material whose effective work function (eWF) value increases as shown in FIG. 1 due to the second deposition not subjected to the annealing treatment may be used.

次に、図7(b)に示すように、CMP法等により、層間絶縁膜26の上に堆積した余剰の第2の金属電極形成膜27Aを研磨して除去することにより、それぞれ、ゲートファースト方式による第1の金属電極21及びゲートラスト方式による第2の金属電極27からなるゲート電極30を有するMISFETを得る。   Next, as shown in FIG. 7B, the excess second metal electrode formation film 27A deposited on the interlayer insulating film 26 is polished and removed by a CMP method or the like, respectively. A MISFET having a gate electrode 30 composed of the first metal electrode 21 by the system and the second metal electrode 27 by the gate last system is obtained.

以上説明したように、本実施形態によると、各ソースドレイン領域25p、25n等に対する高温のアニール処理は、第2の電極形成膜27Aを堆積する前に終了しているため、第2の電極形成膜27Aは高いeWFの値を維持したまま、各MISFETを形成することが可能となる。   As described above, according to the present embodiment, the high-temperature annealing for the source / drain regions 25p, 25n, etc. is completed before the second electrode formation film 27A is deposited. The film 27A can form each MISFET while maintaining a high eWF value.

また、従来のゲートラストプロセスは、p型MISFETとn型MISFETとを作り分ける際に、p型トランジスタ領域10p及びn型トランジスタ領域10nのうちのいずれか一方の第2の金属電極形成膜27Aを再度除去する必要があるが、本実施形態によれば、層間絶縁膜26にゲートトレンチを形成する工程は一度で済むため、金属材料の埋め込み不良等が発生するおそれを格段に小さくすることができる。   Further, in the conventional gate last process, when the p-type MISFET and the n-type MISFET are separately formed, the second metal electrode forming film 27A in one of the p-type transistor region 10p and the n-type transistor region 10n is formed. Although it is necessary to remove it again, according to the present embodiment, the process of forming the gate trench in the interlayer insulating film 26 is only required once, so that the possibility of the occurrence of defective filling of the metal material can be remarkably reduced. .

(実施形態の一変形例)
以下に、本実施形態の一変形例を説明する。
(One Modification of Embodiment)
Hereinafter, a modification of the present embodiment will be described.

例えば、図6(c)に示すダミー電極22の除去工程において、n型トランジスタ領域10nをマスクしておくと、n型MISFETは、アニールによりeWF値が低下した第1の金属電極21とダミー電極22とによって最終的なゲート電極30が構成される。これに対し、p型MISFETは、本実施形態と同様に、膜厚が相対的に厚く且つほぼアニールされていない第2の金属電極27によって、高いeWF値を得ることができる。このため、p型及びn型の各MISFETにおけるeWF値の差をより大きくすることができる。すなわち、必要なeWF値によっては、酸化アルミニウム(AlO)又は酸化ランタン(LaO)のようなeWF値を変調するキャップ膜17、20を設けなくても、CMOS回路を形成することが可能となる。その結果、より単純なCMOS形成フローを実現することができる。   For example, in the step of removing the dummy electrode 22 shown in FIG. 6C, if the n-type transistor region 10n is masked, the n-type MISFET has the first metal electrode 21 and the dummy electrode whose eWF value is reduced by annealing. 22 forms a final gate electrode 30. On the other hand, the p-type MISFET can obtain a high eWF value by the second metal electrode 27 having a relatively thick film thickness and substantially not annealed as in the present embodiment. For this reason, the difference in the eWF value in each of the p-type and n-type MISFETs can be further increased. That is, depending on the required eWF value, a CMOS circuit can be formed without providing the cap films 17 and 20 that modulate the eWF value such as aluminum oxide (AlO) or lanthanum oxide (LaO). As a result, a simpler CMOS formation flow can be realized.

具体的には、p型トランジスタ領域10p及びn型トランジスタ領域10nにおいて、下地膜15を介在させた酸化ハフニウム(HfO)又は酸窒化ハフニムシリコン(HfSiON)からなるゲート絶縁膜16をそれぞれ形成し、その後、各ゲート絶縁膜16の上に厚さが4nm程度のTiNからなる第1の金属電極21をそれぞれ形成する。その後、各第1の金属電極21の上に、ポリシリコンからなるダミー電極22を形成する。これにより、n型トランジスタ領域10nにおいては、MIPS構造を持つn型MISFETが形成される。続いて、p型トランジスタ領域10pにおいて、ダミー電極22をTiNからなる第2の金属電極27によって置換する。 Specifically, in the p-type transistor region 10p and the n-type transistor region 10n, the gate insulating films 16 made of hafnium oxide (HfO 2 ) or hafnium silicon oxynitride (HfSiON) with the base film 15 interposed are formed. Thereafter, a first metal electrode 21 made of TiN having a thickness of about 4 nm is formed on each gate insulating film 16. Thereafter, a dummy electrode 22 made of polysilicon is formed on each first metal electrode 21. As a result, an n-type MISFET having a MIPS structure is formed in the n-type transistor region 10n. Subsequently, in the p-type transistor region 10p, the dummy electrode 22 is replaced with a second metal electrode 27 made of TiN.

なお、n型MISFETで必要となるポリシリコンには、アンドープのポリシリコン膜を堆積し、堆積後のポリシリコン膜に不純物をドープしてもよく、また、不純物をドープしたポリシリコン膜を堆積してもよい。   The polysilicon required for the n-type MISFET may be formed by depositing an undoped polysilicon film and doping the deposited polysilicon film with impurities, or depositing a polysilicon film doped with impurities. May be.

また、MIPS構造となるn型MISFETにおいては、ポリシリコン膜の少なくとも一部をシリサイド化してもよい。これにより、第1の金属電極21及びポリシリコン電極を低抵抗化することができる。   In an n-type MISFET having a MIPS structure, at least a part of the polysilicon film may be silicided. Thereby, the resistance of the first metal electrode 21 and the polysilicon electrode can be reduced.

また、n型MISFETにおいて、ポリシリコン電極は、ポリシリコンに代えて他の金属材料を用いてもよ。さらには、ゲート電極30を第1の金属電極21のみの構成として、第2の金属電極27を省略することも可能である。   In the n-type MISFET, the polysilicon electrode may be made of another metal material instead of polysilicon. Furthermore, the gate electrode 30 may be configured only by the first metal electrode 21 and the second metal electrode 27 may be omitted.

本発明に係る半導体装置の製造方法は、複雑な工程を回避すると共に高い有効仕事関数(eWF)値を得られることにより、高歩留まり及び高性能の半導体装置を実現でき、ゲート電極に金属を用いる半導体装置の製造方法等に有用である。   The manufacturing method of a semiconductor device according to the present invention can realize a high yield and high performance semiconductor device by avoiding complicated processes and obtaining a high effective work function (eWF) value, and uses a metal for a gate electrode. This is useful for a method of manufacturing a semiconductor device.

10p p型トランジスタ領域
10n n型トランジスタ領域
11 半導体基板
12 素子分離領域
13 n型活性領域(半導体領域)
14 p型活性領域(半導体領域)
15 下地膜
16 ゲート絶縁膜
16A ゲート絶縁膜形成膜
17 第1のキャップ膜
17A 第1のキャップ膜形成膜
18 HM金属膜
19 レジスト膜
20 第2のキャップ膜
21 第1の金属電極
21A 第1の金属電極形成膜
22 ダミー電極
22A ダミー電極形成膜
23p p型のエクステンション領域
23n n型のエクステンション領域
24 サイドウォール
25p p型のソースドレイン領域
25n n型のソースドレイン領域
26 層間絶縁膜
26a 凹部(ゲートトレンチ)
27 第2の金属電極
27A 第2の金属電極形成膜
30 ゲート電極
10 p p-type transistor region 10 n n-type transistor region 11 semiconductor substrate 12 element isolation region 13 n-type active region (semiconductor region)
14 p-type active region (semiconductor region)
15 Base film 16 Gate insulating film 16A Gate insulating film forming film 17 First cap film 17A First cap film forming film 18 HM metal film 19 Resist film 20 Second cap film 21 First metal electrode 21A First Metal electrode formation film 22 Dummy electrode 22A Dummy electrode formation film 23p P-type extension region 23n N-type extension region 24 Side wall 25p P-type source / drain region 25n N-type source / drain region 26 Interlayer insulating film 26a Recess (gate trench) )
27 Second metal electrode 27A Second metal electrode formation film 30 Gate electrode

Claims (8)

第1導電型の半導体領域の上に、ゲート絶縁膜形成膜を形成する工程と、
前記ゲート絶縁膜形成膜の上に、第1の金属電極形成膜を形成する工程と、
前記第1の金属電極形成膜の上に、ダミー電極形成膜を形成する工程と、
前記ダミー電極形成膜、第1の金属電極形成膜及びゲート絶縁膜形成膜をパターニングすることにより、前記ダミー電極形成膜からダミー電極を形成し、前記第1の金属電極形成膜から第1の金属電極を形成し、前記ゲート絶縁膜形成膜からゲート絶縁膜を形成する工程と、
前記ダミー電極をマスクとして、前記半導体領域に第2導電型の不純物イオンを導入することにより、前記半導体領域における前記ダミー電極の両側方に拡散領域を形成し、形成された前記拡散領域に対して熱処理を施す工程と、
前記熱処理を施した後に、前記半導体領域の上に前記ダミー電極を覆うように層間絶縁膜を形成する工程と、
形成された前記層間絶縁膜から前記ダミー電極を露出し、前記層間絶縁膜から露出した前記ダミー電極を除去する工程と、
前記層間絶縁膜における前記ダミー電極が除去された凹部に、第2の金属電極を選択的に形成する工程とを備えていることを特徴とする半導体装置の製造方法。
Forming a gate insulating film formation film on the semiconductor region of the first conductivity type;
Forming a first metal electrode forming film on the gate insulating film forming film;
Forming a dummy electrode formation film on the first metal electrode formation film;
A dummy electrode is formed from the dummy electrode forming film by patterning the dummy electrode forming film, the first metal electrode forming film, and the gate insulating film forming film, and the first metal electrode is formed from the first metal electrode forming film. Forming an electrode and forming a gate insulating film from the gate insulating film forming film;
A diffusion region is formed on both sides of the dummy electrode in the semiconductor region by introducing impurity ions of the second conductivity type into the semiconductor region using the dummy electrode as a mask. Applying heat treatment;
Forming an interlayer insulating film so as to cover the dummy electrode on the semiconductor region after the heat treatment;
Exposing the dummy electrode from the formed interlayer insulating film and removing the dummy electrode exposed from the interlayer insulating film;
And a step of selectively forming a second metal electrode in the recess of the interlayer insulating film from which the dummy electrode has been removed.
前記第1の金属電極は、チタンナイトライドからなることを特徴とする請求項1に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the first metal electrode is made of titanium nitride. 前記第2の金属電極は、チタンナイトライドからなることを特徴とする請求項1又は2に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the second metal electrode is made of titanium nitride. 前記ゲート絶縁膜は、ハフニウムを含むことを特徴とする請求項1〜3のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the gate insulating film contains hafnium. 前記ゲート絶縁膜形成膜を形成する工程と前記第1の金属電極形成膜を形成する工程との間に、
前記ゲート絶縁膜形成膜の上に、アルミニウムを含む第1のキャップ膜を形成する工程をさらに備え、
前記第1の金属電極形成膜は、前記第1のキャップ膜の上に形成し、
前記第1のキャップ膜は、前記第1の金属電極形成膜と共にパターニングすることを特徴とする請求項4に記載の半導体装置の製造方法。
Between the step of forming the gate insulating film formation film and the step of forming the first metal electrode formation film,
Forming a first cap film containing aluminum on the gate insulating film forming film;
The first metal electrode formation film is formed on the first cap film,
The method of manufacturing a semiconductor device according to claim 4, wherein the first cap film is patterned together with the first metal electrode formation film.
前記ゲート絶縁膜形成膜を形成する工程と前記第1の金属電極形成膜を形成する工程との間に、
前記ゲート絶縁膜形成膜の上に、ランタンを含む第2のキャップ膜を形成する工程と、
前記第2のキャップ膜を加熱することにより、前記ゲート絶縁膜形成膜にランタンを拡散する工程と、
加熱した後に、前記第2のキャップ膜を除去する工程とをさらに備えていることを特徴とする請求項4に記載の半導体装置の製造方法。
Between the step of forming the gate insulating film formation film and the step of forming the first metal electrode formation film,
Forming a second cap film containing lanthanum on the gate insulating film forming film;
Diffusing lanthanum into the gate insulating film forming film by heating the second cap film;
The method of manufacturing a semiconductor device according to claim 4, further comprising a step of removing the second cap film after heating.
前記ダミー電極を除去する工程において、アンモニア−過酸化水素水からなる溶液を用いることを特徴とする請求項1〜6のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein a solution comprising ammonia-hydrogen peroxide solution is used in the step of removing the dummy electrode. 前記第2の金属電極は、前記第1の金属電極と比べてゲート長方向の幅が広く形成されることを特徴とする請求項1〜7のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the second metal electrode is formed to have a wider width in a gate length direction than the first metal electrode. .
JP2010243882A 2010-10-29 2010-10-29 Method of manufacturing semiconductor device Withdrawn JP2012099549A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010243882A JP2012099549A (en) 2010-10-29 2010-10-29 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010243882A JP2012099549A (en) 2010-10-29 2010-10-29 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2012099549A true JP2012099549A (en) 2012-05-24

Family

ID=46391161

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010243882A Withdrawn JP2012099549A (en) 2010-10-29 2010-10-29 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2012099549A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10181427B2 (en) 2017-02-06 2019-01-15 Samsung Electronics Co., Ltd. Semiconductor devices and methods for fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10181427B2 (en) 2017-02-06 2019-01-15 Samsung Electronics Co., Ltd. Semiconductor devices and methods for fabricating the same

Similar Documents

Publication Publication Date Title
JP5442332B2 (en) Semiconductor device and manufacturing method thereof
US20130256802A1 (en) Replacement Gate With Reduced Gate Leakage Current
JP2006108602A (en) Semiconductor device and its manufacturing method
TWI449132B (en) Manufacturing method of semiconductor device
TWI469262B (en) Manufacturing method of semiconductor device and semiconductor device
JP2007073660A (en) Semiconductor device and method of manufacturing same
US20150255564A1 (en) Method for manufacturing a semiconductor device
JP2012044013A (en) Manufacturing method of semiconductor device
WO2011021316A1 (en) Semiconductor device and method for manufacturing same
US20090294877A1 (en) Semiconductor device and manufacturing method thereof
JP5368584B2 (en) Semiconductor device and manufacturing method thereof
JP2009267180A (en) Semiconductor device
KR101347943B1 (en) Cmos devices with metal gates and methods for forming the same
WO2010146641A1 (en) Semiconductor device and process for manufacture thereof
JP5275056B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2013026466A (en) Semiconductor device and manufacturing method thereof
JP4163164B2 (en) Semiconductor device and manufacturing method thereof
US20110117734A1 (en) Method of Fabricating High-K Poly Gate Device
JP2006108355A (en) Semiconductor device and manufacturing method thereof
WO2011027487A1 (en) Semiconductor device and method for manufacturing same
US8558321B2 (en) Semiconductor device having gate insulating film including high dielectric material
JP2011054843A (en) Semiconductor device and method of manufacturing the same
KR100729367B1 (en) Semiconductor device and methods of fabricating the same
JP2012099549A (en) Method of manufacturing semiconductor device
JP2008244331A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140107