JP2012032780A - Pattern forming method - Google Patents

Pattern forming method Download PDF

Info

Publication number
JP2012032780A
JP2012032780A JP2011116495A JP2011116495A JP2012032780A JP 2012032780 A JP2012032780 A JP 2012032780A JP 2011116495 A JP2011116495 A JP 2011116495A JP 2011116495 A JP2011116495 A JP 2011116495A JP 2012032780 A JP2012032780 A JP 2012032780A
Authority
JP
Japan
Prior art keywords
group
pattern
shifter
carbon atoms
acetate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011116495A
Other languages
Japanese (ja)
Other versions
JP5772216B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2011116495A priority Critical patent/JP5772216B2/en
Publication of JP2012032780A publication Critical patent/JP2012032780A/en
Application granted granted Critical
Publication of JP5772216B2 publication Critical patent/JP5772216B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

PROBLEM TO BE SOLVED: To construct a process capable of safely forming a negative tone pattern.SOLUTION: A pattern is formed by applying a resist composition comprising: a (meth)acrylate polymer having a repeating unit a having a carboxyl group substituted with an acid labile group represented by the formula (1) and a repeating unit b having a lactone ring, an acid generator, and an organic solvent onto a substrate to form a resist film; exposing the resist film with high-energy radiation after heating treatment; and performing development with a solution containing 50 mass% or more of 2-heptanone as a developing solution after heating treatment. (Where, R2 is an acid labile group.)

Description

本発明は、露光後、酸と熱によって脱保護反応を行い、特定の有機溶剤による現像によって未露光部分を溶解させ、露光部が溶解しないネガティブパターンを得るためのパターン形成方法に関する。   The present invention relates to a pattern forming method for obtaining a negative pattern in which an unexposed portion is dissolved by development with a specific organic solvent after exposure, and an unexposed portion is dissolved by development with a specific organic solvent.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。レジストパターン形成の際に使用する露光光として、1980年代には水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、1990年代の64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジスト膜のエッチング耐性低下等の種々問題により、F2リソグラフィーの開発が中止され、ArF液浸リソグラフィーが導入された。 In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching. As exposure light used for forming a resist pattern, light exposure using g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source was widely used in the 1980s. As a means for further miniaturization, the method of shortening the exposure wavelength is effective, and mass production after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory) in the 1990s In the process, a KrF excimer laser (248 nm) having a short wavelength was used as an exposure light source instead of i-line (365 nm). However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest. Initially, ArF lithography was supposed to be applied from 180 nm node device fabrication, but KrF lithography is extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9. For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, the cost of the scanner is increased by using a large amount of expensive CaF 2 single crystal for the projection lens, the optical system is changed due to the introduction of the hard pellicle because the durability of the soft pellicle is extremely low, and the etching resistance of the resist film is reduced. Due to various problems, the development of F 2 lithography was discontinued and ArF immersion lithography was introduced.

ArF液浸リソグラフィーにおいては、投影レンズとウエハーの間に屈折率1.44の水がパーシャルフィル方式によって挿入され、これによって高速スキャンが可能となり、NA1.3級のレンズによって45nmノードデバイスの量産が行われている。   In ArF immersion lithography, water with a refractive index of 1.44 is inserted between the projection lens and the wafer by a partial fill method, thereby enabling high-speed scanning, and mass production of 45 nm node devices is possible with NA1.3 class lenses. Has been done.

32nmノードのリソグラフィー技術としては、波長13.5nmの真空紫外光(EUV)リソグラフィーが候補に挙げられている。EUVリソグラフィーの問題点としてはレーザーの高出力化、レジスト膜の高感度化、高解像度化、低エッジラフネス化、無欠陥MoSi積層マスク、反射ミラーの低収差化等が挙げられ、克服すべき問題が山積している。   As a lithography technique for the 32 nm node, vacuum ultraviolet light (EUV) lithography with a wavelength of 13.5 nm is cited as a candidate. Problems with EUV lithography include high laser output, high resist film sensitivity, high resolution, low edge roughness, defect-free MoSi laminated mask, and low reflection mirror aberrations. Are piled up.

32nmノードのもう一つの候補の高屈折率液浸リソグラフィーは、高屈折率レンズ候補であるLUAGの透過率が低いことと、液体の屈折率が目標の1.8に届かなかったことによって開発が中止された。   Another candidate for high refractive index immersion lithography for the 32 nm node was developed because of the low transmittance of LUAG, which is a high refractive index lens candidate, and the liquid refractive index did not reach the target of 1.8. Canceled.

ここで最近注目を浴びているのは1回目の露光と現像でパターンを形成し、2回目の露光で1回目のパターンの丁度間にパターンを形成するダブルパターニングプロセスである。ダブルパターニングの方法としては多くのプロセスが提案されている。例えば、1回目の露光と現像でラインとスペースが1:3の間隔のフォトレジストパターンを形成し、ドライエッチングで下層のハードマスクを加工し、その上にハードマスクをもう1層敷いて1回目の露光のスペース部分にフォトレジスト膜の露光と現像でラインパターンを形成してハードマスクをドライエッチングで加工して初めのパターンのピッチの半分のラインアンドスペースパターンを形成する方法である。また、1回目の露光と現像でスペースとラインが1:3の間隔のフォトレジストパターンを形成し、ドライエッチングで下層のハードマスクを加工し、その上にフォトレジスト膜を塗布してハードマスクが残っている部分に2回目のスペースパターンを露光しハードマスクをドライエッチングで加工する。いずれも2回のドライエッチングでハードマスクを加工する。   Recently, a double patterning process in which a pattern is formed by the first exposure and development, and a pattern is formed just between the first pattern by the second exposure has attracted attention recently. Many processes have been proposed as a double patterning method. For example, the first exposure and development form a photoresist pattern with 1: 3 line and space spacing, the lower hard mask is processed by dry etching, and another hard mask is laid on the first hard mask. In this exposure method, a line pattern is formed by exposure and development of a photoresist film in a space portion of the exposure, and a hard mask is processed by dry etching to form a line-and-space pattern that is half the pitch of the initial pattern. Also, a photoresist pattern having a space and line spacing of 1: 3 is formed by the first exposure and development, a hard mask in the lower layer is processed by dry etching, and a photoresist film is applied thereon to form a hard mask. The remaining space pattern is exposed to the remaining portion and the hard mask is processed by dry etching. In either case, the hard mask is processed by two dry etchings.

ラインパターンに比べてホールパターンは微細化が困難である。従来法で細かなホールを形成するために、ポジ型レジスト膜にホールパターンマスクを組み合わせてアンダー露光で形成しようとすると、露光マージンが極めて狭くなってしまう。そこで、大きなサイズのホールを形成し、サーマルフローやRELACSTM法等で現像後のホールをシュリンクする方法が提案されている。しかしながら、現像後のパターンサイズとシュリンク後のサイズの差が大きく、シュリンク量が大きいほど制御精度が低下する問題がある。また、ホールシュリンク法ではホールのサイズは縮小可能であるがピッチを狭くすることはできない。 It is difficult to make a hole pattern finer than a line pattern. If a hole pattern mask is combined with a positive resist film in order to form a fine hole by a conventional method, an exposure margin becomes extremely narrow. Therefore, a method has been proposed in which a hole having a large size is formed and the hole after development is shrunk by a thermal flow, a RELACS method or the like. However, there is a problem that the difference between the pattern size after development and the size after shrinking is large, and the control accuracy decreases as the shrink amount increases. In the hall shrink method, the hole size can be reduced, but the pitch cannot be reduced.

ポジ型レジスト膜を用いてダイポール照明によりX方向のラインパターンを形成し、レジストパターンを硬化させ、その上にもう一度レジスト組成物を塗布し、ダイポール照明でY方向のラインパターンを露光し、格子状ラインパターンの隙間よりホールパターンを形成する方法(非特許文献1:Proc. SPIE Vol. 5377, p.255 (2004))が提案されている。高コントラストなダイポール照明によるX、Yラインを組み合わせることによって広いマージンでホールパターンを形成できるが、上下に組み合わされたラインパターンを寸法精度高くエッチングすることは難しい。一方、X方向ラインのレベンソン型位相シフトマスクとY方向ラインのレベンソン型位相シフトマスクを組み合わせてネガ型レジスト膜を露光してホールパターンを形成する方法が提案されている(非特許文献2:IEEE IEDM Tech. Digest 61 (1996))。但し、架橋型ネガ型レジスト膜は超微細ホールの限界解像度がブリッジマージンで決まるために、解像力がポジ型レジスト膜に比べて低い欠点がある。   A positive resist film is used to form a line pattern in the X direction by dipole illumination, the resist pattern is cured, a resist composition is again applied thereon, and the line pattern in the Y direction is exposed by dipole illumination to form a lattice pattern. A method of forming a hole pattern from a gap between line patterns has been proposed (Non-patent Document 1: Proc. SPIE Vol. 5377, p. 255 (2004)). A hole pattern can be formed with a wide margin by combining X and Y lines by high-contrast dipole illumination, but it is difficult to etch the line pattern combined vertically with high dimensional accuracy. On the other hand, there has been proposed a method of forming a hole pattern by exposing a negative resist film by combining a Levenson type phase shift mask for X direction lines and a Levenson type phase shift mask for Y direction lines (Non-patent Document 2: IEEE). IEDM Tech.Digest 61 (1996)). However, the bridged negative resist film has a drawback that the resolution is lower than that of the positive resist film because the limit resolution of the ultrafine holes is determined by the bridge margin.

X方向のラインとY方向のラインの2回露光を組み合わせて露光し、これを画像反転によってネガパターンにすることによって形成されるホールパターンは、高コントラストなラインパターンの光を用いることによって形成が可能であるために、従来の方法よりもより狭ピッチでかつ微細なホールを開口できる。しかしながら、この場合マスクを交換しながらの2回の露光を行う必要があるため、これによるスループットの低下と、2回の露光の位置ずれが問題となる。   The hole pattern formed by exposing the X-direction line and the Y-direction line to a double exposure and combining it with a negative pattern by image inversion can be formed by using a high-contrast line pattern light. Therefore, it is possible to open fine holes with a narrower pitch than the conventional method. However, in this case, since it is necessary to perform the exposure twice while exchanging the mask, a decrease in throughput due to this and a positional deviation between the two exposures become problems.

非特許文献3(Proc. SPIE Vol. 7274, p.72740N (2009))では、以下3つの方法による画像反転によるホールパターンの作製が報告されている。
即ち、ポジ型レジスト組成物のX、Yラインのダブルダイポールの2回露光によりドットパターンを作製し、この上にLPCVDでSiO2膜を形成し、O2−RIEでドットをホールに反転させる方法、加熱によってアルカリ可溶で溶剤不溶になる特性のレジスト組成物を用いて同じ方法でドットパターンを形成し、この上にフェノール系のオーバーコート膜を塗布してアルカリ現像によって画像反転させてホールパターンを形成する方法、ポジ型レジスト組成物を用いてダブルダイポール露光、有機溶剤現像による画像反転によってホールを形成する方法である。これも前述の通り2回露光の問題点を有している。
Non-Patent Document 3 (Proc. SPIE Vol. 7274, p.72740N (2009)) reports the production of a hole pattern by image inversion by the following three methods.
That is, a method of forming a dot pattern by double exposure of a double dipole of X and Y lines of a positive resist composition, forming an SiO 2 film thereon by LPCVD, and inverting the dots into holes by O 2 -RIE A dot pattern is formed in the same way using a resist composition that becomes alkali-soluble and solvent-insoluble by heating, and a phenol-based overcoat film is applied thereon, and the image is inverted by alkali development to form a hole pattern. And a method of forming holes by double dipole exposure using a positive resist composition and image reversal by organic solvent development. This also has the problem of double exposure as described above.

近年、有機溶剤現像が再び脚光を浴びている。ポジティブトーンでは達成できない非常に微細なホールパターンをネガティブトーンの露光で解像するために、解像性の高いポジ型レジスト組成物を用いた有機溶剤現像でネガパターンを形成するのである。更に、アルカリ現像と有機溶剤現像の2回の現像を組み合わせることにより、2倍の解像力を得る検討も進められている。   In recent years, organic solvent development has attracted attention again. In order to resolve a very fine hole pattern that cannot be achieved by positive tone by negative tone exposure, a negative pattern is formed by organic solvent development using a positive resist composition having high resolution. Further, studies are being made to obtain double resolution by combining two developments, alkali development and organic solvent development.

有機溶剤によるネガティブトーン現像用のArFレジスト組成物としては、従来型のポジ型ArFレジスト組成物を用いることができ、特許文献1〜6(特開2008−281974号公報、特開2008−281975号公報、特開2008−281980号公報、特開2009−53657号公報、特開2009−25707号公報、特開2009−25723号公報)にパターン形成方法が示されている。
これらの出願において、ヒドロキシアダマンタンメタクリレートを共重合、ノルボルナンラクトンメタクリレートを共重合、あるいはカルボキシル基、スルホ基、フェノール基、チオール基等の酸性基を2種以上の酸不安定基で置換したメタクリレートを共重合、環状の酸安定基エステルを有するメタクリレートを共重合した有機溶剤現像用レジスト組成物及びこれを用いたパターン形成方法が提案されている。
As an ArF resist composition for negative tone development using an organic solvent, a conventional positive ArF resist composition can be used, and Patent Documents 1 to 6 (JP 2008-281974 A, JP 2008-281975 A). JP-A-2008-281980, JP-A-2009-53657, JP-A-2009-25707, and JP-A-2009-25723) show pattern forming methods.
In these applications, hydroxyadamantane methacrylate is copolymerized, norbornane lactone methacrylate is copolymerized, or a methacrylate in which acidic groups such as carboxyl group, sulfo group, phenol group, and thiol group are substituted with two or more acid labile groups. There have been proposed a resist composition for developing an organic solvent obtained by copolymerizing a methacrylate having a cyclic acid-stabilized ester and a pattern forming method using the same.

有機溶剤現像プロセスにおいて、レジスト膜上に保護膜を適用するパターン形成方法としては、特許文献7(特開2008−309878号公報)に公開されている。
有機溶剤現像プロセスにおいて、レジスト組成物としてスピンコート後のレジスト膜表面に配向して撥水性を向上させる添加剤を用いて、トップコートを用いないパターン形成方法としては、特許文献8(特開2008−309879号公報)に示されている。
A pattern forming method for applying a protective film on a resist film in an organic solvent development process is disclosed in Japanese Patent Application Laid-Open No. 2008-309878.
In an organic solvent development process, as a resist composition, a pattern forming method without using a top coat by using an additive that aligns on the surface of a resist film after spin coating and improves water repellency is disclosed in Patent Document 8 (Japanese Patent Application Laid-Open (JP-A) 2008). -309879).

前記特開2008−281974号公報中、現像液としては、ネガ型現像を行う際に使用し得る有機系現像液としては、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤等の極性溶剤及び炭化水素系溶剤が示され、具体的にはケトン系溶剤として、1−オクタノン、2−オクタノン、1−ノナノン、2−ノナノン、アセトン、4−ヘプタノン、1−ヘキサノン、2−ヘキサノン、ジイソブチルケトン、シクロヘキサノン、メチルシクロヘキサノン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、イソホロン、プロピレンカーボネート、エステル系溶剤として、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、エチルー3−エトキシプロピオネート、3−メトキシブチルアセテート、3−メチル−3−メトキシブチルアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、アルコール系溶剤としては、メチルアルコール、エチルアルコール、n−プロピルアルコール、イソプロピルアルコール、n−ブチルアルコール、sec−ブチルアルコール、tert−ブチルアルコール、イソブチルアルコール、n−ヘキシルアルコール、n−ヘプチルアルコール、n−オクチルアルコール、n−デカノール等のアルコールや、エチレングリコール、ジエチレングリコール、トリエチレングリコール等のグリコール系溶剤や、エチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、エチレングリコール、プロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、メトキシメチルブタノール等のグリコールエーテル系溶剤、エーテル系溶剤としては、上記グリコールエーテル系溶剤の他、ジオキサン、テトラヒドロフラン、アミド系溶剤としては、N−メチル−2−ピロリドン、N,N−ジメチルアセトアミド、N,N−ジメチルホルムアミド、ヘキサメチルホスホリックトリアミド、1,3−ジメチル−2−イミダゾリジノン、炭化水素系溶剤としては、トルエン、キシレン等の芳香族炭化水素系溶剤、ペンタン、ヘキサン、オクタン、デカン等の脂肪族炭化水素系溶剤が示されている。   In Japanese Patent Application Laid-Open No. 2008-281974, as a developing solution, examples of an organic developing solution that can be used for negative development include ketone solvents, ester solvents, alcohol solvents, amide solvents, ether solvents. Polar solvents such as solvents and hydrocarbon solvents are shown. Specifically, ketone solvents include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 4-heptanone, 1-hexanone, 2 -Hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone, methylethylketone, methylisobutylketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methylnaphthylketone, isophorone, propylene carbonate, e Tellurium solvents include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxypropio Nate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, alcohol solvents include methyl alcohol, ethyl alcohol , N-propyl alcohol, isopropyl alcohol, n-butyl alcohol, sec-butyl alcohol, tert-butyl alcohol, Alcohols such as sobutyl alcohol, n-hexyl alcohol, n-heptyl alcohol, n-octyl alcohol, n-decanol, glycol solvents such as ethylene glycol, diethylene glycol, triethylene glycol, ethylene glycol monomethyl ether, propylene glycol monomethyl Glycol ether solvents such as ether, ethylene glycol, propylene glycol, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether, and methoxymethylbutanol, ether solvents include the above glycol ether solvents, dioxane, tetrahydrofuran, amide solvents N-methyl-2-pyrrolidone, N, N-dimethylacetamide, N, N-dimethylformamide , Hexamethylphosphoric triamide, 1,3-dimethyl-2-imidazolidinone, hydrocarbon solvents include aromatic hydrocarbon solvents such as toluene and xylene, aliphatics such as pentane, hexane, octane, and decane A hydrocarbon-based solvent is shown.

これらの中で多くの有機溶剤が例示されているが、実際にはこれらの全てを用いることはできず、中にはスペース部分が溶解せずに全くパターンが形成されないものもあるし、膜減りが著しいものも存在する。また、引火点が低すぎて安全性の観点から実用に耐えない現像液も存在する。   Of these, many organic solvents are exemplified, but in reality, not all of them can be used, and some of them do not dissolve in the space part and the pattern is not formed at all. There are some that are remarkable. In addition, there is a developer whose flash point is too low to be practically used from the viewpoint of safety.

これらの出願において、ヒドロキシアダマンタンメタクリレートを共重合、ノルボルナンラクトンメタクリレートを共重合、あるいはカルボキシル基、スルホ基、フェノール基、チオール基等の酸性基を2種以上の酸不安定基で置換したメタクリレートを共重合、環状の酸安定基エステルを有するメタクリレートを共重合した有機溶剤現像用レジスト組成物及びこれを用いたパターン形成方法が提案されている。   In these applications, hydroxyadamantane methacrylate is copolymerized, norbornane lactone methacrylate is copolymerized, or a methacrylate in which acidic groups such as carboxyl group, sulfo group, phenol group, and thiol group are substituted with two or more acid labile groups. There have been proposed a resist composition for developing an organic solvent obtained by copolymerizing a methacrylate having a cyclic acid-stabilized ester and a pattern forming method using the same.

有機溶剤現像によるネガパターンの作製は古くから用いられている手法である。環化ゴム系のレジスト組成物はキシレン等のアルケンを現像液として用いており、ポリ−t−ブトキシカルボニルオキシスチレンベースの初期の化学増幅型レジスト組成物はアニソールを現像液としてネガパターンを得ていた。
特許文献9(特許第4445860号公報)には、カリックスアレーンをEB描画し、酢酸n−ブチルあるいは乳酸エチルで現像してネガパターンを得ている。
The production of negative patterns by organic solvent development is a technique that has been used for a long time. The cyclized rubber-based resist composition uses an alkene such as xylene as a developer, and the initial chemically amplified resist composition based on poly-t-butoxycarbonyloxystyrene has a negative pattern using anisole as a developer. It was.
In Patent Document 9 (Japanese Patent No. 4445860), calixarene is drawn by EB and developed with n-butyl acetate or ethyl lactate to obtain a negative pattern.

特開2008−281974号公報JP 2008-281974 A 特開2008−281975号公報JP 2008-281975 A 特開2008−281980号公報JP 2008-281980 A 特開2009−53657号公報JP 2009-53657 A 特開2009−25707号公報JP 2009-25707 A 特開2009−25723号公報JP 2009-25723 A 特開2008−309878号公報JP 2008-309878 A 特開2008−309879号公報JP 2008-309879 A 特許第4445860号公報Japanese Patent No. 4445860

Proc. SPIE Vol. 5377, p.255 (2004)Proc. SPIE Vol. 5377, p. 255 (2004) IEEE IEDM Tech. Digest 61 (1996)IEEE IEDM Tech. Digest 61 (1996) Proc. SPIE Vol. 7274, p.72740N (2009)Proc. SPIE Vol. 7274, p. 72740N (2009)

有機溶剤によるネガティブ現像を行うための溶解コントラストが高く、安全性が高い最適な現像液を開発する必要がある。
本発明は、有機溶剤によるネガティブトーン現像を行うための最適な現像液とレジスト組成物を組み合わせたパターン形成方法を提供することを目的とするものである。
It is necessary to develop an optimum developer having a high dissolution contrast and high safety for performing negative development with an organic solvent.
An object of the present invention is to provide a pattern forming method in which an optimum developer and a resist composition for performing negative tone development using an organic solvent are combined.

前述の有機溶剤現像液として、溶解コントラストの観点からは酢酸ブチルが好ましい。しかしながら、酢酸ブチルの引火点は28℃と低いために、これをコーターデベロッパーで安全に使用するためには防爆装置が必要となり、装置のコストアップにつながる。引火点が40℃以上で酢酸ブチルと同等あるいはそれ以上の溶解コントラストを供することができる有機溶剤現像液が求められている。   As the aforementioned organic solvent developer, butyl acetate is preferred from the viewpoint of dissolution contrast. However, since the flash point of butyl acetate is as low as 28 ° C., an explosion-proof device is required to use it safely in a coater developer, leading to an increase in the cost of the device. There is a need for an organic solvent developer that has a flash point of 40 ° C. or higher and can provide a dissolution contrast equivalent to or higher than that of butyl acetate.

酢酸ブチルよりも炭素数が多い酢酸アミルでは引火点が45℃であり、安全性の観点から好ましいが、未露光部の溶解速度が低下する。酢酸ブチルと同じ炭素数の蟻酸アミルは同程度の溶解コントラストを得ることができるが引火点が25℃であり、酢酸ブチルよりも更に引火性が増す。エステル系溶剤で酢酸ブチルよりも炭素数が少ない溶剤では引火点が更に下がり、また溶解性が高すぎて現像後のレジストパターンの露光部分の残膜が低下する。   An amyl acetate having more carbon atoms than butyl acetate has a flash point of 45 ° C., which is preferable from the viewpoint of safety, but the dissolution rate of the unexposed area is lowered. Amyl formate having the same number of carbon atoms as butyl acetate can obtain the same degree of dissolution contrast, but has a flash point of 25 ° C. and is more flammable than butyl acetate. An ester solvent having a carbon number less than that of butyl acetate further lowers the flash point, and the solubility is too high to reduce the remaining film of the exposed portion of the resist pattern after development.

従って、プロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノメチルエーテル等のエチレングリコール、プロピレングリコールのヒドロキシ基置換体及び乳酸エチル、シクロヘキサノン等は単独でレジスト用剤として用いられるぐらいに溶解性が高いために現像後のレジストパターンが残らない。アルコール系溶剤はトップコート用の溶剤に用いられるぐらいレジストの溶解性が低く、現像後の未露光部のスペースパターンが溶解しない。
引火点が40℃以上で溶解コントラストが高い現像液の開発が望まれている。
Therefore, propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate, ethylene glycol such as ethylene glycol monomethyl ether, hydroxy group-substituted propylene glycol, ethyl lactate, cyclohexanone, etc. are so soluble that they can be used alone as a resist agent. Therefore, the resist pattern after development does not remain. The alcohol solvent is so low in solubility of the resist that it is used as a solvent for the top coat, and the space pattern of the unexposed portion after development is not dissolved.
Development of a developer having a flash point of 40 ° C. or higher and a high dissolution contrast is desired.

本発明者は、かかる要望に応えるため鋭意検討を行った結果、下記一般式(1)中の繰り返し単位a、bを有する化学増幅ポジ型のレジスト組成物を用いて形成したレジスト膜を2−ヘプタノンを主成分とする現像液を用いて現像すると、レジスト膜の露光部分が溶解せず、非露光部分が溶解するネガティブトーンパターンが形成されると共に、その溶解コントラストを高くすることができ、格子状のマスクパターンを用いて露光、現像することにより、微細なホールパターンを形成し得ることを知見し、本発明をなすに至ったものである。   As a result of intensive studies to meet such demands, the present inventor has developed a resist film formed by using a chemically amplified positive resist composition having repeating units a and b in the following general formula (1). When developing with a developer containing heptanone as a main component, the exposed portion of the resist film does not dissolve, and a negative tone pattern is formed in which the non-exposed portion dissolves, and the dissolution contrast can be increased. It has been found that a fine hole pattern can be formed by exposure and development using a mask pattern having a shape, and the present invention has been made.

従って、本発明は、下記のパターン形成方法を提供する。
請求項1:
下記一般式(1)で示される酸不安定基で置換されたカルボキシル基を有する繰り返し単位aと、ラクトン環を有する繰り返し単位bの両方を含有する(メタ)アクリレートポリマーと、酸発生剤と、有機溶剤とを含むレジスト組成物を基板上に塗布してレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液として2−ヘプタノンを50質量%以上含有する溶液による現像を行うことを特徴とするパターン形成方法。

(式中、R1、R3は水素原子又はメチル基を示すが、互いに同一でも異なっていてもよい。R2は酸不安定基である。X、Yは単結合又は−C(=O)−O−R9−であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、該アルキレン基はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよく、あるいはナフチレン基である。R4、R6、R7、R8は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、トリフルオロメチル基、又はシアノ基、R5は水素原子、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、カルボキシル基、置換又は非置換の炭素数1〜12のアルコキシカルボニル基、又はシアノ基であり、Zはメチレン基、酸素原子又は硫黄原子である。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
請求項2:
現像液が、2−ヘプタノンに加えて、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、酢酸フェニル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、乳酸メチル、乳酸エチル、乳酸プルピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミルエステルから選ばれる1種以上が50質量%未満の割合で混合されたものであることを特徴とする請求項1記載のパターン形成方法。
請求項3:
高エネルギー線による露光が、波長193nmのArFエキシマレーザーによる液浸リソグラフィー、又は波長13.5nmのEUVリソグラフィーであることを特徴とする請求項1又は2記載のパターン形成方法。
請求項4:
光が照射された部分が現像液に溶解せず、未露光部分が現像液に溶解し、現像後のパターンがネガティブトーンになることを特徴とする請求項1乃至3のいずれか1項記載のパターン形成方法。
請求項5:
現像後にトレンチパターンを形成することを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。
請求項6:
波長193nmのArFエキシマレーザーによる液浸リソグラフィーにおいて、格子状のシフターパターンが配置されたハーフトーン位相シフトマスクを用い、格子状のシフター格子の交点に現像後のホールパターンを形成することを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。
請求項7:
格子状パターンが透過率3〜15%のハーフトーン位相シフトマスクであることを特徴とする請求項6記載のパターン形成方法。
請求項8:
ハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜30nm太い第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成することを特徴とする請求項6又は7記載のパターン形成方法。
請求項9:
ハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜100nm太いドットパターンの第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成することを特徴とする請求項6又は7記載のパターン形成方法。
Accordingly, the present invention provides the following pattern forming method.
Claim 1:
A (meth) acrylate polymer containing both a repeating unit a having a carboxyl group substituted with an acid labile group represented by the following general formula (1) and a repeating unit b having a lactone ring, an acid generator, A resist composition containing an organic solvent is applied onto a substrate to form a resist film, and after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, contains 50% by mass or more of 2-heptanone as a developer. The pattern formation method characterized by performing image development with the solution to do.

(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, but they may be the same or different. R 2 is an acid labile group. X and Y are a single bond or —C (═O ) —O—R 9 —, wherein R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and the alkylene group has an ether group, an ester group, a lactone ring or a hydroxy group. R 4 , R 6 , R 7 , R 8 may be a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, or a trifluoromethyl group. , Or a cyano group, R 5 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, a carboxyl group, a substituted or unsubstituted alkoxycarbonyl group having 1 to 12 carbon atoms, or a cyano group And Z is a methylene group, an oxygen atom or a sulfur atom. Are 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)
Claim 2:
In addition to 2-heptanone, the developer is 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, phenyl acetate , Propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, 2. The pattern forming method according to claim 1, wherein at least one selected from lactic acid isoamyl ester is mixed at a ratio of less than 50% by mass.
Claim 3:
3. The pattern forming method according to claim 1, wherein the exposure with the high energy beam is immersion lithography using an ArF excimer laser having a wavelength of 193 nm or EUV lithography having a wavelength of 13.5 nm.
Claim 4:
4. The light-irradiated portion is not dissolved in the developer, the unexposed portion is dissolved in the developer, and the pattern after development becomes a negative tone. Pattern forming method.
Claim 5:
The pattern forming method according to claim 1, wherein a trench pattern is formed after development.
Claim 6:
In immersion lithography using an ArF excimer laser with a wavelength of 193 nm, a post-development hole pattern is formed at the intersection of a lattice-like shifter grating using a halftone phase shift mask on which a lattice-like shifter pattern is arranged The pattern formation method of any one of Claims 1 thru | or 4.
Claim 7:
7. The pattern forming method according to claim 6, wherein the lattice pattern is a halftone phase shift mask having a transmittance of 3 to 15%.
Claim 8:
Phase shift in which a lattice-shaped first shifter having a line width of half pitch or less and a second shifter having a dimension on the wafer that is 2 to 30 nm thicker than the line width of the first shifter are arranged on the first shifter 8. The pattern forming method according to claim 6, wherein a hole pattern is formed only where the thick shifters are arranged using a mask.
Claim 9:
A grid-shaped first shifter having a line width of half pitch or less and a second shifter having a dot pattern that is 2 to 100 nm thicker on the wafer than the line width of the first shifter are arranged on the first shifter. 8. The pattern forming method according to claim 6, wherein a hole pattern is formed only where the thick shifters are arranged using the phase shift mask.

本発明の現像液を用いることによって、安全にネガティブトーンパターンを形成できるプロセスを構築できる。本発明の現像液は、酸拡散を抑えることができる特徴がある酸不安定基を有する(メタ)アクリレートと特定の有橋環式のラクトンを密着性基として有する(メタ)アクリレートの共重合体をベースとするレジスト組成物に対して特に溶解コントラストを高くすることができ、格子状のマスクパターンを用いた露光と現像によって微細なホールパターンを形成することが可能になる。   By using the developer of the present invention, a process capable of safely forming a negative tone pattern can be constructed. The developer of the present invention is a copolymer of (meth) acrylate having an acid labile group having a feature capable of suppressing acid diffusion and a (meth) acrylate having a specific bridged cyclic lactone as an adhesive group In particular, it is possible to increase the dissolution contrast with respect to a resist composition based on the above, and it is possible to form a fine hole pattern by exposure and development using a lattice-like mask pattern.

本発明に係るパターニング方法を説明するもので、(A)は基板上にフォトレジスト膜を形成した状態の断面図、(B)はフォトレジスト膜に露光した状態の断面図、(C)は有機溶剤で現像した状態の断面図である。BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a patterning method according to the present invention, where (A) is a cross-sectional view of a state where a photoresist film is formed on a substrate, (B) is a cross-sectional view of a state where the photoresist film is exposed, and (C) is an organic It is sectional drawing of the state developed with the solvent. 波長193nmのArFエキシマレーザーを用いたNA1.3レンズ、ダイポール照明、6%ハーフトーン位相シフトマスク、s偏光でのピッチ90nm、ラインサイズ45nmのX方向ラインの光学像を示す。An optical image of an X-direction line having a NA1.3 lens using an ArF excimer laser with a wavelength of 193 nm, dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm with s-polarized light, and a line size of 45 nm is shown. 同Y方向ラインの光学像を示す。The optical image of the Y direction line is shown. 図3のY方向ラインと図2のX方向ラインの光学像を重ねたコントラストイメージを示す。4 shows a contrast image in which optical images of the Y direction line in FIG. 3 and the X direction line in FIG. 2 are superimposed. 格子状のパターンが配されたマスクを示す。The mask on which a grid pattern is arranged is shown. NA1.3レンズ、クロスポール照明、6%ハーフトーン位相シフトマスク、Azimuthally偏光照明でのピッチ90nm、幅30nmの格子状ラインパターンの光学像である。It is an optical image of a lattice-like line pattern with a pitch of 90 nm and a width of 30 nm in NA 1.3 lens, cross pole illumination, 6% halftone phase shift mask, and azimuthally polarized illumination. NA1.3レンズ、クロスポール照明、6%ハーフトーン位相シフトマスク、Azimuthally偏光照明でのピッチ90nm、一辺の幅が60nmの正四角形のドットパターンが配置されたマスクである。This is a mask in which an NA1.3 lens, a cross-pole illumination, a 6% halftone phase shift mask, a square dot pattern having a pitch of 90 nm and a width of 60 nm in an azimuthally polarized illumination is arranged. 同マスクにおける光学像コントラストである。It is an optical image contrast in the mask. ピッチ90nmで、20nmラインの格子状パターン上に、ドットを形成したい部分に十字の太い交差ラインを配置したマスクを示す。A mask in which a thick cross line of a cross is arranged at a portion where a dot is to be formed on a 20 nm line grid pattern at a pitch of 90 nm is shown. 図9のマスクにおける光学像のコントラストイメージを示す。10 shows a contrast image of an optical image in the mask of FIG. ピッチ90nmで、15nmラインの格子状パターン上に、ドットを形成したい部分に太いドットを配置したマスクを示す。A mask is shown in which a thick dot is arranged at a portion where a dot is to be formed on a lattice pattern of 15 nm line at a pitch of 90 nm. 図11のマスクにおける光学像のコントラストイメージを示す。12 shows a contrast image of an optical image in the mask of FIG. 格子状パターンが配列されていないマスクを示す。The mask in which the grid pattern is not arranged is shown. 図13のマスクにおける光学像のコントラストイメージを示す。The contrast image of the optical image in the mask of FIG. 13 is shown. 実施例1−1、比較例1−1における露光量と膜厚との関係を示すグラフである。It is a graph which shows the relationship between the exposure amount in Example 1-1, and Comparative Example 1-1, and a film thickness. ArF露光パターニング評価(2)で用いた格子状マスクを示す。The lattice mask used by ArF exposure patterning evaluation (2) is shown. ArF露光パターニング評価(3)で用いた格子状の上にドットが配置されたパターンのマスクを示す。The mask of the pattern by which the dot is arrange | positioned on the grid | lattice shape used by ArF exposure patterning evaluation (3) is shown. ArF露光パターニング評価(4)で用いた格子状の上に太い格子が配置されたパターンのマスクを示す。The mask of the pattern by which a thick grating | lattice is arrange | positioned on the grating | lattice form used by ArF exposure patterning evaluation (4) is shown.

本発明は、上述したように酸不安定基を有する(メタ)アクリレートと特定の有橋環式のラクトンを密着性基として有する(メタ)アクリレートの共重合体をベースとするフォトレジスト組成物を塗布し、プリベークにより不要な溶剤を除去してレジスト膜を形成し、高エネルギー線を露光し、露光後加熱し、2−ヘプタノンを50質量%以上含有する有機溶剤現像によりネガティブパターンを得るパターン形成方法を提案するものである。   As described above, the present invention provides a photoresist composition based on a copolymer of (meth) acrylate having an acid labile group and a (meth) acrylate having a specific bridged cyclic lactone as an adhesive group. Coating, removing unnecessary solvent by pre-baking to form a resist film, exposing to high energy rays, heating after exposure, and pattern formation to obtain a negative pattern by developing an organic solvent containing 50% by mass or more of 2-heptanone A method is proposed.

酸不安定基を有する(メタ)アクリレートと特定の有橋環式のラクトンを密着性基として有する(メタ)アクリレートの共重合体の繰り返し単位としては、下記一般式(1)中の繰り返し単位a及びbである。

(式中、R1、R3は水素原子又はメチル基を示すが、互いに同一でも異なっていてもよい。R2は酸不安定基である。X、Yは単結合又は−C(=O)−O−R9−であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、該アルキレン基はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよく、あるいはナフチレン基である。R4、R6、R7、R8は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、トリフルオロメチル基、又はシアノ基、R5は水素原子、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、カルボキシル基、フッ素原子等で置換された非置換の炭素数1〜12のアルコキシカルボニル基、又はシアノ基であり、Zはメチレン基、酸素原子又は硫黄原子である。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
As a repeating unit of a copolymer of (meth) acrylate having an acid labile group and a (meth) acrylate having a specific bridged cyclic lactone as an adhesive group, the repeating unit a in the following general formula (1): And b.

(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, but they may be the same or different. R 2 is an acid labile group. X and Y are a single bond or —C (═O ) —O—R 9 —, wherein R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and the alkylene group has an ether group, an ester group, a lactone ring or a hydroxy group. R 4 , R 6 , R 7 , R 8 may be a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, or a trifluoromethyl group. Or a cyano group, R 5 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, a carboxyl group, an unsubstituted alkoxy group having 1 to 12 carbon atoms substituted with a fluorine atom, etc. Or a cyano group, Z is a methylene group, oxygen atom or sulfur atom A and b are ranges of 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)

繰り返し単位aを得るためのモノマーMaは、下記に示される。

(式中、R1は水素原子又はメチル基を示す。R2は酸不安定基である。Xは単結合又は−C(=O)−O−R9−であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよく、あるいはナフチレン基である。)
The monomer Ma for obtaining the repeating unit a is shown below.

(Wherein R 1 represents a hydrogen atom or a methyl group, R 2 represents an acid labile group, X represents a single bond or —C (═O) —O—R 9 —, and R 9 represents the number of carbon atoms. 1 to 10 linear, branched or cyclic alkylene groups which may have an ether group, an ester group, a lactone ring or a hydroxy group, or a naphthylene group.)

繰り返しモノマーMaのXを変えた構造は、具体的には下記に例示することができる。ここで、R1、R2は前述の通りである。
Specific examples of the structure in which the X of the repeating monomer Ma is changed can be exemplified below. Here, R 1 and R 2 are as described above.

一般式(1)中、R2で示される酸不安定基は種々選定され、従来から知られた酸不安定基が用いられるが、特に下記式(AL−10)、(AL−11)で示される基、下記式(AL−12)で示される三級アルキル基、炭素数4〜20のオキソアルキル基等が挙げられる。 In the general formula (1), various acid labile groups represented by R 2 are selected, and conventionally known acid labile groups are used. Particularly, in the following formulas (AL-10) and (AL-11) And a tertiary alkyl group represented by the following formula (AL-12), an oxoalkyl group having 4 to 20 carbon atoms, and the like.

式(AL−10)、(AL−11)において、R51、R54は炭素数1〜40、特に1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよい。R52、R53は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよく、a5は0〜10、特に1〜5の整数である。R52とR53、R52とR54、又はR53とR54はそれぞれ結合してこれらが結合する炭素原子又は炭素原子と酸素原子と共に炭素数3〜20、特に4〜16の環、特に脂環を形成してもよい。 In the formulas (AL-10) and (AL-11), R 51 and R 54 are monovalent hydrocarbon groups such as linear, branched or cyclic alkyl groups having 1 to 40 carbon atoms, particularly 1 to 20 carbon atoms. Yes, it may contain heteroatoms such as oxygen, sulfur, nitrogen and fluorine. R 52 and R 53 are each a hydrogen atom or a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and includes heteroatoms such as oxygen, sulfur, nitrogen and fluorine. Alternatively, a5 is an integer of 0 to 10, particularly 1 to 5. R 52 and R 53 , R 52 and R 54 , or R 53 and R 54 are bonded to each other to form a ring having 3 to 20 carbon atoms, particularly 4 to 16 carbon atoms, together with the carbon atom or carbon atom and oxygen atom to which they are bonded, An alicyclic ring may be formed.

55、R56、R57はそれぞれ炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよい。あるいはR55とR56、R55とR57、又はR56とR57はそれぞれ結合してこれらが結合する炭素原子と共に炭素数3〜20、特に4〜16の環、特に脂環を形成してもよい。 R 55 , R 56 , and R 57 are each a monovalent hydrocarbon group such as a linear, branched, or cyclic alkyl group having 1 to 20 carbon atoms, and include heteroatoms such as oxygen, sulfur, nitrogen, and fluorine. But you can. Alternatively, R 55 and R 56 , R 55 and R 57 , or R 56 and R 57 are combined to form a ring having 3 to 20 carbon atoms, particularly 4 to 16 carbon atoms, particularly an alicyclic ring, together with the carbon atom to which they are bonded. May be.

式(AL−10)に示される化合物を具体的に例示すると、tert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等、また下記一般式(AL−10)−1〜(AL−10)−10で示される置換基が挙げられる。   Specific examples of the compound represented by the formula (AL-10) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1-ethoxyethoxycarbonyl. Examples include a methyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like, and substituents represented by the following general formulas (AL-10) -1 to (AL-10) -10. .

式(AL−10)−1〜(AL−10)−10中、R58は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。R59は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R60は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。a5は上記の通りである。 In the formulas (AL-10) -1 to (AL-10) -10, R 58 is the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, aryl having 6 to 20 carbon atoms. Group or a C7-20 aralkyl group is shown. R 59 represents a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 60 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms. a5 is as described above.

前記式(AL−11)で示されるアセタール化合物を(AL−11)−1〜(AL−11)−44に例示する。   Examples of the acetal compound represented by the formula (AL-11) are (AL-11) -1 to (AL-11) -44.

また、酸不安定基として、下記一般式(AL−11a)あるいは(AL−11b)で表される基が挙げられ、該酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。   Examples of the acid labile group include groups represented by the following general formula (AL-11a) or (AL-11b), and the base resin may be intermolecularly or intramolecularly crosslinked by the acid labile group. Good.

上記式中、R61、R62は水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。又は、R61とR62は互いに結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR61、R62は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。R63は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、b5、d5は0又は1〜10の整数、好ましくは0又は1〜5の整数、c5は1〜7の整数である。Aは、(c5+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基は酸素、硫黄、窒素等のヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。 In the above formula, R 61 and R 62 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R 61 and R 62 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R 61 and R 62 are linear or A branched alkylene group is shown. R 63 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, b5 and d5 are 0 or an integer of 1 to 10, preferably 0 or an integer of 1 to 5, and c5 is an integer of 1 to 7. It is. A represents a (c5 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group having 1 to 50 carbon atoms, an aromatic hydrocarbon group or a heterocyclic group, and these groups are heteroatoms such as oxygen, sulfur and nitrogen. Or a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.

この場合、好ましくはAは2〜4価の炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、アルカントリイル基、アルカンテトライル基、炭素数6〜30のアリーレン基であり、これらの基は酸素、硫黄、窒素等のヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、c5は好ましくは1〜3の整数である。   In this case, A is preferably a divalent to tetravalent C1-C20 linear, branched or cyclic alkylene group, alkanetriyl group, alkanetetrayl group, or C6-C30 arylene group. These groups may have intervening heteroatoms such as oxygen, sulfur, nitrogen, etc., and some of the hydrogen atoms bonded to the carbon atoms are substituted by hydroxyl groups, carboxyl groups, acyl groups or halogen atoms. Also good. C5 is preferably an integer of 1 to 3.

一般式(AL−11a)、(AL−11b)で示される架橋型アセタール基は、具体的には下記式(AL−11)−45〜(AL−11)−52のものが挙げられる。   Specific examples of the crosslinked acetal group represented by the general formulas (AL-11a) and (AL-11b) include those represented by the following formulas (AL-11) -45 to (AL-11) -52.

次に、前記式(AL−12)に示される三級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、tert−アミル基等、あるいは下記一般式(AL−12)−1〜(AL−12)−16で示される基を挙げることができる。   Next, examples of the tertiary alkyl group represented by the formula (AL-12) include tert-butyl group, triethylcarbyl group, 1-ethylnorbornyl group, 1-methylcyclohexyl group, 1-ethylcyclopentyl group, tert Examples thereof include an amyl group and the like, or groups represented by the following general formulas (AL-12) -1 to (AL-12) -16.

上記式中、R64は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示し、R64同士が結合してこれらが結合する炭素原子と共に炭素数3〜20、特に4〜16の環、特に脂環を形成してもよい。R65、R67は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R66は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。 In the above formula, R 64 represents the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, A ring having 3 to 20 carbon atoms, particularly 4 to 16 carbon atoms, particularly an alicyclic ring may be formed together with carbon atoms to which R 64 are bonded to each other. R 65 and R 67 each represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 66 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms.

更に、酸不安定基として、下記式(AL−12)−17、(AL−12)−18に示す基が挙げられ、2価以上のアルキレン基、又はアリーレン基であるR68を含む該酸不安定基によってベース樹脂が分子内あるいは分子間架橋されていてもよい。式(AL−12)−17、(AL−12)−18のR64は前述と同様、R68は炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、又はアリーレン基を示し、酸素原子や硫黄原子、窒素原子等のヘテロ原子を含んでいてもよい。b6は1〜3の整数である。 Further, examples of the acid labile group include groups represented by the following formulas (AL-12) -17 and (AL-12) -18, and the acid containing R 68 which is a divalent or higher valent alkylene group or an arylene group. The base resin may be intramolecularly or intermolecularly cross-linked by an unstable group. In the formulas (AL-12) -17 and (AL-12) -18, R 64 represents the same as described above, and R 68 represents a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, or an arylene group. And may contain a hetero atom such as an oxygen atom, a sulfur atom or a nitrogen atom. b6 is an integer of 1 to 3.

なお、上述したR64、R65、R66、R67は酸素、窒素、硫黄等のヘテロ原子を有していてもよく、具体的には下記式(AL−13)−1〜(AL−13)−7に示すことができる。 R 64 , R 65 , R 66 , R 67 described above may have a heteroatom such as oxygen, nitrogen, sulfur, etc., specifically, the following formulas (AL-13) -1 to (AL— 13) -7.

特に、R2で示される酸不安定基として、下記式(AL−12)−19に示されるエキソ体構造を有するものが好ましい。

(式中、R69は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示す。R70〜R75及びR78、R79はそれぞれ独立に水素原子又は炭素数1〜15のヘテロ原子を含んでもよいアルキル基等の1価炭化水素基を示し、R76、R77は水素原子を示す。あるいは、R70とR71、R72とR74、R72とR75、R73とR75、R73とR79、R74とR78、R76とR77、又はR77とR78は互いに結合してこれらが結合する炭素原子と共に環(特に脂環)を形成してもよく、その場合には環の形成に関与するものは炭素数1〜15のヘテロ原子を含んでもよいアルキレン基等の2価炭化水素基を示す。またR70とR79、R76とR79、又はR72とR74は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい。また、本式により、鏡像体も表す。)
In particular, the acid labile group represented by R 2 is preferably one having an exo structure represented by the following formula (AL-12) -19.

(In the formula, R 69 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms or an optionally substituted aryl group having 6 to 20 carbon atoms. R 70 to R 75 and R 78. , R 79 is each independently a monovalent hydrocarbon group, a hydrogen atom or an alkyl group that may contain a hetero atom having 1 to 15 carbon atoms, R 76, R 77 is a hydrogen atom. Alternatively, the R 70 R 71 , R 72 and R 74 , R 72 and R 75 , R 73 and R 75 , R 73 and R 79 , R 74 and R 78 , R 76 and R 77 , or R 77 and R 78 are bonded to each other. A ring (particularly an alicyclic ring) may be formed together with the carbon atom to which they are bonded, and in this case, those involved in the formation of the ring are divalent such as an alkylene group which may contain a hetero atom having 1 to 15 carbon atoms. a hydrocarbon group. Further R 70 and R 79, R 76 and R 79, or R 72 and R 74 is nothing through in between those bonded to the adjacent carbon Bind without, may form a double bond. The formula also represents enantiomer.)

ここで、一般式(AL−12)−19に示すエキソ体構造を有する下記繰り返し単位

を得るためのエステル体のモノマーとしては、特開2000−327633号公報に示されている。具体的には下記に示すものを挙げることができるが、これらに限定されることはない。なお、R1は上記の通りである。
Here, the following repeating unit having an exo-body structure represented by the general formula (AL-12) -19

JP-A-2000-327633 discloses an ester monomer for obtaining the above. Specific examples include the following, but are not limited thereto. R 1 is as described above.

更に、前記R2に用いられる酸不安定基としては、下記式(AL−12)−20に示されるフランジイル基、テトラヒドロフランジイル基又はオキサノルボルナンジイル基を有する酸不安定基を挙げることができる。 Furthermore, examples of the acid labile group used for R 2 include an acid labile group having a furandiyl group, a tetrahydrofurandiyl group or an oxanorbornanediyl group represented by the following formula (AL-12) -20. .


(式中、R80、R81はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示す。又は、R80、R81は互いに結合してこれらが結合する炭素原子と共に炭素数3〜20の脂肪族炭化水素環を形成してもよい。R82はフランジイル基、テトラヒドロフランジイル基又はオキサノルボルナンジイル基から選ばれる2価の基を示す。R83は水素原子又はヘテロ原子を含んでもよい炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示す。)

(In the formula, R 80 and R 81 each independently represent a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or R 80 and R 81 are bonded to each other. And an aliphatic hydrocarbon ring having 3 to 20 carbon atoms, together with the carbon atom to which they are bonded, R 82 represents a divalent group selected from a frangyl group, a tetrahydrofurandiyl group or an oxanorbornanediyl group. R 83 represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may contain a hydrogen atom or a hetero atom.)

下記フランジイル基、テトラヒドロフランジイル基又はオキサノルボルナンジイル基を有する酸不安定基で置換された繰り返し単位

を得るためのモノマーとしては、下記に例示される。なお、R1は上記の通りである。また、下記式中Meはメチル基、Acはアセチル基を示す。
A repeating unit substituted with an acid labile group having the following furandyl group, tetrahydrofurandiyl group or oxanorbornanediyl group

Examples of the monomer for obtaining the are as follows. R 1 is as described above. In the following formulae, Me represents a methyl group, and Ac represents an acetyl group.

上記の酸不安定基としては、(AL−12)の3級エステル型が有機溶剤現像における溶解コントラストが高く、好ましく用いられる。3級エステルの中では(AL−12)−1〜(AL−12)−16、(AL−12)−19に挙げられる酸不安定基が最も好ましく用いられる。   As the acid labile group, the tertiary ester type (AL-12) has a high dissolution contrast in organic solvent development and is preferably used. Among tertiary esters, acid labile groups listed in (AL-12) -1 to (AL-12) -16, (AL-12) -19 are most preferably used.

繰り返し単位bに用いられるモノマーは、具体的には下記に例示される。ここで、R3は前述の通りである。
The monomer used for the repeating unit b is specifically exemplified below. Here, R 3 is as described above.

密着性基としては、ラクトンを有するものが好ましく用いられる。ラクトンの密着性基の場合は、2−ヘプタノンを現像液として用いた場合の溶解コントラストが高いだけでなく、酸拡散を抑えることができる特徴がある。ラクトンの中でも繰り返し単位bで示される構造のラクトンが溶解コントラスト向上と酸拡散制御の点で優れている。その中でも一般式(1)の繰り返し単位b中のYが単結合のものが酸拡散制御の観点で優れている。   As the adhesive group, those having a lactone are preferably used. In the case of an adhesive group of lactone, there is a feature that not only the dissolution contrast is high when 2-heptanone is used as a developer, but also acid diffusion can be suppressed. Among lactones, a lactone having a structure represented by the repeating unit b is excellent in terms of improving dissolution contrast and controlling acid diffusion. Among them, those in which Y in the repeating unit b of the general formula (1) is a single bond are excellent from the viewpoint of acid diffusion control.

本発明のパターン形成方法に用いられるレジスト組成物のベースとなる高分子化合物は、一般式(1)の繰り返し単位aと、繰り返し単位bを有することが必須であるが、更にはヒドロキシ基、シアノ基、カルボニル基、エステル基、エーテル基、ラクトン環、カルボキシル基、カルボン酸無水物基等の密着性基を有するモノマーに由来する繰り返し単位cを共重合させてもよい。
繰り返し単位cを得るためのモノマーとしては、具体的に下記に挙げることができる。
The polymer compound serving as the base of the resist composition used in the pattern forming method of the present invention must have the repeating unit a and the repeating unit b of the general formula (1). A repeating unit c derived from a monomer having an adhesive group such as a group, a carbonyl group, an ester group, an ether group, a lactone ring, a carboxyl group, or a carboxylic anhydride group may be copolymerized.
Specific examples of the monomer for obtaining the repeating unit c include the following.

更に、下記一般式で示されるスルホニウム塩d1〜d3のいずれかを共重合することもできる。

(式中、R20、R24、R28は水素原子又はメチル基、R21は単結合、フェニレン基、−O−R33−、又は−C(=O)−Y−R33−である。Yは酸素原子又はNH、R33は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基(−CO−)、エステル基(−COO−)、エーテル基(−O−)又はヒドロキシ基を含んでいてもよい。R22、R23、R25、R26、R27、R29、R30、R31は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z0は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R32−、又は−C(=O)−Z1−R32−である。Z1は酸素原子又はNH、R32は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。)
Furthermore, any of the sulfonium salts d1 to d3 represented by the following general formula may be copolymerized.

(Wherein R 20 , R 24 and R 28 are a hydrogen atom or a methyl group, R 21 is a single bond, a phenylene group, —O—R 33 —, or —C (═O) —Y—R 33 —. Y is an oxygen atom or NH, R 33 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, an alkenylene group or a phenylene group, a carbonyl group (—CO—), an ester group (—COO) -), An ether group (-O-) or a hydroxy group, R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , R 31 may be the same or different. 1 to 12 linear, branched or cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms or an aralkyl having 7 to 20 carbon atoms Z 0 represents a single bond, a methylene group, an ethylene group, a phenyl group, or a thiophenyl group. Rene group, fluorinated phenylene group, —O—R 32 —, or —C (═O) —Z 1 —R 32 —, wherein Z 1 is an oxygen atom or NH, and R 32 has 1 to 6 carbon atoms. A linear, branched or cyclic alkylene group, an alkenylene group or a phenylene group, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, and M represents a non-nucleophilic counter ion. .)

上記繰り返し単位a、b、c、d1、d2、d3において、繰り返し単位の比率は、0<a<1.0、0<b<1.0、0<a+b≦1.0、0≦c<1.0、0≦d1<0.2、0≦d2<0.2、0≦d3<0.2、好ましくは、0.1≦a≦0.9、0.1≦b≦0.9、0.2≦a+b≦1.0、0≦c≦0.9、0≦d1≦0.15、0≦d2≦0.15、0≦d3≦0.15、より好ましくは、0.15≦a≦0.8、0.15≦b≦0.8、0.25≦a+b≦1.0、0≦c≦0.8、0≦d1≦0.12、0≦d2≦0.12、0≦d3≦0.12の範囲である。なお、a+b+c+d1+d2+d3=1である。   In the repeating units a, b, c, d1, d2, and d3, the ratio of the repeating units is 0 <a <1.0, 0 <b <1.0, 0 <a + b ≦ 1.0, 0 ≦ c <. 1.0, 0 ≦ d1 <0.2, 0 ≦ d2 <0.2, 0 ≦ d3 <0.2, preferably 0.1 ≦ a ≦ 0.9, 0.1 ≦ b ≦ 0.9 0.2 ≦ a + b ≦ 1.0, 0 ≦ c ≦ 0.9, 0 ≦ d1 ≦ 0.15, 0 ≦ d2 ≦ 0.15, 0 ≦ d3 ≦ 0.15, more preferably 0.15 ≦ a ≦ 0.8, 0.15 ≦ b ≦ 0.8, 0.25 ≦ a + b ≦ 1.0, 0 ≦ c ≦ 0.8, 0 ≦ d1 ≦ 0.12, 0 ≦ d2 ≦ 0.12 , 0 ≦ d3 ≦ 0.12. Note that a + b + c + d1 + d2 + d3 = 1.

ここで、例えばa+b=1とは、繰り返し単位a,bを含む高分子化合物において、繰り返し単位a,bの合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+b<1とは、繰り返し単位a,bの合計量が全繰り返し単位の合計量に対して100モル%未満でa,b以外に他の繰り返し単位c等を有していることを示す。   Here, for example, a + b = 1 indicates that in the polymer compound containing the repeating units a and b, the total amount of the repeating units a and b is 100 mol% with respect to the total amount of all the repeating units. <1 indicates that the total amount of the repeating units a and b is less than 100 mol% with respect to the total amount of all the repeating units and has other repeating units c and the like in addition to a and b.

本発明のパターン形成方法に用いられるレジスト組成物のベース樹脂となる高分子化合物は、ゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量が1,000〜500,000、特に2,000〜30,000であることが好ましい。重量平均分子量が小さすぎると有機溶剤現像時に膜減りを生じ易くなったり、大きすぎると有機溶剤への溶解性が低下し、パターン形成後に裾引き現象が生じ易くなる可能性がある。   The polymer compound serving as the base resin of the resist composition used in the pattern forming method of the present invention has a polystyrene-reduced weight average molecular weight of 1,000 to 500,000, particularly 2,000 to 4,000 by gel permeation chromatography (GPC). 30,000 is preferred. If the weight average molecular weight is too small, film loss tends to occur at the time of organic solvent development, and if it is too large, the solubility in the organic solvent decreases, and the trailing phenomenon may easily occur after pattern formation.

更に、本発明のパターン形成方法に用いられるレジスト組成物のベース樹脂となる高分子化合物においては、分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト組成物を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。   Furthermore, in the high molecular compound used as the base resin of the resist composition used in the pattern forming method of the present invention, when the molecular weight distribution (Mw / Mn) is wide, there is a low molecular weight or high molecular weight polymer. Thereafter, foreign matter may be seen on the pattern or the shape of the pattern may be deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, a multi-component copolymer to be used is used to obtain a resist composition suitably used for fine pattern dimensions. The molecular weight distribution of is preferably 1.0 to 2.0, particularly 1.0 to 1.5, and is narrowly dispersed.

また、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドしたり、酸不安定基で置換されたヒドロキシ基を含まないポリマーとブレンドすることも可能である。
ヒドロキシ基を酸不安定基で置換した繰り返し単位を有する高分子化合物と、カルボキシル基を酸不安定基で置換した繰り返し単位を有する高分子化合物をブレンドすることも可能であるし、ヒドロキシ基を酸不安定基で置換した繰り返し単位と、カルボキシル基を酸不安定基で置換した繰り返し単位の両方を有する高分子化合物にヒドロキシ基を酸不安定基で置換した繰り返し単位を有する高分子化合物をブレンドしたり、カルボキシル基を酸不安定基で置換した繰り返し単位を有する高分子化合物をブレンドすることも可能である。
It is also possible to blend two or more polymers having different composition ratios, molecular weight distributions, and molecular weights, or to blend with a polymer not containing a hydroxy group substituted with an acid labile group.
It is also possible to blend a polymer compound having a repeating unit in which a hydroxy group is substituted with an acid labile group and a polymer compound having a repeating unit in which a carboxyl group is substituted with an acid labile group. A polymer compound having both a repeating unit substituted with an labile group and a repeating unit having a carboxyl group substituted with an acid labile group is blended with a polymer compound having a repeating unit having a hydroxy group substituted with an acid labile group. Alternatively, a polymer compound having a repeating unit in which a carboxyl group is substituted with an acid labile group can be blended.

これら高分子化合物を合成するには、1つの方法としては繰り返し単位a、b、c、d1、d2、d3を得るための不飽和結合を有するモノマーを有機溶剤中、ラジカル開始剤を加えて加熱重合を行う方法があり、これにより高分子化合物を得ることができる。重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。酸不安定基は、モノマーに導入されたものをそのまま用いてもよいし、重合後保護化あるいは部分保護化してもよい。   In order to synthesize these polymer compounds, one method is to heat a monomer having an unsaturated bond to obtain repeating units a, b, c, d1, d2, and d3 in an organic solvent by adding a radical initiator. There is a method of performing polymerization, whereby a polymer compound can be obtained. Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours. As the acid labile group, those introduced into the monomer may be used as they are, or they may be protected or partially protected after polymerization.

上記ポジ型レジスト組成物は、上述したように、基板上に塗布してレジスト膜を形成し、加熱処理後に高エネルギー線をこのレジスト膜の所用部分に照射、露光し、加熱処理後に有機溶剤の現像液を用いて上記レジスト膜の未露光部分を有機溶剤によるネガティブ現像で溶解させ、トレンチパターンやホールパターン等のネガティブパターンを形成する。   As described above, the positive resist composition is applied on a substrate to form a resist film, and after heat treatment, a high energy ray is irradiated and exposed to a desired portion of the resist film. Using a developer, the unexposed portion of the resist film is dissolved by negative development with an organic solvent to form a negative pattern such as a trench pattern or a hole pattern.

本発明のパターン形成方法に用いられるレジスト組成物は、有機溶剤、高エネルギー線に感応して酸を発生する化合物(酸発生剤)、必要に応じて溶解制御剤、塩基性化合物、界面活性剤、その他の成分を含有することができる。   The resist composition used in the pattern forming method of the present invention comprises an organic solvent, a compound that generates an acid in response to high energy rays (acid generator), and if necessary, a dissolution controller, a basic compound, and a surfactant. Other components can be contained.

本発明のパターン形成方法に用いられるレジスト組成物は、特に化学増幅ポジ型レジスト組成物として機能させるために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。この場合、光酸発生剤の配合量はベース樹脂100質量部に対し0.5〜30質量部、特に1〜20質量部とすることが好ましい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するが、これらは単独であるいは2種以上混合して用いることができる。   The resist composition used in the pattern forming method of the present invention may contain an acid generator, particularly for functioning as a chemically amplified positive resist composition, for example, a compound that generates an acid in response to actinic rays or radiation. (Photoacid generator) may be contained. In this case, the compounding amount of the photoacid generator is preferably 0.5 to 30 parts by mass, particularly 1 to 20 parts by mass with respect to 100 parts by mass of the base resin. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these may be used alone or in combination of two or more.

酸発生剤の具体例としては、特開2008−111103号公報の段落[0122]〜[0142]に記載されている。繰り返し単位d1、d2、d3から選ばれる重合性の酸発生剤が共重合されている場合は、必ずしも酸発生剤は添加しなくてもよい。   Specific examples of the acid generator are described in paragraphs [0122] to [0142] of JP-A-2008-111103. When a polymerizable acid generator selected from the repeating units d1, d2, and d3 is copolymerized, it is not always necessary to add the acid generator.

本発明のパターン形成方法に用いられるレジスト組成物は、更に、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤、アセチレンアルコール類のいずれか1つ以上を含有することができる。   The resist composition used in the pattern forming method of the present invention may further contain any one or more of an organic solvent, a basic compound, a dissolution controller, a surfactant, and acetylene alcohols.

有機溶剤の具体例としては、特開2008−111103号公報の段落[0144]〜[0145]に記載のシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられ、塩基性化合物としては段落[0146]〜[0164]に記載の1級、2級、3級のアミン化合物、特にはヒドロキシ基、エーテル基、エステル基、ラクトン環、シアノ基、スルホン酸エステル基を有するアミン化合物あるいは特許第3790649号公報に記載のカルバメート基を有する化合物を挙げることができる。界面活性剤は段落[0165]〜[0166]、溶解制御剤としては特開2008−122932号公報の段落[0155]〜[0178]、アセチレンアルコール類は段落[0179]〜[0182]に記載のものを用いることができる。   Specific examples of the organic solvent include ketones such as cyclohexanone and methyl-2-n-amyl ketone described in paragraphs [0144] to [0145] of JP-A-2008-111103, 3-methoxybutanol, 3-methyl- Alcohols such as 3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether , Ethers such as diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, pyruvic acid Esters such as chill, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, lactones such as γ-butyrolactone, and the like Examples of basic compounds include primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164], particularly hydroxy groups, ether groups, ester groups, lactone rings, and cyano. And an amine compound having a sulfonic acid ester group or a compound having a carbamate group described in Japanese Patent No. 3790649. The surfactant is described in paragraphs [0165] to [0166], the dissolution control agent is described in paragraphs [0155] to [0178] of JP-A-2008-122932, and the acetylene alcohols are described in paragraphs [0179] to [0182]. Things can be used.

スピンコート後のレジスト表面の撥水性を向上させるための高分子化合物を添加することもできる。この添加剤はトップコートを用いない液浸リソグラフィーに用いることができる。このような添加剤は特定構造の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有し、特開2007−297590号公報、特開2008−111103号公報、特開2008−122932号公報、特開2009−98638号公報、特開2009−276363号公報に例示されている。レジスト組成物に添加される撥水性向上剤は、現像液の有機溶剤に溶解する必要がある。前述の特定の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する撥水性向上剤は、現像液への溶解性が良好である。撥水性の添加剤として、アミノ基やアミン塩を繰り返し単位として共重合した高分子化合物は、PEB中の酸の蒸発を防いで現像後のホールパターンの開口不良を防止する効果が高い。アミノ基を共重合した撥水性の高分子化合物を添加するレジスト組成物としては、特開2009−31767号公報に、スルホン酸アミン塩の共重合品は特開2008−107443号公報に、カルボン酸アミン塩の共重合品は特開2008−239918号公報に記載されているものを用いることができる。撥水性向上剤の添加量は、レジスト組成物のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.5〜10質量部である。   A polymer compound for improving the water repellency of the resist surface after spin coating can also be added. This additive can be used in immersion lithography without a topcoat. Such an additive has a 1,1,1,3,3,3-hexafluoro-2-propanol residue having a specific structure, and is disclosed in JP-A-2007-297590, JP-A-2008-111103, Examples are Japanese Unexamined Patent Application Publication No. 2008-122932, Japanese Unexamined Patent Application Publication No. 2009-98638, and Japanese Unexamined Patent Application Publication No. 2009-276363. The water repellency improver added to the resist composition must be dissolved in the organic solvent of the developer. The above-mentioned water repellent improver having a specific 1,1,1,3,3,3-hexafluoro-2-propanol residue has good solubility in a developer. As a water-repellent additive, a polymer compound copolymerized with amino groups or amine salts as a repeating unit has a high effect of preventing the evaporation of an acid in PEB and preventing a defective opening of a hole pattern after development. A resist composition to which a water-repellent polymer compound copolymerized with an amino group is added is disclosed in JP 2009-31767 A, and a copolymer of sulfonic acid amine salt is disclosed in JP 2008-107443 A. As the copolymerized amine salt, those described in JP-A-2008-239918 can be used. The addition amount of the water repellency improver is 0.1 to 20 parts by mass, preferably 0.5 to 10 parts by mass with respect to 100 parts by mass of the base resin of the resist composition.

なお、有機溶剤の配合量はベース樹脂100質量部に対し100〜10,000質量部、特に300〜8,000質量部とすることが好ましい。また、塩基性化合物の配合量はベース樹脂100質量部に対し0.0001〜30質量部、特に0.001〜20質量部とすることが好ましい。   In addition, it is preferable that the compounding quantity of an organic solvent shall be 100-10,000 mass parts with respect to 100 mass parts of base resins, especially 300-8,000 mass parts. Moreover, it is preferable that the compounding quantity of a basic compound shall be 0.0001-30 mass parts with respect to 100 mass parts of base resins, especially 0.001-20 mass parts.

本発明に係るパターニング方法は、図1に示される。この場合、図1(A)に示したように、本発明においては基板10上に形成した被加工基板20に直接又は中間介在層30を介してポジ型レジスト組成物を基板上に塗布してレジスト膜40を形成する。レジスト膜の厚さとしては、10〜1,000nm、特に20〜500nmであることが好ましい。このレジスト膜は、露光前に加熱(プリベーク)を行うが、この条件としては60〜180℃、特に70〜150℃で10〜300秒間、特に15〜200秒間行うことが好ましい。
なお、基板10としては、シリコン基板が一般的に用いられる。被加工基板20としては、SiO2、SiN、SiON、SiOC、p−Si、α−Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、低誘電膜及びそのエッチングストッパー膜が挙げられる。中間介在層30としては、SiO2、SiN、SiON、p−Si等のハードマスク、カーボン膜による下層膜と珪素含有中間膜、有機反射防止膜等が挙げられる。
The patterning method according to the present invention is shown in FIG. In this case, as shown in FIG. 1A, in the present invention, a positive resist composition is applied on the substrate 20 to be processed formed on the substrate 10 directly or via the intermediate intervening layer 30. A resist film 40 is formed. The thickness of the resist film is preferably 10 to 1,000 nm, particularly 20 to 500 nm. This resist film is heated (pre-baked) before exposure, and as this condition, it is preferable to carry out at 60 to 180 ° C., particularly 70 to 150 ° C. for 10 to 300 seconds, and particularly 15 to 200 seconds.
As the substrate 10, a silicon substrate is generally used. Examples of the substrate 20 to be processed include SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, a low dielectric film, and an etching stopper film thereof. It is done. Examples of the intermediate intervening layer 30 include hard masks such as SiO 2 , SiN, SiON, and p-Si, a lower layer film made of a carbon film, a silicon-containing intermediate film, and an organic antireflection film.

次いで、図1(B)に示すように露光50を行う。ここで、露光は波長140〜250nmの高エネルギー線、波長13.5nmのEUVが挙げられるが、中でもArFエキシマレーザーによる193nmの露光が最も好ましく用いられる。露光は大気中や窒素気流中のドライ雰囲気でもよいし、水中の液浸露光であってもよい。ArF液浸リソグラフィーにおいては液浸溶剤として純水、又はアルカン等の屈折率が1以上で露光波長に高透明の液体が用いられる。液浸リソグラフィーでは、プリベーク後のレジスト膜と投影レンズの間に、純水やその他の液体を挿入する。これによってNAが1.0以上のレンズ設計が可能となり、より微細なパターン形成が可能になる。液浸リソグラフィーはArFリソグラフィーを45nmノードまで延命させるための重要な技術である。液浸露光の場合は、レジスト膜上に残った水滴残りを除去するための露光後の純水リンス(ポストソーク)を行ってもよいし、レジスト膜からの溶出物を防ぎ、膜表面の滑水性を上げるために、プリベーク後のレジスト膜上に保護膜を形成させてもよい。液浸リソグラフィーに用いられるレジスト保護膜を形成する材料としては、例えば、水に不溶でアルカリ現像液に溶解する1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する高分子化合物をベースとし、炭素数4以上のアルコール系溶剤、炭素数8〜12のエーテル系溶剤、又はこれらの混合溶剤に溶解させた材料が好ましい。この場合、保護膜形成用組成物は、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する繰り返し単位等のモノマーから得られるものが挙げられる。保護膜は有機溶剤の現像液に溶解する必要があるが、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する繰り返し単位からなる高分子化合物は前述の有機溶剤現像液に溶解する。特に、特開2007−25634号公報、特開2008−3569号公報、特開2008−81716号公報、特開2008−111089号公報に例示の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する保護膜材料の有機溶剤現像液に対する溶解性は高い。   Next, exposure 50 is performed as shown in FIG. Here, high energy rays having a wavelength of 140 to 250 nm and EUV having a wavelength of 13.5 nm can be used as the exposure, and among these, exposure at 193 nm with an ArF excimer laser is most preferably used. The exposure may be a dry atmosphere in the air or a nitrogen stream, or may be immersion exposure in water. In ArF immersion lithography, pure water or an alkane or the like having a refractive index of 1 or more and a highly transparent liquid at the exposure wavelength is used as an immersion solvent. In immersion lithography, pure water or other liquid is inserted between a pre-baked resist film and a projection lens. As a result, a lens with an NA of 1.0 or more can be designed, and a finer pattern can be formed. Immersion lithography is an important technique for extending the life of ArF lithography to the 45 nm node. In the case of immersion exposure, pure water rinsing (post-soak) after exposure to remove the water droplet residue remaining on the resist film may be performed, and elution from the resist film is prevented, and the surface lubricity of the film is prevented. In order to increase the thickness, a protective film may be formed on the resist film after pre-baking. As a material for forming a resist protective film used in immersion lithography, for example, it has a 1,1,1,3,3,3-hexafluoro-2-propanol residue that is insoluble in water and soluble in an alkaline developer. A material based on a polymer compound and dissolved in an alcohol solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixed solvent thereof is preferable. In this case, the protective film-forming composition may be obtained from a monomer such as a repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue. Although the protective film needs to be dissolved in an organic solvent developer, the polymer compound composed of a repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue is the above-mentioned organic solvent. Dissolve in developer. In particular, 1,1,1,3,3,3-hexafluoro exemplified in JP2007-25634A, JP2008-3569A, JP2008-81716A, and JP2008-111089A1. The solubility of the protective film material having a 2-propanol residue in an organic solvent developer is high.

フォトレジスト膜形成後に、純水リンス(ポストソーク)を行うことによってレジスト膜表面からの酸発生剤等の抽出、あるいはパーティクルの洗い流しを行ってもよいし、露光後に膜上に残った水を取り除くためのリンス(ポストソーク)を行ってもよい。   After the photoresist film is formed, pure water rinsing (post-soak) may be performed to extract an acid generator or the like from the resist film surface or to wash out particles, or to remove water remaining on the film after exposure. Rinse (post-soak) may be performed.

露光における露光量は1〜200mJ/cm2程度、好ましくは10〜100mJ/cm2程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、1〜5分間、好ましくは80〜120℃、1〜3分間ポストエクスポージュアベーク(PEB)する。 It is preferable to expose so that the exposure amount in exposure is about 1 to 200 mJ / cm 2 , preferably about 10 to 100 mJ / cm 2 . Next, post-exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably 80 to 120 ° C. for 1 to 3 minutes.

更に、図1(C)に示されるように有機溶剤の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより未露光部分が溶解するネガティブパターン40aが基板上に形成される。この時の現像液としては、有機溶剤の現像液が2−ヘプタノンを50質量%以上含有し、2−ヘプタノンに加えて、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノンのケトン類、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、酢酸フェニル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、乳酸メチル、乳酸エチル、乳酸プルピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミルエステル類から選ばれる1種以上の溶液を50質量%未満の割合で混合されていても構わない。   Furthermore, as shown in FIG. 1C, using an organic solvent developer, the immersion method (dip), paddle method, spray (0.1-3 minutes, preferably 0.5-2 minutes) The negative pattern 40a in which the unexposed portion is dissolved is formed on the substrate by development by a conventional method such as a spray method. As a developer at this time, an organic solvent developer contains 50 mass% or more of 2-heptanone, and in addition to 2-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methyl acetophenone Ketones, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, phenyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, crotonic acid One or more solutions selected from methyl, ethyl crotonate, methyl lactate, ethyl lactate, purpyl lactate, butyl lactate, isobutyl lactate, amyl lactate, and isoamyl lactate ester may be mixed in a proportion of less than 50% by mass. Absent.

2−ヘプタノンは、従来挙げられている現像液の酢酸ブチルよりも高い引火点であり、安全性に優れているだけでなく、現像後の溶解コントラストが高い特徴を有する。即ち現像後のγ(コントラストカーブの傾き)が高く、露光部の膜減りが少ない。   2-heptanone has a flash point higher than that of butyl acetate of a conventional developer, and has not only excellent safety but also high dissolution contrast after development. That is, after development, γ (contrast curve slope) is high, and film loss in the exposed area is small.

現像の終了時には、リンスを行う。リンス液としては、現像液と混溶し、レジスト膜を溶解させない溶剤が好ましい。このような溶剤としては、炭素数3〜10のアルコール、炭素数8〜12のエーテル化合物、炭素数6〜12のアルカン、アルケン、アルキン、芳香族系の溶剤が好ましく用いられる。   At the end of development, rinse is performed. As the rinsing liquid, a solvent which is mixed with the developer and does not dissolve the resist film is preferable. As such a solvent, alcohols having 3 to 10 carbon atoms, ether compounds having 8 to 12 carbon atoms, alkanes having 6 to 12 carbon atoms, alkenes, alkynes, and aromatic solvents are preferably used.

具体的に、炭素数6〜12のアルカンとしてはヘキサン、ヘプタン、オクタン、ノナン、デカン、ウンデカン、ドデカン、メチルシクロペンタン、ジメチルシクロペンタン、シクロヘキサン、メチルシクロヘキサン、ジメチルシクロヘキサン、シクロヘプタン、シクロオクタン、シクロノナンが挙げられる。炭素数6〜12のアルケンとしては、ヘキセン、ヘプテン、オクテン、シクロヘキセン、メチルシクロヘキセン、ジメチルシクロヘキセン、シクロヘプテン、シクロオクテン、炭素数6〜12のアルキンとしては、ヘキシン、ヘプチン、オクチンなどが挙げられ、炭素数3〜10のアルコールとしては、n−プロピルアルコール、イソプロピルアルコール、1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−エチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、1−オクタノールが挙げられる。
炭素数8〜12のエーテル化合物としては、ジ−n−ブチルエーテル、ジイソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジイソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−t−アミルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶剤が挙げられる。
前述の溶剤に加えてトルエン、キシレン、エチルベンゼン、イソプロピルベンゼン、t−ブチルベンゼン、メシチレン等の芳香族系の溶剤を用いることもできる。
Specifically, as the alkane having 6 to 12 carbon atoms, hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, cyclononane. Is mentioned. Examples of the alkene having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, cyclooctene, alkyne having 6 to 12 carbon atoms, hexyne, heptin, octyne and the like. As the alcohol of several 3 to 10, n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3- Xanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2 -Methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1- Examples include pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol.
Examples of the ether compound having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, and di-t-amyl. One or more kinds of solvents selected from ether and di-n-hexyl ether are exemplified.
In addition to the aforementioned solvents, aromatic solvents such as toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene, mesitylene and the like can also be used.

ネガティブトーン現像によってホールパターンを形成する場合、X、Y方向の2回のラインパターンのダイポール照明による露光を行うことが最もコントラストが高い光を用いることができる。ダイポール照明に併せてs偏光照明を加えると、更にコントラストを挙げることができる。   When a hole pattern is formed by negative tone development, it is possible to use light having the highest contrast when exposure is performed by dipole illumination of two line patterns in the X and Y directions. If s-polarized illumination is added to the dipole illumination, the contrast can be further increased.

図2は、波長193nmのArFエキシマレーザーを用いたNA1.3レンズ、ダイポール照明、6%ハーフトーン位相シフトマスク、s偏光でのピッチ90nm、ラインサイズ45nmのX方向ラインの光学像を示す。
図3は、波長193nmのArFエキシマレーザーを用いたNA1.3レンズ、ダイポール照明、6%ハーフトーン位相シフトマスク、s偏光でのピッチ90nm、ラインサイズ45nmのY方向ラインの光学像を示す。色が濃い方が遮光部分、白い方が光の強い領域であり、白と黒のコントラスト差がはっきりしており、特に強い遮光部分が存在することが示されている。
図4は、Y方向ラインにX方向ラインの光学像を重ねたコントラストイメージである。XとYのラインの組み合わせで格子状のイメージができ上がるように思われるがそうではなく、光の弱い黒い部分のパターンは円形である。円形のサイズが大きい場合は菱形形状で隣のパターンとつながり易いが、円のサイズが小さいほど円形度合いが向上し、強く遮光された小さな円が存在することが示されている。
FIG. 2 shows an optical image of an X-direction line having a NA1.3 lens using an ArF excimer laser with a wavelength of 193 nm, dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm with s-polarized light, and a line size of 45 nm.
FIG. 3 shows an optical image of an NA 1.3 lens using an ArF excimer laser with a wavelength of 193 nm, dipole illumination, a 6% halftone phase shift mask, a pitch of 90 nm with s-polarized light, and a Y-direction line with a line size of 45 nm. The darker one is the light-shielding portion, the white one is the light-intensive region, and the contrast difference between white and black is clear, indicating that there is a particularly strong light-shielding portion.
FIG. 4 is a contrast image in which the optical image of the X direction line is superimposed on the Y direction line. The combination of X and Y lines seems to produce a lattice-like image, but the pattern of the black part where light is weak is circular. When the size of the circle is large, it is easy to connect to the adjacent pattern with a rhombus shape, but it is shown that the smaller the size of the circle, the better the degree of circle and there is a small circle that is strongly shielded from light.

X、Y方向のラインを2回のダイポール照明と偏光照明とで組み合わせた露光は、確かに高コントラストであるが、2回の露光とその間のマスクの交換によってスループットが大幅に低下する欠点がある。そこで、格子状のパターンのマスクを用いてX、Y方向のそれぞれのダイポール照明で2回露光する方法が提案されている(前述非特許文献1)。これだとマスクの交換が必要ないため、連続した2回の露光で済むためスループットがやや向上する。しかしながら、高価な液浸スキャナーを使っての2回の露光は、スループットの低下とコストアップにつながるし、2回の露光のアライメントの位置ずれによって穴の位置が本来の場所からずれる問題を有している。
ここで、格子状のパターンのマスクを使って、X、Yの偏光照明とクロスポール照明を組み合わせれば、1回の露光でホールパターンを形成することができ、かなりのスループットの向上が見込まれるし、2回露光によるアライメントずれの問題は回避される。このようなマスクと照明を用いれば、実用的なコストで40nmクラスのホールパターンを形成することが可能になる。
The exposure that combines X and Y direction lines with two dipole illuminations and polarized illumination is certainly high contrast, but there is a drawback that the throughput is greatly reduced by the two exposures and the exchange of the mask between them. . Therefore, a method has been proposed in which exposure is performed twice with dipole illumination in the X and Y directions using a mask having a lattice pattern (Non-Patent Document 1). In this case, since the mask does not need to be replaced, the throughput is slightly improved because two consecutive exposures are required. However, two exposures using an expensive immersion scanner lead to a decrease in throughput and cost, and there is a problem that the position of the hole deviates from the original position due to misalignment of the two exposures. ing.
Here, by using a lattice pattern mask and combining X and Y polarized illumination and cross-pole illumination, a hole pattern can be formed in a single exposure, and a considerable improvement in throughput is expected. In addition, the problem of misalignment due to double exposure is avoided. If such a mask and illumination are used, a hole pattern of 40 nm class can be formed at a practical cost.

図5に示される格子状のパターンが配されたマスクでは、格子の交点が強く遮光され、図6に示されるように、非常に遮光性の高い黒点が現れる。図6では、NA1.3レンズ、クロスポール照明、6%ハーフトーン位相シフトマスク、Azimuthally偏光照明でのピッチ90nm、幅30nmの格子状ラインパターンの光学像である。このようなパターンのマスクを用いて露光を行い、ポジネガ反転を伴う有機溶剤による現像を行うことによって微細なホールパターンを形成することができる。   In the mask on which the grid pattern shown in FIG. 5 is arranged, the intersection of the grid is strongly shielded from light, and as shown in FIG. 6, a black spot with very high light shielding properties appears. FIG. 6 is an optical image of a lattice-like line pattern with a pitch of 90 nm and a width of 30 nm in NA 1.3 lens, cross pole illumination, 6% halftone phase shift mask, and azimuthally polarized illumination. A fine hole pattern can be formed by performing exposure using a mask having such a pattern and developing with an organic solvent accompanied by positive / negative reversal.

図7に示されるNA1.3レンズ、クロスポール照明、6%ハーフトーン位相シフトマスク、Azimuthally偏光照明でのピッチ90nm、一辺の幅が60nmの正四角形のドットパターンが配置されたマスクにおける光学像コントラストが図8に示される。この場合、図6に比べて強い遮光部分の円の面積が小さくなり、格子状パターンのマスクに比べてコントラストが低いことが分かる。   Optical image contrast in the mask shown in FIG. 7 in which a square dot pattern with a pitch of 90 nm and a side width of 60 nm is arranged with NA 1.3 lens, cross pole illumination, 6% halftone phase shift mask, and azimuthally polarized illumination. Is shown in FIG. In this case, it can be seen that the area of the circle of the strong light-shielding portion is smaller than that of FIG. 6, and the contrast is lower than that of the mask having the lattice pattern.

ピッチや位置がランダムに配列された微細なホールパターンの形成が困難である。密集パターンは、ダイポール、クロスポール等の斜入射照明に位相シフトマスクと偏光を組み合わせた超解像技術によってコントラストを向上することができるが、孤立パターンのコントラストはそれほど向上しない。   It is difficult to form a fine hole pattern in which pitches and positions are randomly arranged. The dense pattern can be improved in contrast by super-resolution technology combining a phase shift mask and polarized light with oblique incidence illumination such as dipole and cross pole, but the contrast of the isolated pattern is not improved so much.

密集の繰り返しパターンに対して超解像技術を用いた場合、孤立パターンとの粗密(プロキシミティー)バイアスが問題になる。強い超解像技術を使えば使うほど密集パターンの解像力が向上するが、孤立パターンの解像力は変わらないために、粗密バイアスが拡大する。微細化に伴うホールパターンにおける粗密バイアスの増加は深刻な問題である。粗密バイアスを抑えるために、一般的にはマスクパターンの寸法にバイアスを付けることが行われている。粗密バイアスはフォトレジスト組成物の特性、即ち、溶解コントラストや酸拡散によっても変わるために、フォトレジスト組成物の種類毎にマスクの粗密バイアスが変化する。フォトレジスト組成物の種類毎に粗密バイアスを変えたマスクを用いることになり、マスク製作の負担が増している。そこで、強い超解像照明で密集ホールパターンのみを解像させ、パターンの上に1回目のポジ型レジストパターンを溶解させないアルコール溶剤のネガ型レジスト膜を塗布し、不必要なホール部分を露光、現像することによって閉塞させて密集パターンと孤立パターンの両方を作製する方法(Pack and unpack;PAU法)が提案されている(Proc. SPIE Vol. 5753 p171 (2005))。この方法の問題点は、1回目の露光と2回目の露光の位置ずれが挙げられ、この点については文献の著者も指摘している。また、2回目の現像で塞がれないホールパターンは2回現像されることになり、これによる寸法変化も問題として挙げられる。   When the super-resolution technique is used for a dense repetitive pattern, a coarse / dense (proximity) bias with an isolated pattern becomes a problem. The stronger the super-resolution technology is used, the higher the resolution of the dense pattern, but the resolution of the isolated pattern does not change, so the density bias increases. The increase in the density bias in the hole pattern accompanying the miniaturization is a serious problem. In order to suppress the density bias, generally, a bias is applied to the dimension of the mask pattern. Since the density bias varies depending on the characteristics of the photoresist composition, that is, dissolution contrast and acid diffusion, the density bias of the mask varies depending on the type of the photoresist composition. Masks with different density biases are used for each type of photoresist composition, increasing the burden of mask production. Therefore, only the dense hole pattern is resolved with strong super-resolution illumination, a negative resist film of an alcohol solvent that does not dissolve the first positive resist pattern is applied on the pattern, and unnecessary hole portions are exposed. There has been proposed a method (Pack and unpack; PAU method) in which both a dense pattern and an isolated pattern are produced by blocking by development (Proc. SPIE Vol. 5753 p171 (2005)). Problems with this method include misalignment between the first exposure and the second exposure, and the author of the literature points out this point. Further, a hole pattern that is not blocked by the second development is developed twice, and a dimensional change due to this is also a problem.

ランダムピッチのホールパターンをポジネガ反転の有機溶剤現像で形成するためには、格子状のパターンが全面に配列され、ホールを形成する場所だけに格子の幅を太くしたマスクを用いる。
ピッチ90nmで、20nmラインの格子状パターン上に、図9に示すようにドットを形成したい部分に十字の太い交差ラインを配置する。色の黒い部分がハーフトーンのシフター部分である。孤立性の所ほど太いライン(図9では幅40nm)、密集部分では幅30nmのラインが配置されている。密集パターンよりも孤立パターンの方が光の強度が弱くなるために、太いラインが用いられる。密集パターンの端の部分も光の強度がやや低下するために、密集部分の中心よりもやや幅広の32nmのラインが宛われている。
図9のマスクの光学像のコントラストイメージが図10に示される。黒い遮光部分にポジネガ反転によってホールが形成される。ホールが形成されるべき場所以外にも黒点が見られるが、黒点のサイズは小さいために、実際には殆ど転写されない。不必要な部分の格子ラインの幅を狭くしたりするなどの更なる最適化によって、不必要なホールの転写を防止することが可能である。
In order to form a hole pattern with a random pitch by organic solvent development with positive / negative reversal, a mask in which a lattice-like pattern is arranged on the entire surface and the width of the lattice is increased only at a place where a hole is to be formed is used.
As shown in FIG. 9, thick cross lines with a cross are arranged on a lattice pattern of 20 nm lines at a pitch of 90 nm, as shown in FIG. The black part of the color is the halftone shifter part. A thicker line (40 nm in FIG. 9) is arranged in the isolated portion, and a line having a width of 30 nm is arranged in the dense part. A thick line is used because an isolated pattern has a lower light intensity than a dense pattern. Since the intensity of light also slightly decreases at the end portion of the dense pattern, a line of 32 nm that is slightly wider than the center of the dense portion is assigned.
A contrast image of the optical image of the mask of FIG. 9 is shown in FIG. A hole is formed in the black light-shielding part by positive / negative reversal. Black spots can be seen in places other than where the holes are to be formed, but since the size of the black spots is small, practically little transfer is performed. It is possible to prevent unnecessary hole transfer by further optimization such as narrowing the width of the grid lines of unnecessary portions.

同じく格子状のパターンを全面に配列し、ホールを形成する場所だけに太いドットを配置したマスクを用いることもできる。ピッチ90nmで、15nmラインの格子状パターン上に、図11に示すようにドットを形成したい部分に太いドットを配置する。色の黒い部分がハーフトーンのシフター部分である。孤立性の所ほど大きなドット(図11では一辺90nm)、密集部分では一辺55nmの四角状のドットが配置されている。ドットの形状は正四角形でも、長方形、菱形、5角形、6角形、7角形、8角形以上の多角形、円形でも構わない。図11のマスクにおける光学像のコントラストイメージが図12に示される。図10に比べてもほぼ同等の黒い遮光部分が存在し、ポジネガ反転によってホールが形成されることが示されている。   Similarly, a mask in which grid-like patterns are arranged on the entire surface and thick dots are arranged only at the positions where holes are formed can be used. As shown in FIG. 11, thick dots are arranged on a grid pattern of 15 nm lines at a pitch of 90 nm, as shown in FIG. The black part of the color is the halftone shifter part. A dot having a larger size (a side of 90 nm in FIG. 11) is arranged as it is isolated, and a square dot having a side of 55 nm is arranged in a dense part. The shape of the dot may be a regular square, a rectangle, a rhombus, a pentagon, a hexagon, a heptagon, an octagon or more polygon, and a circle. FIG. 12 shows a contrast image of the optical image in the mask of FIG. Compared to FIG. 10, there is a black light shielding portion that is almost equivalent, and it is shown that holes are formed by positive / negative reversal.

図13に示されるような格子状パターンが配列されていないマスクを用いた場合、図14に示されるように黒い遮光部分は現れない。この場合はホールの形成が困難であるか、もし形成できたとしても光学像のコントラストが低いために、マスク寸法のバラツキがホールの寸法のバラツキに大きく反映する結果となる。   When a mask in which a grid pattern is not arranged as shown in FIG. 13 is used, a black light shielding portion does not appear as shown in FIG. In this case, it is difficult to form a hole, or even if it can be formed, the contrast of the optical image is low, and as a result, the variation in the mask size largely reflects the variation in the size of the hole.

以下、合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記実施例等に制限されるものではない。なお、重量平均分子量(Mw)はGPCによるポリスチレン換算重量平均分子量を示す。   EXAMPLES Hereinafter, although a synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example etc. In addition, a weight average molecular weight (Mw) shows the polystyrene conversion weight average molecular weight by GPC.

[合成例]
レジスト組成物に用いる高分子化合物として、各々のモノマーを組み合わせてテトラヒドロフラン溶剤下で共重合反応を行い、メタノールに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して、以下に示す組成の高分子化合物(ポリマー1〜14及び比較ポリマー1,2)を得た。得られた高分子化合物の組成は1H−NMR、分子量及び分散度はゲルパーミエーションクロマトグラフィーにより確認した。
[Synthesis example]
As a polymer compound used in the resist composition, the respective monomers are combined and subjected to a copolymerization reaction in a tetrahydrofuran solvent, crystallized in methanol, further washed with hexane, isolated and dried, and the composition shown below Polymer compounds (Polymers 1 to 14 and Comparative Polymers 1 and 2) were obtained. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

レジストポリマー1
分子量(Mw)=8,900
分散度(Mw/Mn)=1.79
Resist polymer 1
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.79

レジストポリマー2
分子量(Mw)=8,300
分散度(Mw/Mn)=1.75
Resist polymer 2
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.75

レジストポリマー3
分子量(Mw)=7,500
分散度(Mw/Mn)=1.86
Resist polymer 3
Molecular weight (Mw) = 7,500
Dispersity (Mw / Mn) = 1.86

レジストポリマー4
分子量(Mw)=8,300
分散度(Mw/Mn)=1.80
Resist polymer 4
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.80

レジストポリマー5
分子量(Mw)=8,300
分散度(Mw/Mn)=1.77
Resist polymer 5
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.77

レジストポリマー6
分子量(Mw)=7,500
分散度(Mw/Mn)=1.79
Resist polymer 6
Molecular weight (Mw) = 7,500
Dispersity (Mw / Mn) = 1.79

レジストポリマー7
分子量(Mw)=8,730
分散度(Mw/Mn)=1.77
Resist polymer 7
Molecular weight (Mw) = 8,730
Dispersity (Mw / Mn) = 1.77

レジストポリマー8
分子量(Mw)=6,500
分散度(Mw/Mn)=1.79
Resist polymer 8
Molecular weight (Mw) = 6,500
Dispersity (Mw / Mn) = 1.79

レジストポリマー9
分子量(Mw)=9,100
分散度(Mw/Mn)=1.95
Resist polymer 9
Molecular weight (Mw) = 9,100
Dispersity (Mw / Mn) = 1.95

レジストポリマー10
分子量(Mw)=8,300
分散度(Mw/Mn)=1.77
Resist polymer 10
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.77

レジストポリマー11
分子量(Mw)=8,500
分散度(Mw/Mn)=1.75
Resist polymer 11
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.75

レジストポリマー12
分子量(Mw)=8,800
分散度(Mw/Mn)=1.78
Resist polymer 12
Molecular weight (Mw) = 8,800
Dispersity (Mw / Mn) = 1.78

レジストポリマー13
分子量(Mw)=8,800
分散度(Mw/Mn)=1.79
Resist polymer 13
Molecular weight (Mw) = 8,800
Dispersity (Mw / Mn) = 1.79

レジストポリマー14
分子量(Mw)=8,300
分散度(Mw/Mn)=1.69
Resist polymer 14
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.69

比較レジストポリマー1
分子量(Mw)=8,600
分散度(Mw/Mn)=1.88
Comparative resist polymer 1
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.88

比較レジストポリマー2
分子量(Mw)=8,900
分散度(Mw/Mn)=1.93
Comparative resist polymer 2
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.93

下記表中の各組成は次の通りである。
酸発生剤:PAG1、PAG2(下記構造式参照)
Each composition in the following table is as follows.
Acid generator: PAG1, PAG2 (see structural formula below)

撥水性ポリマー1
分子量(Mw)=9,100
分散度(Mw/Mn)=1.83
Water repellent polymer 1
Molecular weight (Mw) = 9,100
Dispersity (Mw / Mn) = 1.83

撥水性ポリマー2
分子量(Mw)=7,300
分散度(Mw/Mn)=1.54
Water repellent polymer 2
Molecular weight (Mw) = 7,300
Dispersity (Mw / Mn) = 1.54

塩基性化合物:Quencher1、Quencher2(下記構造式参照)

有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン)
Basic compounds: Quencher1, Quencher2 (see structural formula below)

Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)

ArF露光パターニング評価(1)
下記表1に示す組成で調製したレジスト組成物を、シリコンウエハーに日産化学工業(株)製反射防止膜を80nmの膜厚で作製した基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを160nmにした。
これをArFエキシマレーザースキャナー((株)ニコン製、NSR−305B、NA0.68、σ0.73)で0.2mJ/cm2ステップで露光量を変化させながらオープンフレーム露光を行った。露光後110℃で60秒間ベーク(PEB)し、表2に示される有機溶剤で60秒間パドル現像を行った後、表2に示される有機溶剤で500rpmでリンスした後、2,000rpmでスピンドライし、100℃で60秒間ベークしてリンス溶剤を蒸発させ、ネガ現像を行った。
PEB後の膜厚、有機溶剤現像後の膜厚を測定し、露光量と膜厚の関係(コントラストカーブ)を求めた。結果を図15に示す。
また、コントラストカーブの傾きγ、露光部分のPEBの膜厚から現像後の膜厚の差分を求めた。結果を表2に示す。
ArF exposure patterning evaluation (1)
A resist composition prepared with the composition shown in Table 1 below was spin-coated on a silicon wafer with an anti-reflective film manufactured by Nissan Chemical Industries, Ltd. having a thickness of 80 nm, and heated at 100 ° C. using a hot plate. The resist film was baked for 60 seconds to a thickness of 160 nm.
This was subjected to open frame exposure with an ArF excimer laser scanner (Nikon Corporation, NSR-305B, NA 0.68, σ 0.73) while changing the exposure amount in 0.2 mJ / cm 2 steps. After exposure, baking (PEB) at 110 ° C. for 60 seconds, paddle development with the organic solvent shown in Table 2 for 60 seconds, rinsing at 500 rpm with the organic solvent shown in Table 2, and spin drying at 2,000 rpm Then, baking was performed at 100 ° C. for 60 seconds to evaporate the rinsing solvent, and negative development was performed.
The film thickness after PEB and the film thickness after organic solvent development were measured, and the relationship between the exposure amount and the film thickness (contrast curve) was determined. The results are shown in FIG.
Further, the difference in film thickness after development was obtained from the slope γ of the contrast curve and the PEB film thickness at the exposed portion. The results are shown in Table 2.

ArF露光パターニング評価(2)
下記表3に示す組成で調製したレジスト組成物を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL−50(カーボンの含有量が80質量%)を200nm、その上に珪素含有スピンオンハードマスクSHB−A941(珪素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを100nmにした。これをArFエキシマレーザー液浸スキャナー((株)ニコン製、NSR−610C、NA1.30、σ0.98/0.78、クロスポール開口20度、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク、ウエハー上寸法がピッチ90nm、ライン幅30nmの図16に示されるレイアウトの格子状マスク)を用いて露光量を変化させながら露光を行い、露光後、表4に示される温度で60秒間ベーク(PEB)し、現像ノズルから表4に示す現像液を3秒間30rpmで回転させながら吐出させ、その後、静止パドル現像を27秒間行い、ジイソアミルエーテルでリンス後、スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させた。
ArF exposure patterning evaluation (2)
A resist composition prepared with the composition shown in Table 3 below was applied to a silicon wafer with a spin-on carbon film ODL-50 (carbon content of 80% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. having a thickness of 200 nm and silicon-containing spin-on hard. A mask SHB-A941 (silicon content: 43 mass%) was spin-coated on a substrate for a trilayer process having a film thickness of 35 nm, and baked at 100 ° C. for 60 seconds using a hot plate to form a resist film The thickness was set to 100 nm. This is an ArF excimer laser immersion scanner (manufactured by Nikon Corporation, NSR-610C, NA 1.30, σ0.98 / 0.78, cross pole opening 20 degrees, azimuthally polarized illumination, 6% halftone phase shift mask, wafer The upper dimensions are 90 nm pitch and the grid width of the layout shown in FIG. 16 is used. The exposure is performed while changing the exposure amount. After the exposure, baking is performed at the temperature shown in Table 4 for 60 seconds (PEB). Then, the developer shown in Table 4 was discharged from the developing nozzle while rotating at 30 rpm for 3 seconds, followed by static paddle development for 27 seconds, rinsed with diisoamyl ether, spin-dried, and baked at 100 ° C. for 20 seconds. The rinse solvent was evaporated.

溶剤現像のイメージ反転されたホールパターン50箇所の寸法を(株)日立ハイテクノロジーズ製TDSEM(S−9380)で測定し、3σの寸法バラツキを求めた。結果を表4に示す。   The dimensions of 50 hole patterns obtained by reversing the image of the solvent development were measured with TDSEM (S-9380) manufactured by Hitachi High-Technologies Corporation to determine the dimensional variation of 3σ. The results are shown in Table 4.

ArF露光パターニング評価(3)
上記表3に示す組成で調製したレジスト組成物(レジスト2−1,2、比較レジスト2−1,2)を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL−50(カーボンの含有量が80質量%)を200nm、その上に珪素含有スピンオンハードマスクSHB−A940(珪素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを100nmにした。これをArFエキシマレーザー液浸スキャナー((株)ニコン製、NSR−610C、NA1.30、σ0.98/0.78、クロスポール開口20度、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク、ウエハー上寸法がピッチ90nm、ライン幅15nmの図17に示されるレイアウトの格子状の上にドットが配置されたパターンのマスク)を用いて露光量とフォーカス位置を変化させながら露光を行い、露光後、表5に示される温度で60秒間ベーク(PEB)し、現像ノズルから2−ヘプタノンを3秒間30rpmで回転させながら吐出させ、その後、静止パドル現像を27秒間行い、ジイソアミルエーテルでリンス後、スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させた。
ArF exposure patterning evaluation (3)
Resist compositions (resist 2-1 and 2 and comparative resists 2-1 and 2) prepared with the compositions shown in Table 3 above were applied to a silicon wafer on a spin-on carbon film ODL-50 (manufactured by Shin-Etsu Chemical Co., Ltd.). The spin-on hard mask SHB-A940 (silicon content is 43% by mass) having a film thickness of 35 nm is spin-coated on a substrate for a trilayer process. Then, the resist film was baked at 100 ° C. for 60 seconds using a hot plate to make the thickness of the resist film 100 nm. This is an ArF excimer laser immersion scanner (manufactured by Nikon Corporation, NSR-610C, NA 1.30, σ0.98 / 0.78, cross pole opening 20 degrees, azimuthally polarized illumination, 6% halftone phase shift mask, wafer The exposure is performed while changing the exposure amount and the focus position using a mask of a pattern in which dots are arranged on a lattice pattern shown in FIG. 17 having a pitch of 90 nm and a line width of 15 nm as shown in FIG. Bake (PEB) at the temperature shown in Table 5 for 60 seconds, discharge 2-heptanone from the developing nozzle while rotating at 30 rpm for 3 seconds, then perform stationary paddle development for 27 seconds, rinse with diisoamyl ether, spin Dry and bake at 100 ° C. for 20 seconds to evaporate the rinse solvent.

溶剤現像のイメージ反転されたホールパターンの寸法を(株)日立ハイテクノロジーズ製TDSEM(S−9380)で測定し、40nm±5nmになっているフォーカスマージン(DoF)を求めた。同一露光量、同一フォーカスショット内50箇所のホールの寸法を測定し、3σの寸法バラツキを求めた。結果を表5に示す。   The dimension of the hole pattern in which the image of the solvent development was reversed was measured with TDSEM (S-9380) manufactured by Hitachi High-Technologies Corporation, and the focus margin (DoF) of 40 nm ± 5 nm was obtained. The dimensions of 50 holes in the same exposure shot and the same focus shot were measured to obtain a 3σ dimensional variation. The results are shown in Table 5.

ArF露光パターニング評価(4)
上記表3に示す組成で調製したレジスト組成物(レジスト2−1,2、比較レジスト2−1,2)を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL−50(カーボンの含有量が80質量%)を200nm、その上に珪素含有スピンオンハードマスクSHB−A940(珪素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを100nmにした。これをArFエキシマレーザー液浸スキャナー((株)ニコン製、NSR−610C、NA1.30、σ0.98/0.78、クロスポール開口20度、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク、ウエハー上寸法がピッチ90nmの図18に示されるレイアウトの格子状の上に太い格子が配置されたパターンのマスク)を用いて露光量を変化させながら露光を行い、露光後、表6に示される温度で60秒間ベーク(PEB)し、現像ノズルから2−ヘプタノンを3秒間30rpmで回転させながら吐出させ、その後、静止パドル現像を27秒間行い、ジイソアミルエーテルでリンス後、スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させた。
ArF exposure patterning evaluation (4)
Resist compositions (resist 2-1 and 2 and comparative resists 2-1 and 2) prepared with the compositions shown in Table 3 above were applied to a silicon wafer on a spin-on carbon film ODL-50 (manufactured by Shin-Etsu Chemical Co., Ltd.). The spin-on hard mask SHB-A940 (silicon content is 43% by mass) having a film thickness of 35 nm is spin-coated on a substrate for a trilayer process. Then, the resist film was baked at 100 ° C. for 60 seconds using a hot plate to make the thickness of the resist film 100 nm. This is an ArF excimer laser immersion scanner (manufactured by Nikon Corporation, NSR-610C, NA 1.30, σ0.98 / 0.78, cross pole opening 20 degrees, azimuthally polarized illumination, 6% halftone phase shift mask, wafer The exposure is performed while changing the exposure amount using a mask having a pattern in which a thick lattice is arranged on the lattice shape of the layout shown in FIG. Baked for 60 seconds (PEB), and discharged 2-heptanone from the developing nozzle while rotating at 30 rpm for 3 seconds, followed by stationary paddle development for 27 seconds, rinsed with diisoamyl ether, spin-dried, and 100 ° C. The rinse solvent was evaporated by baking for 20 seconds.

溶剤現像のイメージ反転されたホールパターンのマスク上A位置とB位置のホールの寸法を(株)日立ハイテクノロジーズ製TDSEM(S−9380)で測定した。結果を表6に示す。   The dimensions of the holes at the positions A and B on the mask of the hole pattern obtained by reversing the image of the solvent development were measured with a TDSEM (S-9380) manufactured by Hitachi High-Technologies Corporation. The results are shown in Table 6.

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

10 基板
20 被加工基板
30 中間介在層
40 レジスト膜
40a レジストパターン
10 Substrate 20 Substrate 30 Intervening Layer 40 Resist Film 40a Resist Pattern

Claims (9)

下記一般式(1)で示される酸不安定基で置換されたカルボキシル基を有する繰り返し単位aと、ラクトン環を有する繰り返し単位bの両方を含有する(メタ)アクリレートポリマーと、酸発生剤と、有機溶剤とを含むレジスト組成物を基板上に塗布してレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液として2−ヘプタノンを50質量%以上含有する溶液による現像を行うことを特徴とするパターン形成方法。

(式中、R1、R3は水素原子又はメチル基を示すが、互いに同一でも異なっていてもよい。R2は酸不安定基である。X、Yは単結合又は−C(=O)−O−R9−であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、該アルキレン基はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよく、あるいはナフチレン基である。R4、R6、R7、R8は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、トリフルオロメチル基、又はシアノ基、R5は水素原子、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、カルボキシル基、置換又は非置換の炭素数1〜12のアルコキシカルボニル基、又はシアノ基であり、Zはメチレン基、酸素原子又は硫黄原子である。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
A (meth) acrylate polymer containing both a repeating unit a having a carboxyl group substituted with an acid labile group represented by the following general formula (1) and a repeating unit b having a lactone ring, an acid generator, A resist composition containing an organic solvent is applied onto a substrate to form a resist film, and after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, contains 50% by mass or more of 2-heptanone as a developer. The pattern formation method characterized by performing image development with the solution to do.

(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, but they may be the same or different. R 2 is an acid labile group. X and Y are a single bond or —C (═O ) —O—R 9 —, wherein R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and the alkylene group has an ether group, an ester group, a lactone ring or a hydroxy group. R 4 , R 6 , R 7 , R 8 may be a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, or a trifluoromethyl group. , Or a cyano group, R 5 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, a carboxyl group, a substituted or unsubstituted alkoxycarbonyl group having 1 to 12 carbon atoms, or a cyano group And Z is a methylene group, an oxygen atom or a sulfur atom. Are 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)
現像液が、2−ヘプタノンに加えて、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、酢酸フェニル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、乳酸メチル、乳酸エチル、乳酸プルピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミルエステルから選ばれる1種以上が50質量%未満の割合で混合されたものであることを特徴とする請求項1記載のパターン形成方法。   In addition to 2-heptanone, the developer is 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, phenyl acetate , Propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, 2. The pattern forming method according to claim 1, wherein at least one selected from lactic acid isoamyl ester is mixed at a ratio of less than 50% by mass. 高エネルギー線による露光が、波長193nmのArFエキシマレーザーによる液浸リソグラフィー、又は波長13.5nmのEUVリソグラフィーであることを特徴とする請求項1又は2記載のパターン形成方法。   3. The pattern forming method according to claim 1, wherein the exposure with the high energy beam is immersion lithography using an ArF excimer laser having a wavelength of 193 nm or EUV lithography having a wavelength of 13.5 nm. 光が照射された部分が現像液に溶解せず、未露光部分が現像液に溶解し、現像後のパターンがネガティブトーンになることを特徴とする請求項1乃至3のいずれか1項記載のパターン形成方法。   4. The light-irradiated portion is not dissolved in the developer, the unexposed portion is dissolved in the developer, and the pattern after development becomes a negative tone. Pattern forming method. 現像後にトレンチパターンを形成することを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。   The pattern forming method according to claim 1, wherein a trench pattern is formed after development. 波長193nmのArFエキシマレーザーによる液浸リソグラフィーにおいて、格子状のシフターパターンが配置されたハーフトーン位相シフトマスクを用い、格子状のシフター格子の交点に現像後のホールパターンを形成することを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。   In immersion lithography using an ArF excimer laser with a wavelength of 193 nm, a post-development hole pattern is formed at the intersection of a lattice-like shifter grating using a halftone phase shift mask on which a lattice-like shifter pattern is arranged The pattern formation method of any one of Claims 1 thru | or 4. 格子状パターンが透過率3〜15%のハーフトーン位相シフトマスクであることを特徴とする請求項6記載のパターン形成方法。   7. The pattern forming method according to claim 6, wherein the lattice pattern is a halftone phase shift mask having a transmittance of 3 to 15%. ハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜30nm太い第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成することを特徴とする請求項6又は7記載のパターン形成方法。   Phase shift in which a lattice-shaped first shifter having a line width of half pitch or less and a second shifter having a dimension on the wafer that is 2 to 30 nm thicker than the line width of the first shifter are arranged on the first shifter 8. The pattern forming method according to claim 6, wherein a hole pattern is formed only where the thick shifters are arranged using a mask. ハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜100nm太いドットパターンの第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成することを特徴とする請求項6又は7記載のパターン形成方法。   A grid-shaped first shifter having a line width of half pitch or less and a second shifter having a dot pattern that is 2 to 100 nm thicker on the wafer than the line width of the first shifter are arranged on the first shifter. 8. The pattern forming method according to claim 6, wherein a hole pattern is formed only where the thick shifters are arranged using the phase shift mask.
JP2011116495A 2010-06-28 2011-05-25 Pattern formation method Active JP5772216B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011116495A JP5772216B2 (en) 2010-06-28 2011-05-25 Pattern formation method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010146240 2010-06-28
JP2010146240 2010-06-28
JP2011116495A JP5772216B2 (en) 2010-06-28 2011-05-25 Pattern formation method

Publications (2)

Publication Number Publication Date
JP2012032780A true JP2012032780A (en) 2012-02-16
JP5772216B2 JP5772216B2 (en) 2015-09-02

Family

ID=45846199

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011116495A Active JP5772216B2 (en) 2010-06-28 2011-05-25 Pattern formation method

Country Status (1)

Country Link
JP (1) JP5772216B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012008500A (en) * 2010-06-28 2012-01-12 Fujifilm Corp Pattern forming method, chemically amplified resist composition, and resist film
JP2012068628A (en) * 2010-08-27 2012-04-05 Fujifilm Corp Pattern forming method and rinsing liquid used in the method
JP2012083727A (en) * 2010-09-17 2012-04-26 Fujifilm Corp Pattern forming method
JP2012181523A (en) * 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc Developer composition and method of forming photolithographic pattern
WO2012169620A1 (en) * 2011-06-10 2012-12-13 東京応化工業株式会社 Solvent-developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
JP2013190637A (en) * 2012-03-14 2013-09-26 Tokyo Ohka Kogyo Co Ltd Solvent-developable negative resist composition and method for forming resist pattern
JP2014026265A (en) * 2012-06-19 2014-02-06 Shin Etsu Chem Co Ltd Pattern forming method and resist composition
KR20140067918A (en) * 2012-11-27 2014-06-05 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern
JPWO2012114963A1 (en) * 2011-02-23 2014-07-07 Jsr株式会社 Negative pattern forming method and photoresist composition
JP2014130307A (en) * 2012-11-27 2014-07-10 Tokyo Ohka Kogyo Co Ltd Resist composition and method for forming resist pattern
JP2015143867A (en) * 2010-10-15 2015-08-06 Jsr株式会社 Method for forming resist pattern and radiation-sensitive resin composition
US9335630B2 (en) 2010-10-22 2016-05-10 Jsr Corporation Pattern-forming method, and radiation-sensitive composition
WO2016104565A1 (en) * 2014-12-26 2016-06-30 富士フイルム株式会社 Organic treatment solution and pattern-forming method
WO2021117456A1 (en) * 2019-12-09 2021-06-17 富士フイルム株式会社 Treatment liquid and pattern forming method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010040849A (en) * 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd Resist pattern-forming method
JP2011215333A (en) * 2010-03-31 2011-10-27 Fujifilm Corp Pattern forming method and resist composition
WO2011158687A1 (en) * 2010-06-14 2011-12-22 Jsr株式会社 Pattern formation method, and radiation-sensitive resin composition
JP2012027436A (en) * 2010-06-25 2012-02-09 Fujifilm Corp Pattern forming method, actinic ray sensitive or radiation sensitive resin composition, and resist film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010040849A (en) * 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd Resist pattern-forming method
JP2011215333A (en) * 2010-03-31 2011-10-27 Fujifilm Corp Pattern forming method and resist composition
WO2011158687A1 (en) * 2010-06-14 2011-12-22 Jsr株式会社 Pattern formation method, and radiation-sensitive resin composition
JP2012027436A (en) * 2010-06-25 2012-02-09 Fujifilm Corp Pattern forming method, actinic ray sensitive or radiation sensitive resin composition, and resist film

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012008500A (en) * 2010-06-28 2012-01-12 Fujifilm Corp Pattern forming method, chemically amplified resist composition, and resist film
JP2012068628A (en) * 2010-08-27 2012-04-05 Fujifilm Corp Pattern forming method and rinsing liquid used in the method
US8871642B2 (en) 2010-08-27 2014-10-28 Fujifilm Corporation Method of forming pattern and developer for use in the method
JP2012083727A (en) * 2010-09-17 2012-04-26 Fujifilm Corp Pattern forming method
JP2015143867A (en) * 2010-10-15 2015-08-06 Jsr株式会社 Method for forming resist pattern and radiation-sensitive resin composition
US9335630B2 (en) 2010-10-22 2016-05-10 Jsr Corporation Pattern-forming method, and radiation-sensitive composition
JPWO2012114963A1 (en) * 2011-02-23 2014-07-07 Jsr株式会社 Negative pattern forming method and photoresist composition
JP2012181523A (en) * 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc Developer composition and method of forming photolithographic pattern
JP2017021352A (en) * 2011-02-28 2017-01-26 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Developer composition and method for forming photolithographic pattern
JPWO2012169620A1 (en) * 2011-06-10 2015-02-23 東京応化工業株式会社 Solvent-developed negative resist composition, resist pattern forming method, and pattern forming method for layer containing block copolymer
WO2012169620A1 (en) * 2011-06-10 2012-12-13 東京応化工業株式会社 Solvent-developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US9134617B2 (en) 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
JP2013190637A (en) * 2012-03-14 2013-09-26 Tokyo Ohka Kogyo Co Ltd Solvent-developable negative resist composition and method for forming resist pattern
JP2014026265A (en) * 2012-06-19 2014-02-06 Shin Etsu Chem Co Ltd Pattern forming method and resist composition
JP2014130307A (en) * 2012-11-27 2014-07-10 Tokyo Ohka Kogyo Co Ltd Resist composition and method for forming resist pattern
JP2014130306A (en) * 2012-11-27 2014-07-10 Tokyo Ohka Kogyo Co Ltd Method for forming resist pattern
KR20140067918A (en) * 2012-11-27 2014-06-05 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern
US9690194B2 (en) 2012-11-27 2017-06-27 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
KR102117715B1 (en) * 2012-11-27 2020-06-01 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern
WO2016104565A1 (en) * 2014-12-26 2016-06-30 富士フイルム株式会社 Organic treatment solution and pattern-forming method
JPWO2016104565A1 (en) * 2014-12-26 2017-09-21 富士フイルム株式会社 Organic processing liquid and pattern forming method
WO2021117456A1 (en) * 2019-12-09 2021-06-17 富士フイルム株式会社 Treatment liquid and pattern forming method

Also Published As

Publication number Publication date
JP5772216B2 (en) 2015-09-02

Similar Documents

Publication Publication Date Title
JP5533797B2 (en) Pattern formation method
JP5440468B2 (en) Pattern formation method
JP5772216B2 (en) Pattern formation method
JP5772717B2 (en) Pattern formation method
JP5729171B2 (en) Pattern formation method
JP5842741B2 (en) Pattern forming method and resist composition
JP5786722B2 (en) Pattern formation method
JP5807510B2 (en) Pattern forming method and resist composition
JP5708082B2 (en) Pattern forming method and negative resist composition
JP5835148B2 (en) Pattern forming method and resist composition
JP5828325B2 (en) Pattern formation method
JP5533821B2 (en) Pattern forming method and resist composition
JP5780222B2 (en) Pattern formation method
JP6115322B2 (en) Pattern formation method
JP5846061B2 (en) Pattern formation method
JP5807552B2 (en) Pattern forming method and resist composition
JP5817650B2 (en) Pattern forming method and resist composition
JP5737242B2 (en) Monomer, polymer compound, resist composition and pattern forming method
JP6210052B2 (en) Resist composition and pattern forming method
JP6237551B2 (en) Resist composition and pattern forming method
JP5780221B2 (en) Pattern formation method
JP5672161B2 (en) Pattern formation method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150602

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150615

R150 Certificate of patent or registration of utility model

Ref document number: 5772216

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150