JP2011014925A - Porogen, porogenated precursor and method for using the same to provide porous organosilica glass film with low dielectric constant - Google Patents

Porogen, porogenated precursor and method for using the same to provide porous organosilica glass film with low dielectric constant Download PDF

Info

Publication number
JP2011014925A
JP2011014925A JP2010222519A JP2010222519A JP2011014925A JP 2011014925 A JP2011014925 A JP 2011014925A JP 2010222519 A JP2010222519 A JP 2010222519A JP 2010222519 A JP2010222519 A JP 2010222519A JP 2011014925 A JP2011014925 A JP 2011014925A
Authority
JP
Japan
Prior art keywords
cyclic
branched
polyunsaturated
mono
saturated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010222519A
Other languages
Japanese (ja)
Other versions
JP2011014925A5 (en
JP5774830B2 (en
Inventor
Raymond Nicholas Vrtis
ニコラス バーティス レイモンド
Mark Leonard O'neill
レオナルド オニール マーク
Jean Louise Vincent
ルイーズ ビンセント ジーン
Aaron Scott Lukas
スコット ルーカス アーロン
Manchao Xiao
シャオ マンチャオ
John A T Norman
アンソニー トーマス ノーマン ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/150,798 external-priority patent/US6846515B2/en
Priority claimed from US10/409,468 external-priority patent/US7384471B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2011014925A publication Critical patent/JP2011014925A/en
Publication of JP2011014925A5 publication Critical patent/JP2011014925A5/ja
Application granted granted Critical
Publication of JP5774830B2 publication Critical patent/JP5774830B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si

Abstract

PROBLEM TO BE SOLVED: To provide a porous organosilica glass film having a low dielectric constant, an improved mechanical property, a thermal stability and a chemical resistance.SOLUTION: A porous organosilica glass film represented by the formula SiOCHFis manufactured in which v+w+x+y+z=100%, v is from 10 to 35 atom%, w is from 10 to 65 atom%, x is from 5 to 30 atom%, y is from 10 to 50 atom% and z is from 0 to 15 atom%. The method includes: introducing a gaseous reagent into a vacuum chamber, the gaseous reagent containing precursor selected from a group consisting of organosilane and organosiloxane and porogen; and applying energy to the gaseous reagent to induce reaction of the gaseous reagent to deposit a preliminary film on the substrate. The preliminary film contains fine pores and substantially all of porogen is removed to provide the porous film with a dielectric constant less than 2.6.

Description

本発明は電子デバイスにおける絶縁層としてCVDにより製造される低誘電率材料の分野に関する。   The present invention relates to the field of low dielectric constant materials manufactured by CVD as an insulating layer in electronic devices.

エレクトロニクス産業は、回路(IC)並びに集積回路及び関連するエレクトロニクスデバイスの間の絶縁層として誘電材料を用いる。線寸法はマイクロエレクトロニクスデバイス(たとえば、コンピュータチップ)の速度及び記憶能力を増加させるために低減されている。マイクロチップの寸法が低減するにつれて、層間絶縁膜(interlayer dielectric)(ILD)に対する誘電要件は益々厳しくなっている。間隔の縮小は、RC時定数(time constant)(ここで、Rは導線の抵抗、Cは絶縁誘電中間層のキャパシタンスである)を最小にするために低誘電率を要求する。Cは間隔に逆比例し、そして層間絶縁膜(ILD)の誘電率(k)に比例する。SiH若しくはTEOS(Si(OCHCH、テトラエチルオルソシリケート)及びOから製造される従来のシリカ(SiO)CVD絶縁膜は、4.0より大きい誘電率kを有する。比較的低い誘電率を持つシリカ系CVD膜を製造するために産業が試みてきたいくつかの方法があり、最もうまくいっているのは誘電率2.7〜3.5を与える有機基で絶縁酸化ケイ素膜をドープすることである。有機シリカガラスは、メチルシラン若しくはシロキサンのような有機ケイ素前駆体及びO若しくはNOのような酸化体から緻密な膜(密度〜1.5g/cm)として堆積されるのが通常である。有機シリカガラスはここでOSGとよばれる。誘電率すなわち「k」値は比較的高いデバイス密度及び比較的小さい寸法で2.7未満に低下するので、産業は緻密な膜について大部分の適切な低kを研究し尽くし、そして誘電特性を向上させるために種々の多孔質材料を調べてきた。 The electronics industry uses dielectric materials as insulating layers between circuits (ICs) and integrated circuits and related electronic devices. Line dimensions have been reduced to increase the speed and storage capability of microelectronic devices (eg, computer chips). As microchip dimensions are reduced, the dielectric requirements for interlayer dielectric (ILD) are becoming increasingly stringent. The reduction in spacing requires a low dielectric constant to minimize the RC time constant (where R is the resistance of the conductor and C is the capacitance of the insulating dielectric interlayer). C is inversely proportional to the distance and proportional to the dielectric constant (k) of the interlayer dielectric (ILD). Conventional silica (SiO 2 ) CVD insulating films made from SiH 4 or TEOS (Si (OCH 2 CH 3 ) 4 , tetraethylorthosilicate) and O 2 have a dielectric constant k greater than 4.0. There are several methods that the industry has tried to produce silica-based CVD films with relatively low dielectric constants, the most successful being insulating oxidation with organic groups that give a dielectric constant of 2.7-3.5 Doping a silicon film. Organosilica glass is a normal that is deposited as a dense film (density to 1.5 g / cm 3) from methylsilane or organosilicon precursors such as siloxanes and O 2 or N 2 oxidants such as O . The organic silica glass is referred to herein as OSG. Since the dielectric constant or “k” value drops below 2.7 with relatively high device density and relatively small dimensions, the industry has studied most suitable low k for dense films and has improved dielectric properties. Various porous materials have been investigated for improvement.

CVD法領域による多孔質ILDの領域において知られている特許及び出願は次のものを含む:特許文献1及び2は、NOのような酸化体及び任意に過酸化物の存在下に反応活性基を持つ有機ケイ素前駆体からOSG膜を堆積し、ついで熱アニ−ルで反応活性基を除去して多孔質OSG膜を得る方法を記述する;特許文献3及び4は、酸化アニ−ルで堆積OSGから本質的にすべての有機基を除去して多孔質無機SiOを得ることを教示する;特許文献5は水素化された炭化ケイ素を堆積し、ついで酸化プラズマで処理して多孔質無機SiOを得ることを記述する;そして、特許文献6及び7、並びに非特許文献1は、すべて有機ケイ素前駆体及び有機化合物からの膜の共堆積、そして続く熱アニ−ルは多相OSG/有機膜を与え、そこでは重合された有機成分の1部が保持されることを教示する。これらの後者の文献において、膜の最終的な組成は残留ポロゲン及び高炭化水素膜含量(80−90原子%)を示す。最終的な膜が酸素原子の1部を有機基で置換した、SiO様ネットワークを保持するのが好適である。 CVD method regions patents and applications are known in the area of the porous ILD by include the following: Patent Document 1 and 2, the reaction in the presence of the oxidant and optionally a peroxide, such as N 2 O A method is described in which an OSG film is deposited from an organosilicon precursor having an active group, and then a reactive OS group is removed by thermal annealing to obtain a porous OSG film; Teaches removing essentially all organic groups from the deposited OSG to obtain porous inorganic SiO 2 ; US Pat. describes obtaining an inorganic SiO 2; and Patent Document 6 and 7 and non-Patent Document 1, a co-deposition of a film from all the organosilicon precursor and an organic compound, and subsequent thermal annealing - Le multiphase OSG / Give organic film, It teaches that one part of the polymerized organic component is retained. In these latter documents, the final composition of the film exhibits residual porogen and high hydrocarbon film content (80-90 atomic%). It is preferred that the final film retain a SiO 2 -like network in which one part of the oxygen atoms has been replaced with an organic group.

すべての文献は引用によりここに全体を組入れられる。   All references are incorporated herein by reference in their entirety.

ヨーロッパ特許公開第1,119,035号公報European Patent Publication No. 1,119,035 米国特許第6,171,945号公報US Pat. No. 6,171,945 米国特許第6,054,206号公報US Pat. No. 6,054,206 米国特許第6,238,751号公報US Pat. No. 6,238,751 ヨーロッパ特許公開第1037275号公報European Patent Publication No. 1037275 米国特許第6,312,793号公報US Pat. No. 6,312,793 国際公開WO00/24050号公報International Publication WO00 / 24050

Grill,A.Patel,V.Appl.Phys.Lett.(2001)、79(6)、803−805頁Grill, A.M. Patel, V.W. Appl. Phys. Lett. (2001), 79 (6), pages 803-805.

本発明は、低い誘電率及び改良された機械的性質、熱的安定性及び化学的耐性を有する多孔質有機シリカガラス膜を提供することを目的とする。   The present invention aims to provide a porous organosilica glass film having a low dielectric constant and improved mechanical properties, thermal stability and chemical resistance.

本発明は、式Si(ここで、v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、xは5〜30原子%、yは10〜50原子%、及びzは0〜15原子%)で表わされる多孔質有機シリカガラス膜を提供し、ここでその膜は細孔を持ち、誘電率が2.6未満である。 The present invention has the formula Si v O w C x H y F z (where v + w + x + y + z = 100%, v is 10-35 atomic%, w is 10-65 atomic%, x is 5-30 atomic%, y is 10-50 atomic%, and z is 0-15 atomic%), wherein the film has pores and a dielectric constant of less than 2.6.

本発明は、さらに本発明の多孔質有機シリカガラス膜を製造するための化学蒸着方法を提供し、この方法は下記の工程を含む:
(a)真空チャンバ内に基体を用意すること;(b)オルガノシラン及びオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体並びに少なくとも1つの前駆体と区別し得るポロゲンを含むガス状試薬を真空チャンバに導入すること;(c)真空チャンバ内のガス状試薬にエネルギーを加え、ガス状試薬の反応を生じさせて基体上に予備的な膜を堆積させること、ここでその予備的な膜はポロゲンを含み、そして予備的な膜は酸化体を添加しないで堆積される;並びに、(d)細孔を持ち、誘電率が2.6未満である多孔質膜を得るために、予備的な膜から実質的にすべてのポロゲンを除去すること。
The present invention further provides a chemical vapor deposition method for producing the porous organosilica glass membrane of the present invention, which method comprises the following steps:
(A) providing a substrate in a vacuum chamber; (b) vacuuming at least one precursor selected from the group consisting of an organosilane and an organosiloxane and a gaseous reagent containing a porogen that can be distinguished from at least one precursor. Introducing into the chamber; (c) applying energy to the gaseous reagent in the vacuum chamber and causing the reaction of the gaseous reagent to deposit a preliminary film on the substrate, wherein the preliminary film is The preliminary film containing the porogen and deposited without the addition of oxidant; and (d) to obtain a porous film having pores and a dielectric constant of less than 2.6 Removing substantially all porogen from the membrane.

さらに、本発明は本発明の多孔質有機シリカガラス膜を製造するための化学蒸着方法を提供し、この方法は下記の工程を含む:
(a)真空チャンバ内に基体を用意すること;(b)オルガノシラン及びオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体を含むガス状試薬を真空チャンバに導入すること、ここで、少なくとも1つの前駆体はそれに結合したポロゲンを含む;(c)真空チャンバ内のガス状試薬にエネルギーを加え、ガス状試薬の反応を生じさせて基体上に予備的な膜を堆積させること、ここで、その予備的な膜は少なくとも1つのポロゲン、及びケイ素原子に結合された第1の量のメチル基を含む;並びに、(d)細孔を持ち、誘電率が2.6未満である多孔質膜を得るために、予備的な膜から実質的にすべてのポロゲンを除去すること、ここで、多孔質膜はケイ素原子に結合された第2の量のメチル基を含み、かつその第2の量は第1の量の50%より多い。
Furthermore, the present invention provides a chemical vapor deposition method for producing the porous organic silica glass membrane of the present invention, which method comprises the following steps:
(A) providing a substrate in a vacuum chamber; (b) introducing a gaseous reagent containing at least one precursor selected from the group consisting of an organosilane and an organosiloxane into the vacuum chamber, wherein at least one One precursor includes a porogen bound thereto; (c) applying energy to the gaseous reagent in the vacuum chamber to cause a reaction of the gaseous reagent to deposit a preliminary film on the substrate, wherein The preliminary membrane includes at least one porogen and a first amount of methyl groups bonded to silicon atoms; and (d) a porous membrane having pores and a dielectric constant of less than 2.6 Removing substantially all of the porogen from the preliminary membrane, wherein the porous membrane comprises a second amount of methyl groups bonded to silicon atoms, and the second amount Is the first More than 50% of the amount of.

さらに本発明は、多孔質有機シリカガラス膜を製造するための新規なポロゲン化された前駆体を提供し、ポロゲン化されたが1,3,5,7−テトラメチルシクロ−テトラシロキサン、たとえばネオヘキシル−1,3,5,7−テトラメチルシクロ−テトラシロキサン及びトリメチルシリルエチル−1,3,5,7−テトラメチルシクロ−テトラシロキサンを含む。   The present invention further provides a novel porogenized precursor for the production of porous organosilica glass membranes, which is porogenated but 1,3,5,7-tetramethylcyclo-tetrasiloxane, such as neohexyl. -1,3,5,7-tetramethylcyclo-tetrasiloxane and trimethylsilylethyl-1,3,5,7-tetramethylcyclo-tetrasiloxane.

さらになお、本発明は本発明の膜を製造するための、ポロゲン及び前駆体(ポロゲン化された、及び/又はポロゲン化されていない)新規な組成物を提供する。   Still further, the present invention provides novel compositions of porogens and precursors (porogenated and / or not porogenized) for producing the films of the present invention.

本発明の膜の赤外スペクトルを示す。The infrared spectrum of the film | membrane of this invention is shown. 本発明の膜の赤外スペクトルを示す。The infrared spectrum of the film | membrane of this invention is shown. 本発明に細孔形成剤として用いられるATPの赤外スペクトルを示す。The infrared spectrum of ATP used as a pore formation agent in the present invention is shown. 本発明の膜の熱重量分析を示す。2 shows a thermogravimetric analysis of a membrane of the invention.

有機シリケートは、低k材料の候補であるが、これらの材料に多孔性を付与するためにポロゲンを添加しないと、その固有誘電率は2.7程度の低さに制限される。多孔性の付与(空隙空間は固有誘電率1.0を有する)は、通常、機械的特性を犠牲にして膜の全体誘電率を低下させる。材料の特性は膜の化学組成及び構造に依存する。有機ケイ素前駆体の種類は膜構造及び組成に強い影響を有するので、所望の誘電率に到達するのに必要な量の細孔の付加が機械的に健全でない膜を生じないことを確実にするように、要求される膜特性を与える前駆体を使用するのが有利である。このように、本発明は電気的及び機械的特性の望ましいバランスを有する多孔質OSG膜を生じさせる手段を提供する。他の膜特性は電気的若しくは機械的特性をたどることが多い。   Organic silicates are candidates for low-k materials, but their intrinsic dielectric constant is limited to as low as 2.7 unless porogen is added to impart porosity to these materials. Providing porosity (the void space has an intrinsic dielectric constant of 1.0) usually reduces the overall dielectric constant of the film at the expense of mechanical properties. Material properties depend on the chemical composition and structure of the film. The type of organosilicon precursor has a strong influence on the film structure and composition, thus ensuring that the addition of the amount of pores necessary to reach the desired dielectric constant does not result in a mechanically unhealthy film Thus, it is advantageous to use a precursor that provides the required film properties. Thus, the present invention provides a means for producing a porous OSG film having a desirable balance of electrical and mechanical properties. Other film properties often follow electrical or mechanical properties.

本発明の好適な態様は、他の多孔質有機シリカガラス材料に比べて低い誘電率及び改良された機械的性質、熱的安定性及び化学的耐性(酸素、水性酸化環境等に)を有する薄膜材料を提供する。これは炭素(好ましくは主に有機炭素−CH(xは1〜3)の形態で、もっと好ましくはCの大部分は−CHの形態で)及び無機フッ素(たとえば、Si−F結合)の膜への導入の結果であり、それにより特定の前駆体若しくはネットワーク形成化学品が酸化体(酸化体として作用すると思われる限度までの任意の付加的/キャリアガスCO以外の)のない環境で膜を堆積するのに使用される。さらに、膜中の水素の大部分は炭素に結合されているのが好ましい。 A preferred embodiment of the present invention is a thin film having a lower dielectric constant and improved mechanical properties, thermal stability and chemical resistance (oxygen, in an aqueous oxidizing environment, etc.) compared to other porous organosilica glass materials. Provide material. This is preferably in the form of carbon (preferably primarily in the form of organic carbon —CH x, where x is 1-3), more preferably the majority of C in the form of —CH 3 ) and inorganic fluorine (eg, Si—F bonds). Environment in which certain precursors or network-forming chemicals are free of oxidants (other than any additional / carrier gas CO 2 up to the limit that would appear to act as oxidants) Used to deposit films. Furthermore, it is preferred that most of the hydrogen in the film is bonded to carbon.

このように、本発明の好適な態様は:(a)約10〜約35原子%、もっと好ましくは約20〜約30原子%のケイ素;(b)約10〜約65原子%、もっと好ましくは約20〜約45原子%の酸素;(c)約10〜約50原子%、もっと好ましくは約15〜約40原子%の水素;並びに(d)約5〜約30原子%、もっと好ましくは約5〜約20原子%の炭素;を含む。さらに、膜は1つ以上の材料特性を向上させるために、約0.1〜約15原子%、もっと好ましくは約0.5〜約7.0原子%のフッ素を含みうる。比較的少量の他元素も本発明の膜に存在しうる。OSG材料は、産業で伝統的に用いられている標準的な材料−シリカガラスよりも誘電率が低いので低k材料であると考えられる。本発明の材料は堆積プロセスに細孔形成種すなわちポロゲンを添加し、堆積されたままの(すなわち予備的)OSG膜にポロゲンを配合し、そして予備膜から実質的にすべてのポロゲンを除去するが、予備膜の末端Si−CH基を実質的に保持したまま生成物膜を得ることにより供給され得る。生成物膜は、多孔質OSGであり、そして予備膜並びにポロゲンなしに堆積された類似の膜と比べて減少した誘電率を有する。本発明の膜を多孔質のOSGとして識別することが重要であり、これに対して多孔質の無機SiOは、OSGの有機基により付与される疎水性を欠く。 Thus, preferred embodiments of the present invention are: (a) about 10 to about 35 atomic percent, more preferably about 20 to about 30 atomic percent silicon; (b) about 10 to about 65 atomic percent, more preferably About 20 to about 45 atomic percent oxygen; (c) about 10 to about 50 atomic percent, more preferably about 15 to about 40 atomic percent hydrogen; and (d) about 5 to about 30 atomic percent, more preferably about 5 to about 20 atomic percent carbon. In addition, the film may contain from about 0.1 to about 15 atomic percent, more preferably from about 0.5 to about 7.0 atomic percent fluorine to improve one or more material properties. A relatively small amount of other elements may also be present in the film of the present invention. OSG materials are considered low-k materials because they have a lower dielectric constant than the standard material traditionally used in the industry—silica glass. The material of the present invention adds a pore-forming species or porogen to the deposition process, blends the porogen into the as-deposited (ie, preliminary) OSG film, and removes substantially all of the porogen from the preliminary film. Can be provided by obtaining a product film while substantially retaining the terminal Si—CH 3 groups of the preliminary film. The product film is a porous OSG and has a reduced dielectric constant compared to a preliminary film as well as a similar film deposited without porogen. It is important to identify the membrane of the present invention as porous OSG, whereas porous inorganic SiO 2 lacks the hydrophobicity imparted by the organic groups of OSG.

PE(プラズマ増強)−CVD TEOS(テトラエトキシシラン)で製造されるシリカは陽電子消滅寿命分光法(PALS)分析により測定される固有の自由体積(free volume)細孔径が球体相当径約0.6nmである。小角中性子散乱(SANS)若しくはPALSで測定される本発明膜の細孔径は球体相当径が好ましくは5nm未満、もっと好ましくは球体相当径が2.5nm未満である。   Silica produced with PE (plasma enhanced) -CVD TEOS (tetraethoxysilane) has an inherent free volume pore diameter measured by positron annihilation lifetime spectroscopy (PALS) analysis of about 0.6 nm spherical equivalent diameter. It is. The pore diameter of the membrane of the present invention measured by small angle neutron scattering (SANS) or PALS is preferably a sphere equivalent diameter of less than 5 nm, more preferably a sphere equivalent diameter of less than 2.5 nm.

膜の全気孔率は5〜75%であり得、プロセス条件及び所望の最終膜特性に依存する。好ましくは、本発明の膜は2.0g/ccより小さい、あるいは1.5g/ccより小さい、若しくは1.25g/ccより小さい密度を有する。好適には、本発明の膜はポロゲンなしに製造された類似のOSG膜の密度より少なくとも10%小さい、もっと好適には少なくとも20%小さい密度を有する。   The total porosity of the membrane can be 5-75%, depending on the process conditions and the desired final membrane properties. Preferably, the membrane of the present invention has a density less than 2.0 g / cc, alternatively less than 1.5 g / cc, or less than 1.25 g / cc. Preferably, the films of the present invention have a density that is at least 10% less, more preferably at least 20% less than the density of similar OSG films made without porogen.

膜の気孔率は膜全体にわたって一様である必要はない。ある態様において、気孔率の勾配及び/又は種々の気孔率の複数層がある。このような膜は、たとえば堆積時に前駆体に対するポロゲンの比を調節することにより得られうる。   The porosity of the membrane need not be uniform throughout the membrane. In certain embodiments, there are multiple layers of porosity gradients and / or various porosity. Such films can be obtained, for example, by adjusting the ratio of porogen to precursor during deposition.

本発明の膜は一般的なOSG材料に比べて低い誘電率を有する。好ましくは、本発明の膜は、ポロゲンなしに製造された類似のOSG膜の密度より少なくとも0.3小さい、もっと好適には少なくとも0.5小さい誘電率を有する。好適には、本発明の多孔質膜のフーリエ変換赤外(FTIR)スペクトルは、ポロゲンがないこと以外は実質的に同一の方法により調製された対照膜の対照FTIRと実質的に同一である。   The film of the present invention has a dielectric constant lower than that of a general OSG material. Preferably, the films of the present invention have a dielectric constant that is at least 0.3 less, more preferably at least 0.5 less than the density of similar OSG films made without porogen. Preferably, the Fourier transform infrared (FTIR) spectrum of the porous membrane of the present invention is substantially the same as the control FTIR of the control membrane prepared by substantially the same method except for the absence of porogen.

好ましくは、本発明の膜は一般的なOSG材料に比べて優れた機械的特性を有する。好適には、本発明の膜の基本OSG構造(たとえばポロゲンを添加していない膜)は、ナノ刻みで測定して、同一の誘電率を有する類似のOSG膜より少なくとも10%大きい、もっと好適には少なくとも25%大きい、硬さ若しくはモジュラスを有する。   Preferably, the film of the present invention has superior mechanical properties compared to common OSG materials. Preferably, the basic OSG structure (eg, no porogen added film) of the film of the present invention is at least 10% larger than a similar OSG film having the same dielectric constant, more preferably measured in nanosteps Has a hardness or modulus that is at least 25% greater.

本発明の膜は低k膜を堆積するのに酸化体の使用を必要としない。ガス相に添加される酸化体(たとえば、O、NO、オゾン、過酸化水素、NO、NO、N若しくはそれらの混合物)の不存在は、膜における前駆体のメチル基の保持を容易にする。ここでこの酸化体は、有機基を酸化しうる部分として定義される。これは望ましい特性、たとえば低減誘電率及び疎水性、を付与するのに必要な最少量の炭素の配合を可能にする。同様に、これはシリカのネットワークを最大に保持し易く、優れた機械的特性、接着力、及び一般的なエッチング停止材料(たとえば、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、等)へのエッチング選択性を有する膜を付与する。なぜなら、膜は伝統的な誘電絶縁体であるシリカにもっと類似する特徴を保持するからである。 The films of the present invention do not require the use of oxidants to deposit low k films. The absence of oxidants (eg, O 2 , N 2 O, ozone, hydrogen peroxide, NO, NO 2 , N 2 O 4 or mixtures thereof) added to the gas phase is the precursor methyl group in the film. Making it easier to hold. Here, this oxidant is defined as a moiety capable of oxidizing an organic group. This allows for the incorporation of the minimum amount of carbon necessary to impart desirable properties such as reduced dielectric constant and hydrophobicity. Similarly, it is easy to retain the silica network to the maximum, with excellent mechanical properties, adhesion, and common etch stop materials (eg, silicon carbide, hydrogenated silicon carbide, silicon nitride, silicon hydronitride, Etc.) is provided with a film having etching selectivity. This is because the film retains characteristics more similar to the traditional dielectric insulator silica.

さらに、本発明の膜は無機フッ素の形態で(たとえばSi−F)フッ素を含有しうる。存在するとき、フッ素は0.5〜7原子%の量で含まれるのが好適である。   Furthermore, the membrane of the present invention may contain fluorine in the form of inorganic fluorine (eg, Si—F). When present, fluorine is preferably included in an amount of 0.5 to 7 atomic percent.

本発明の膜は熱的に安定であり、良好な化学品耐性を有する。特に、アニ−ル後の好適な膜はN中で425℃の等温下に1.0wt%/hrより小さい平均減量を有する。さらに、好適には膜は空気中で425℃の等温下に1.0wt%/hrより小さい平均減量を有する。 The membranes of the present invention are thermally stable and have good chemical resistance. In particular, annealing - having suitable membrane 1.0 wt% / hr average weight loss of less than isothermal at 425 ° C. in N 2 after Le. In addition, the membrane preferably has an average weight loss of less than 1.0 wt% / hr in air at an isothermal temperature of 425 ° C.

膜は種々の用途に適している。特に膜は半導体基体上の堆積に適しており、そしてたとえば、絶縁層、層間絶縁膜及び/又は金属間絶縁膜としての使用に特に適する。膜は適合した被覆を形成しうる。これらの膜により示される機械的性質は、Alサブストラクティブ(subtractive)法並びにCuダマシン(damascene)法若しくはデュアルダマシン法における使用にそれらを特に適合させる。   The membrane is suitable for various applications. In particular, the film is suitable for deposition on a semiconductor substrate and is particularly suitable for use as, for example, an insulating layer, an interlayer insulating film and / or an intermetallic insulating film. The membrane can form a compatible coating. The mechanical properties exhibited by these films make them particularly suitable for use in Al subtractive methods as well as Cu damascene or dual damascene methods.

膜は化学的機械平坦化及び異方性エッチングに適合し、そしてシリコン、SiO、Si、OSG、FSG(フッ素化シリカガラス)、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、水素化窒化ホウ素、反射防止被覆、フォトレジスト、有機ポリマー、多孔質有機及び無機材料、銅及びアルミニウムのような金属、並びにTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN若しくはW(C)Nのような(これらに限定されない)拡散バリア層のような種々の材料に接着しうる。膜は、ASTM D3359−95aテープ引張り試験のような従来の引張り試験に十分に合格する、少なくとも1つの前述の材料を接着しうるのが好適である。試料は膜の識別しうる除去がなければ合格したと判断される。 The film is compatible with chemical mechanical planarization and anisotropic etching, and silicon, SiO 2 , Si 3 N 4 , OSG, FSG (fluorinated silica glass), silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated Silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boron nitride, hydrogenated boron nitride, anti-reflective coating, photoresist, organic polymer, porous organic and inorganic materials, metals such as copper and aluminum, and TiN, Ti It can adhere to various materials such as (C) N, TaN, Ta (C) N, Ta, W, WN or W (C) N diffusion barrier layers such as but not limited to. The membrane is preferably capable of adhering at least one of the aforementioned materials that sufficiently passes a conventional tensile test, such as the ASTM D3359-95a tape tensile test. The sample is judged to pass if there is no discernible removal of the membrane.

本発明は膜を供給するのに特に好適であり、そして本発明の生成物はここでは主として膜として説明されているが、本発明はそれに限定されない。   The invention is particularly suitable for supplying membranes, and the products of the invention are described herein primarily as membranes, but the invention is not so limited.

本発明は膜を供給するのに特に適し、そして本発明の生成物は膜としてここで主として説明されるが、本発明はこれらに限定されない。本発明の生成物はCVDにより堆積され得るいかなる形態でも供給され得、たとえば被覆、多層集合体、並びに必ずしも平面若しくは薄くなくてもよい、他の種類の対象物であり得、さらには集積回路に必ずしも使用されない多数の対象物でありうる。好適には、基体は半導体である。   The invention is particularly suitable for supplying membranes, and the products of the invention are mainly described herein as membranes, but the invention is not limited thereto. The product of the present invention can be supplied in any form that can be deposited by CVD, such as coatings, multilayer assemblies, and other types of objects that do not necessarily have to be flat or thin, and even in integrated circuits. There can be many objects that are not necessarily used. Preferably, the substrate is a semiconductor.

本発明のOSG生成物に加えて、本発明は生成物が製造される方法、その生成物を使用する方法、並びにその生成物を製造するのに有用な化合物及び組成物を含む。   In addition to the OSG product of the present invention, the present invention includes methods by which the product is produced, methods of using the product, and compounds and compositions useful for producing the product.

分子の単一種が構造形成物及びポロゲンの両方として機能することも本発明の範囲内である。すなわち、構造形成前駆体及び細孔形成前駆体は必ずしも異なる分子でなくてもよく、ある態様においてはポロゲンは構造形成前駆体の1部(たとえば共有結合的に結合されている)である。それらに結合されているポロゲンを含有する前駆体はここでは以後、「ポロゲン化された前駆体」(porogenated precursors)と呼ばれることがある。たとえば、ネオヘキシルTMCTS(テトラメチルシクロテトラシロキサン)を単一種として使用することは可能であり、それにより分子のTMCTS部分は基本OSG構造を形成し、かさばったアルキル置喚基であるネオヘキシルは細孔形成種であり、アニ−ルプロセスの際に除去される。OSG構造にネットワークを形成するSi種に結合されたポロゲンを有することは、堆積時に膜への比較的高いポロゲン配合を達成するのに有利であり得る。さらに、前駆体における1つのSiに結合した2つのポロゲン(たとえばジ−ネオへキシル−ジエトキシシランにおける)、又は1つのポロゲンに結合した2つのSi(たとえば1,4−ビス(ジエトキシシリル)シクロへキサンにおける)を有することも、堆積時にプラズマ中で最も壊れ易い結合はSi−ポロゲン結合であるので、有利である。このように、プラズマ中での1つのSi−ポロゲン結合の反応は堆積膜におけるポロゲンの配合をなお生じさせる。好適なポロゲン化された前駆体のさらなる非制限的な例は、1−ネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオペンチル−1,3,5,7−テトラメチルシクロテトラシロキサン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリエトキシシラン及びネオペンチル−ジ−t−ブトキシシランを含む。   It is also within the scope of the present invention for a single species of molecule to function as both a structure former and a porogen. That is, the structure-forming precursor and the pore-forming precursor need not necessarily be different molecules, and in some embodiments the porogen is a part of the structure-forming precursor (eg, covalently linked). Precursors containing porogens attached to them may hereinafter be referred to as “pologated precursors”. For example, it is possible to use neohexyl TMCTS (tetramethylcyclotetrasiloxane) as a single species, whereby the TMCTS portion of the molecule forms the basic OSG structure, and the bulky alkyl locating group neohexyl forms pores. A seed that is removed during the annealing process. Having a porogen bonded to a Si species that forms a network in the OSG structure can be advantageous to achieve a relatively high porogen loading into the film during deposition. Further, two porogens bonded to one Si in the precursor (for example in di-neohexyl-diethoxysilane) or two Si bonded to one porogen (for example 1,4-bis (diethoxysilyl)) Having (in cyclohexane) is also advantageous because the most fragile bond in the plasma during deposition is the Si-porogen bond. Thus, the reaction of one Si-porogen bond in the plasma still results in porogen incorporation in the deposited film. Further non-limiting examples of suitable porogenated precursors include 1-neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane, 1-neopentyl-1,3,5,7-tetra Including methylcyclotetrasiloxane, neopentyldiethoxysilane, neohexyldiethoxysilane, neohexyltriethoxysilane, neopentyltriethoxysilane and neopentyl-di-t-butoxysilane.

単一若しくは複数のポロゲンがケイ素に結合している材料の、ある態様において膜が硬化して細孔を形成するとき、ポロゲンの1部がケイ素に結合したままで膜に疎水性を付与するようにポロゲンを設計するのが有利である。Si−パロゲンを含む前駆体におけるポロゲンは、分解若しくは硬化が−CHのようなポロゲンからの末端化学基をSiに結合したまま残すように選ばれ得る。たとえば、ポロゲンであるネオペンチルが選ばれると、適切な条件下での熱アニ−ルはSiにβ位であるC−C結合での結合切断を生じさせるが、それはSiに隣接する2級炭素とt−ブチル基の4級炭素の間の結合であり、熱力学的に切断するのに最も有利な結合である。適切な条件下で、これはSiを満たすために末端−CH基を残し、並びに膜に疎水性及び低誘電率を与える。前駆体の例は、ネオペンチルトリエトキシシラン、ネオペンチルジエトキシシラン及びネオペンチルジエトキシメチルシランである。 In some embodiments of materials in which a single or multiple porogens are bonded to silicon, when the film hardens to form pores, so that a portion of the porogen remains bonded to the silicon and imparts hydrophobicity to the film. It is advantageous to design the porogen. The porogen in the precursor containing Si-palogen can be chosen such that decomposition or curing leaves terminal chemical groups from the porogen such as —CH 3 attached to the Si. For example, if neopentyl, a porogen, is selected, thermal annealing under the appropriate conditions will cause Si to cleave at the C-C bond at the β-position, which is a secondary carbon adjacent to Si. It is a bond between the quaternary carbons of the t-butyl group and is the most advantageous bond for thermodynamic cleavage. Under appropriate conditions, this leaves a terminal —CH 3 group to fill Si and gives the film hydrophobicity and low dielectric constant. Examples of precursors are neopentyltriethoxysilane, neopentyldiethoxysilane and neopentyldiethoxymethylsilane.

堆積された膜におけるポロゲンは反応チャンバに導入されたポロゲンと同一の形態であってもなくてもよい。同様に、ポロゲン除去プロセスは膜からポロゲン若しくはその断片を遊離させうる。本質的に、ポロゲン試薬(若しくは前駆体に結合されたポロゲン置換基)、予備膜におけるポロゲン及び除去されるポロゲンは同一種であってもなくてもよいが、それらはすべてポロゲン試薬(若しくはポロゲン置換基)に由来するのが好ましい。本発明プロセスにわたってポロゲンが変化しないか否かにかかわらず、ここで使用される「ポロゲン」(porogen)という用語は細孔形成試薬(若しくは細孔形成置換基)及びその誘導体(本発明の全プロセスにわたって見出されるいかなる形態であっても)を包含するように意図される。   The porogen in the deposited film may or may not be in the same form as the porogen introduced into the reaction chamber. Similarly, the porogen removal process can liberate the porogen or fragments thereof from the membrane. In essence, the porogen reagent (or porogen substituent attached to the precursor), the porogen in the preliminary membrane and the porogen to be removed may or may not be of the same species, but they are all Preferably derived from the group). Regardless of whether the porogen does not change over the process of the present invention, the term “porogen” as used herein refers to the pore-forming reagent (or pore-forming substituent) and its derivatives (the entire process of the present invention). In any form found throughout).

他の本発明の態様は新規なオルガノシラン及びオルガノシロキサンである。ネオへキシルTMCTS及びトリメチルシリルエチルTMCTSのような低誘電率前駆体として使用するために合成された新規なポロゲン含有(すなわちポロゲン化された)材料は、さらに他の領域で潜在的な用途を有しうる。本発明の新規なオルガノシランはTMCTS若しくはジエトキシメチルシランを用いたオレフィン前駆体のヒドロシリル化反応により容易に調製され得る。たとえば、クロロ白金酸触媒の存在下に、等モルの蒸留3,3−ジメチルブテンにジエトキシメチルシラン若しくはTMCTSを滴下添加すると、ネオへキシル置換シランであるネオへキシルジエトキシメチルシラン及びネオへキシルテトラメチルシクロテトラシロキサンが高収率で得られる。   Another aspect of the present invention is novel organosilanes and organosiloxanes. Novel porogen-containing (ie porogenated) materials synthesized for use as low dielectric constant precursors such as neohexyl TMCTS and trimethylsilylethyl TMCTS have potential applications in yet other areas sell. The novel organosilanes of the present invention can be easily prepared by hydrosilylation of olefin precursors using TMCTS or diethoxymethylsilane. For example, when diethoxymethylsilane or TMCTS is added dropwise to equimolar distilled 3,3-dimethylbutene in the presence of a chloroplatinic acid catalyst, neohexyldiethoxymethylsilane and neohexyl, which are neohexyl-substituted silanes. Xyltetramethylcyclotetrasiloxane is obtained in high yield.

「ガス状試薬」という用語は試薬を説明するのにここで用いられることがあるが、その用語は、反応器にガスとして、直接に供給され、気化した液体、昇華した固体として供給され、及び/又は反応器に不活性キャリアガスにより輸送された、試薬を包含する。   The term “gaseous reagent” may be used herein to describe a reagent, but the term is supplied directly to the reactor as a gas, as a vaporized liquid, as a sublimated solid, and And / or reagents transported to the reactor by an inert carrier gas.

さらに、試薬は区別しうる源から別々に、若しくは混合物として、反応器に供給されうる。試薬はいかなる数の手段によっても、好ましくはプロセス反応器に液体の配給を可能にする適切なバルブ及び継ぎ手を備えた加圧しうるステンレス鋼容器を用いて、反応系に送られうる。   Furthermore, the reagents can be fed into the reactor separately from distinct sources or as a mixture. Reagents can be sent to the reaction system by any number of means, preferably using a pressurizable stainless steel vessel equipped with suitable valves and fittings that allow liquid delivery to the process reactor.

ある態様において、異なるオルガノシラン及び/又はオルガノシロキサンの混合物は一緒に用いられる。さらに、多数の異なるポロゲンの組合わせの使用、並びにオルガノシラン及び/又はオルガノシロキサンを、結合したポロゲンを持つオルガノシラン及び/又はオルガノシロキサン種と組合わせて使用することも、本発明の範囲内である。このような態様は最終生成物のSiに対する細孔の比を調節するのを容易にし、及び/又は基本OSG構造の1つ以上の重要な特性を向上させる。たとえば、ジエトキシメチルシラン(DEMS)及びポロゲンを用いる堆積は膜の機械的特性を改良するためにテトラエトキシシラン(TEOS)のような付加的な有機ケイ素を使用しうる。同様な例は有機ケイ素であるネオへキシル−ジエトキシメチルシランを用いる反応に添加されるDEMSの使用であり得、そこではネオへキシル基はポロゲンとして前駆体官能基に結合する。さらなる例はジ−t−ブトキシメチルシラン及びポロゲンを用いる反応へのジ−t−ブトキシ−ジアセトキシシランの添加である。ある態様において、2以下のSi−O結合を持つ第1の有機ケイ素前駆体と3以上のSi−O結合を持つ第2の有機ケイ素前駆体の混合物は本発明の膜の化学組成を適合させるのに与えられる。   In some embodiments, a mixture of different organosilanes and / or organosiloxanes are used together. Furthermore, the use of a number of different porogen combinations and the use of organosilanes and / or organosiloxanes in combination with organosilanes and / or organosiloxane species having bound porogens are within the scope of the present invention. is there. Such embodiments facilitate adjusting the ratio of pores in the final product to Si and / or improve one or more important properties of the basic OSG structure. For example, deposition using diethoxymethylsilane (DEMS) and porogen can use additional organosilicon such as tetraethoxysilane (TEOS) to improve the mechanical properties of the film. A similar example may be the use of DEMS added to a reaction with the organosilicon neohexyl-diethoxymethylsilane, where the neohexyl group is attached as a porogen to the precursor functional group. A further example is the addition of di-t-butoxy-diacetoxysilane to reactions using di-t-butoxymethylsilane and porogen. In some embodiments, a mixture of a first organosilicon precursor having 2 or less Si—O bonds and a second organosilicon precursor having 3 or more Si—O bonds adapts the chemical composition of the film of the present invention. Given to.

構造形成種及び細孔形成種に加えて、堆積反応前に、中に、及び/又は後に、付加的材料が真空チャンバに装入されうる。このような材料は、たとえば不活性ガス(たとえば、He,Ar,N,Kr,Xe等であり、比較的揮発性の小さい前駆体のためのキャリアガスとして使用され得、及び/又は堆積されたままの材料の硬化を促進し、もっと安定な最終的な膜を与えうる)、並びにガス若しくは液体の有機物質、NH,H,CO若しくはCOのような反応性物質を含む。COは好適なキャリアガスである。 In addition to the structure-forming species and the pore-forming species, additional material can be charged into the vacuum chamber before, during, and / or after the deposition reaction. Such materials are, for example, inert gases (eg, He, Ar, N 2 , Kr, Xe, etc., and can be used and / or deposited as a carrier gas for relatively volatile precursors. As well as reactive materials such as NH 3 , H 2 , CO 2 or CO, which can promote the curing of the raw material and provide a more stable final film). CO 2 is a suitable carrier gas.

エネルギーはガスを反応させ、基体上に膜を形成させるためにガス状試薬に加えられる。このようなエネルギーは、たとえば、熱、プラズマ、パルスプラズマ、へリコンプラズマ、高密度プラズマ、誘導結合(inductively coupled)プラズマ、及び遠隔プラズマ法により供給されうる。2次的なrf周波数源は基体表面でプラズマ特性を変性するために用いられうる。好適には、膜はプラズマ増強化学的蒸着で形成される。13.56MHzの周波数で、容量結合型(capacitively coupled)プラズマを発生させるのが特に好適である。プラズマのパワーは基体の表面積にもとづいて、好ましくは0.02〜7W/cm、もっと好ましくは0.3〜3W/cmである。プラズマの電子温度を低くするために低イオン化エネルギーを有し、OSG前駆体及びポロゲンにおける切断(fragmentation)を少なくさせるキャリアガスを使用するのが遊離である。低イオン化エネルギーを有する種類の例は、CO、NH、CO、CH、Ar,Xe,Krである。 Energy is applied to the gaseous reagent to react the gas and form a film on the substrate. Such energy can be supplied by, for example, heat, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, and remote plasma methods. A secondary rf frequency source can be used to modify the plasma properties at the substrate surface. Preferably, the film is formed by plasma enhanced chemical vapor deposition. It is particularly preferred to generate a capacitively coupled plasma at a frequency of 13.56 MHz. The plasma power is based on the surface area of the substrate, preferably 0.02~7W / cm 2, it is more preferably 0.3 to 3 watts / cm 2. It is free to use a carrier gas that has a low ionization energy to reduce the plasma electron temperature and reduces fragmentation in the OSG precursor and porogen. Type of example with low ionization energy, CO 2, NH 3, CO , a CH 4, Ar, Xe, Kr .

ガス状試薬のそれぞれの流速は単一の200mmウェハに関し、好ましくは10〜5000sccm,もっと好ましくは30〜1000sccmである。個々の流速は膜中に、所望の量の構造形成剤及び細孔形成剤を与えるように選択される。必要とされる実際の流速はウェハの大きさ及びチャンバの形態に依存し得、200mmウェハ若しくは単一のウェハチャンバに決して限定されない。   Each flow rate of the gaseous reagent is preferably 10 to 5000 sccm, more preferably 30 to 1000 sccm, for a single 200 mm wafer. The individual flow rates are selected to provide the desired amount of structure former and pore former in the membrane. The actual flow rate required may depend on the wafer size and chamber configuration and is in no way limited to a 200 mm wafer or a single wafer chamber.

少なくとも50nm/分の堆積速度で膜を堆積するのが好適である。堆積時の真空チャンバの圧力は、好ましくは0.01〜600torr,もっと好ましくは1〜15torrである。   It is preferred to deposit the film at a deposition rate of at least 50 nm / min. The pressure in the vacuum chamber during deposition is preferably 0.01 to 600 torr, more preferably 1 to 15 torr.

膜は0.002〜10μmの厚さに堆積されるのが好適であるが、厚さは必要に応じて変動されうる。非パターン表面に堆積されるブランケット膜は、優れた均一性を有し、適度な周辺排除を伴う基体についての1標準偏差にまさって2%より少ない厚さ変動を有する。ここでは、たとえば、基体の5mmの最外周辺は均一の統計的な計算には含まれない。   The film is preferably deposited to a thickness of 0.002 to 10 μm, although the thickness can be varied as required. Blanket films deposited on non-patterned surfaces have excellent uniformity and less than 2% thickness variation over one standard deviation for a substrate with moderate peripheral exclusion. Here, for example, the outermost periphery of 5 mm of the substrate is not included in the uniform statistical calculation.

膜の気孔率は嵩密度とともに増加され得、対応して減少して材料の誘電率をさらに低減させ、そして次世代(たとえばk<2.0)へのこの材料の適用性も拡げる。   The porosity of the membrane can be increased with bulk density, correspondingly decreasing to further reduce the dielectric constant of the material, and expand the applicability of this material to the next generation (eg, k <2.0).

実質的にすべてのポロゲンの除去は、もしアニ−ルされた多孔質OSGと添加されたポロゲンのない類似のポロゲンの間に原子組成の統計的に意味のある測定された差異がなければ、みなされる。組成についての分析法(X線光電子分光法(XPS)、ラザフォード後方散乱分光法/水素前方散乱分光法(RBS/HFS))の固有測定誤差及びプロセス変動性の両方はデータの範囲の一因となる。XPSについて固有測定誤差は約±2原子%であるが、RBS/HFSについてはもっと大きいと予測され、種に依存して約±2〜5原子%に及ぶ。プロセス変動性はデータの最終範囲の±2原子%の一因となる。   Substantially all porogen removal is considered if there is no statistically meaningful measured difference in atomic composition between annealed porous OSG and a similar porogen without added porogen. It is. Both the inherent measurement error and process variability of analytical methods for composition (X-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectroscopy / hydrogen forward scattering spectroscopy (RBS / HFS)) contributed to the range of data Become. The inherent measurement error for XPS is about ± 2 atom%, but for RBS / HFS is expected to be much larger, ranging from about ± 2 to 5 atom% depending on the species. Process variability contributes ± 2 atomic percent of the final range of data.

次に、区別し得るポロゲンを使用するのに適切なSi系前駆体の非制限的な例を示す。次の化学式において、そしてこの明細書におけるすべての化学式において、「独立して」という用語は主題のR基が異なる肩文字を持つたのR基に関して独立して選ばれるばかりでなく、同一のR基の付加的種に関して独立して選ばれることを示すと理解されるべきである。たとえば、式R (OR4−nSiにおいて、nが2若しくは3であるとき、2若しくは3のR基は互いに若しくはRと同一である必要はない。 The following are non-limiting examples of Si-based precursors suitable for using distinguishable porogens. In the following chemical formulas, and in all chemical formulas in this specification, the term “independently” is not only selected independently with respect to other R groups with the subject R group having a different superscript, but also the same R It should be understood to indicate that the group is independently selected for additional species. For example, in the formula R 1 n (OR 2 ) 4 -n Si, when n is 2 or 3, the R 1 groups of 2 or 3 do not have to be the same as each other or R 2 .

−R (OR3−nSi
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3でありうる。
例:ジエトキシメチルシラン、ジメチルジメトキシシラン
-R 1 n (OR 2 ) 3-n Si
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n Can be 1-3.
Example: Diethoxymethylsilane, dimethyldimethoxysilane

−R (OR3−nSi−O−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,3−ジメチル−1,3−ジエトキシジシロキサン
-R 1 n (OR 2 ) 3-n Si-O-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,3-dimethyl-1,3-diethoxydisiloxane

−R (OR3−nSi−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジメチル−1,1,2,2,−テトラエトキシジシラン
-R 1 n (OR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-dimethyl-1,1,2,2, -tetraethoxydisilane

−R (O(O)CR4−nSi
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;並びにnは1〜3である。
例:ジメチルジアセトキシシラン
-R 1 n (O (O) CR 2 ) 4-n Si
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently hydrogen or a C 1 -C 6 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon And n is 1-3.
Example: Dimethyldiacetoxysilane

−R (O(O)CR3−nSi−O−SiR (O(O)CR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRはは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにtは1〜3でありうる。
例:1,3−ジメチル−1,3−ジアセトキシシロキサン
—R 1 n (O (O) CR 2 ) 3-n Si—O—SiR 3 m (O (O) CR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 and R 4 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or wholly Can be fluorinated hydrocarbons; n can be 1-3; and t can be 1-3.
Example: 1,3-dimethyl-1,3-diacetoxysiloxane

−R (O(O)CR3−nSi−SiR (O(O)CR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジメチル−1,1,2,2,−テトラアセトキシジシラン
—R 1 n (O (O) CR 2 ) 3-n Si—SiR 3 m (O (O) CR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or wholly Fluorinated hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-dimethyl-1,1,2,2, -tetraacetoxydisilane

−R (O(O)CR3−nSi−O−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン
—R 1 n (O (O) CR 2 ) 3-n Si—O—SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. A hydrocarbon; R 2 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 4 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; n is 1-3 As well as m can be 1-3.
Example: 1,3-dimethyl-1-acetoxy-3-ethoxydisiloxane

−R (OR3−nSi−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジメチル−1−アセトキシ−2−エトキシジシラン
-R 1 n (OR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 is independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 is independently a C 1 -C 6 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-dimethyl-1-acetoxy-2-ethoxydisilane

−R (OR(O(O)R4−(n+p)Si
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは1〜3でありうる。
例:メチルアセトキシ−t−ブトキシシラン
-R 1 n (OR 2) p (O (O) R 4) 4- (n + p) Si
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 4 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n can be 1-3; and p can be 1-3.
Example: Methylacetoxy-t-butoxysilane

−R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;mは1〜3;pは1〜3;並びにqは1〜3でありうる。
例:1,3−ジメチル−1,3−ジアセトキシ−1,3−ジエトキシジシロキサン
-R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Alternatively, all fluorinated hydrocarbons; n can be 1-3; m can be 1-3; p can be 1-3; and q can be 1-3.
Example: 1,3-dimethyl-1,3-diacetoxy-1,3-diethoxydisiloxane

−R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;mは1〜3;pは1〜3;並びにqは1〜3でありうる。
例:1,2−ジメチル−1,2−ジアセトキシ−1,2−ジエトキシジシラン
-R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Alternatively, all fluorinated hydrocarbons; n can be 1-3; m can be 1-3; p can be 1-3; and q can be 1-3.
Example: 1,2-dimethyl-1,2-diacetoxy-1,2-diethoxydisilane

−式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;
例:1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン
A cyclic siloxane of formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic Or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8;
Example: 1,3,5,7-tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane

上述のすべての前駆体群には次の条件がある:1)反応環境は本質的に非酸化性及び/又は反応混合物に添加された酸化体を持たない(酸化体とみなされない限りCOの任意の添加以外)、2)ポロゲンは反応混合物に添加される、及び3)硬化(たとえばアニ−ル)段階はk<2.6を得るために堆積膜から実質的にすべての含有ポロゲンを除去するのに使用され得る。 All of the precursor groups discussed above have the following conditions: 1) the reaction environment is essentially free of non-oxidizing and / or the reaction mixture is added the oxidant (as much CO 2 that are not considered oxidant 2) The porogen is added to the reaction mixture, and 3) the curing (eg annealing) step removes substantially all contained porogen from the deposited film to obtain k <2.6. Can be used to do.

上述の前駆体はポロゲンと混合され得、又は結合ポロゲンを有し得、そしてこれらの種類の、他の分子と、及び/又はn及び/又はmが0〜3の場合を除いて同一の種類の分子と、混合され得る。
例:TEOS,トリエトキシシラン、ジ−t−ブトキシシラン、シラン、ジシラン、ジ−t−ブトキシジアセトキシシラン等
The precursors described above can be mixed with porogens or have bound porogens and are of the same type, except for those types of other molecules, and / or where n and / or m is 0-3. Can be mixed with
Examples: TEOS, triethoxysilane, di-t-butoxysilane, silane, disilane, di-t-butoxydiacetoxysilane, etc.

次は区別し得るポロゲンを使用するのに適切な、あるSi系前駆体を示す付加的な式である:   The following are additional formulas showing certain Si-based precursors that are suitable for using distinguishable porogens:

(a)式R (OR(O(O)CR4−(n+p)Si
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは0〜3である;
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
Wherein R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1 to 3; and p is 0 to 3;

(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3) is there;

(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3) is there;

(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 , R 6 and R 7 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Is a fluorinated hydrocarbon; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3);

(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4)である;
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4);

(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4)である;
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ 4);

(g)式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;
(G) Cyclic siloxane of formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8;

(h)式(NRSiRの環状シラザン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;又は
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, single or double. Saturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8; or

(i)式(CRSiRの環状カルボシラン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である。
(I) a cyclic carbosilane of the formula (CR 1 R 3 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, single or A polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; and x is an integer of 2-8.

言及は前駆体及びポロゲン化前駆体としてシロキサン及びジシロキサンについて明細書にわたってなされているが、本発明はそれらに限定されないこと、そしてトリシロキサン及びもっと大きい長さの線状シロキサンのような、他のシロキサンも本発明の範囲内であることが理解されるべきである。   Reference has been made throughout the specification for siloxanes and disiloxanes as precursors and porogenated precursors, but the invention is not limited thereto and other such as trisiloxanes and larger length linear siloxanes. It should be understood that siloxanes are also within the scope of the present invention.

次はSi系のポロゲン化された前駆体の非制限的な例であり、ポロゲン材料はR、R及びRの1つ以上である: The following are non-limiting examples of Si-based porogenized precursors, where the porogen material is one or more of R 1 , R 3 and R 7 :

−R (OR3−nSi
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3でありうる。
例:ジエトキシ−ネオ−ヘキシルシラン
-R 1 n (OR 2 ) 3-n Si
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n Can be 1-3.
Example: Diethoxy-neo-hexylsilane

−R (OR3−nSi−O−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,3−ジエトキシ−1−ネオ−ヘキシルジシロキサン
-R 1 n (OR 2 ) 3-n Si-O-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,3-diethoxy-1-neo-hexyldisiloxane

−R (OR3−nSi−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジエトキシー1−ネオ−ヘキシルジシラン
-R 1 n (OR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-diethoxy-1-neo-hexyldisilane

−R (OR3−nSi―R―SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;2つのSi原子の架橋;nは1〜3;並びにmは1〜3でありうる。
例:1,4−ビス(ジメトキシシリル)シクロへキサン
-R 1 n (OR 2 ) 3-n Si-R 7 -SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated R 7 is independently a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; Cross-linking of two Si atoms; n can be 1-3; and m can be 1-3.
Example: 1,4-bis (dimethoxysilyl) cyclohexane

−R (OR3−nSi−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジエトキシー1−ネオ−ヘキシルジシラン
-R 1 n (OR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-diethoxy-1-neo-hexyldisilane

−R (O(O)CR4−nSi
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;並びにnは1〜3でありうる。
例:ジアセトキ−ネオ−ヘキシルシラン
-R 1 n (O (O) CR 2 ) 4-n Si
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon As well as n can be 1-3.
Example: Diacetoxy-neo-hexylsilane

−R (O(O)CR3−nSi−O−SiR (O(O)CR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRはは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,3−ジアセトキシ−1−ネオ−ヘキシルジシロキサン
—R 1 n (O (O) CR 2 ) 3-n Si—O—SiR 3 m (O (O) CR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 and R 4 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or wholly Fluorinated hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,3-diacetoxy-1-neo-hexyldisiloxane

−R (O(O)CR3−nSi−SiR (O(O)CR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1,2−ジアセトキシ−1−ネオ−ヘキシルジシラン
—R 1 n (O (O) CR 2 ) 3-n Si—SiR 3 m (O (O) CR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 4 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or wholly Fluorinated hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1,2-diacetoxy-1-neo-hexyldisilane

−R (O(O)CR3−nSi−O−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1−アセトキシ−3,3−ジ−t−ブトキシ−1−ネオヘキシルジシロキサン
—R 1 n (O (O) CR 2 ) 3-n Si—O—SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 is independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated R 4 is independently a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1-acetoxy-3,3-di-t-butoxy-1-neohexyldisiloxane

−R (O(O)CR3−nSi−SiR (OR3−m
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにmは1〜3でありうる。
例:1−アセトキシ−2,2−ジ−t−ブトキシ−1−ネオヘキシルジシラン
—R 1 n (O (O) CR 2 ) 3-n Si—SiR 3 m (OR 4 ) 3-m
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 is independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated R 4 is independently a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. Hydrocarbons; n can be 1-3; and m can be 1-3.
Example: 1-acetoxy-2,2-di-t-butoxy-1-neohexyldisilane

−R (OR(O(O)CR4−(n+p)Si
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは1〜3でありうる。
例:アセトキシ−t−ブトキシ−ネオ−ヘキシルシラン
-R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 to C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n can be 1-3; and p can be 1-3.
Example: Acetoxy-t-butoxy-neo-hexylsilane

−R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;mは1〜3;pは1〜3;並びにqは1〜3でありうる。
例:1,3−ジアセトキシ−1,3−ジ−t−ブトキシ−1−ネオへキシルジシロキサン
-R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Alternatively, all fluorinated hydrocarbons; n can be 1-3; m can be 1-3; p can be 1-3; and q can be 1-3.
Example: 1,3-diacetoxy-1,3-di-t-butoxy-1-neohexyldisiloxane

−R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;mは1〜3;pは1〜3;並びにqは1〜3でありうる。
例:1,2−ジアセトキシ−1,2−ジ−t−1−ブトキシ−1−ネオへキシルジシラン
-R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Alternatively, all fluorinated hydrocarbons; n can be 1-3; m can be 1-3; p can be 1-3; and q can be 1-3.
Example: 1,2-diacetoxy-1,2-di-t-1-butoxy-1-neohexyldisilane

−式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;
例:1−ネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン
A cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic Or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8;
Example: 1-Neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane

上述のすべての前駆体群には次の条件がある:1)反応環境は本質的に非酸化性及び/又は反応混合物に添加された酸化体を持たない(酸化体とみなされない限りCOの任意の添加以外)、2)R、R及びRの少なくとも1つはC以上の炭化水素を有し、細孔形成剤として作用するのが好ましい、及び3)硬化(たとえばアニ−ル)段階はk<2.6を得るために堆積膜から実質的にすべての含有ポロゲンを除去するのに使用され得る。 All of the precursor groups discussed above have the following conditions: 1) the reaction environment is essentially free of non-oxidizing and / or the reaction mixture is added the oxidant (as much CO 2 that are not considered oxidant 2) At least one of R 1 , R 3 and R 7 has a hydrocarbon of C 3 or higher and preferably acts as a pore former, and 3) cure (eg Step) can be used to remove substantially all contained porogen from the deposited film to obtain k <2.6.

上述の前駆体は、これらの同一の種類の、他の分子と、及び/又はn及び/又はmが0〜3の場合を除いて同一の種類の分子と、混合され得る。   The aforementioned precursors can be mixed with these same types of other molecules and / or with the same type of molecules except where n and / or m is 0-3.

あるいは、適切なSi系ポロゲン化前駆体の非制限的な例は次の式で示される:   Alternatively, a non-limiting example of a suitable Si-based porogenated precursor is shown by the following formula:

(a)式R (OR(O(O)CR4−(n+p)Si
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは0〜3であり;ただし、Rの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. Hydrogen; n is 1 to 3; and p is 0 to 3; provided that at least one of R 1 is substituted with a C 3 or higher hydrocarbon as a porogen;

(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3、m+q≦3であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or moieties Or 0 to 3; m is 0 to 3; q is 0 to 3; and p is 0 to 3; provided that n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen;

(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3、m+q≦3であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or moieties Or 0 to 3; m is 0 to 3; q is 0 to 3; and p is 0 to 3; provided that n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen;

(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3、m+q≦3であり、そしてR、R及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3; provided that n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen;

(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4;ただし、n+p≦4であり、そしてRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. Hydrogen; n is 1 to 3; p is 0 to 3; and t is 2 to 4; provided that n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen ;

(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3;ただし、n+p≦であり、そしてRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. N is 1 to 3; p is 0 to 3; and t is 1 to 3; provided that n + p ≦, and at least one of R 1 is substituted as a porogen with a hydrocarbon of C 3 or more;

(g)式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(G) Cyclic siloxane of formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated , Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 and at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen ing;

(h)式(NRSiRの環状シラザン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり;そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;又は、
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or double. Saturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8; and at least one of R 1 and R 3 is a hydrocarbon of C 3 or higher as a porogen Substituted; or

(i)式(CRSiRの環状カルボシラン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり;そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている。
(I) a cyclic carbosilane of the formula (CR 1 R 3 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or A polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; and x is an integer from 2 to 8; and at least one of R 1 and R 3 is a carbon of 3 or more as a porogen Replaced with hydrogen.

次は本発明のポロゲンとして使用するのに適切な材料の非制限的な例である:   The following are non-limiting examples of materials suitable for use as the porogen of the present invention:

(1)一般式C2nを持つ環状炭化水素
ここでnは4〜14、環状構造の炭素数は4〜10であり、そして環状構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい。例は、シクロへキサン、トリメチルシクロヘキサン、1−メチル−4(1−メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタン等を含む。
(1) Cyclic hydrocarbons having the general formula C n H 2n where n is 4-14, the cyclic structure has 4-10 carbon atoms, and many simple or branched hydrocarbons substituted in the cyclic structure May be included. Examples include cyclohexane, trimethylcyclohexane, 1-methyl-4 (1-methylethyl) cyclohexane, cyclooctane, methylcyclooctane and the like.

(2)一般式C(2n+2)−2yの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、炭化水素であり、ここでnは2〜20及びy=0−nである。例は、エチレン、プロピレン、アセチレン、ネオへキサン、等を含む。 (2) A linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the general formula C n H (2n + 2) -2y , where n is 2-20 and y = 0-n is there. Examples include ethylene, propylene, acetylene, neohexane, and the like.

(3)一般式C2n−2xを持つ、単若しくは複不飽和の環状炭化水素であり、
ここでxは分子の不飽和部位の数、nは4〜14、環状構造の炭素数は4〜10、そして単若しくは複不飽和の環状炭化水素は環状構造に置換された多くの単純若しくは分枝炭化水素置換基を含んでいてもよい。不飽和は環内に、又は環構造への炭化水素置換基の1つに、位置していてもよい。例は、シクロへキセン、ビニルシクロへキセン、ジメチルシクロへキセン、t−ブチルシクロへキセン、アルファ−テルピネン、ピネン、1,5−ジメチル−1,5−シクロオクタジエン、等を含む。
(3) a mono- or polyunsaturated cyclic hydrocarbon having the general formula C n H 2n-2x ,
Where x is the number of sites of unsaturation in the molecule, n is 4-14, the carbon number of the cyclic structure is 4-10, and mono- or polyunsaturated cyclic hydrocarbons are replaced by many simple or Branched hydrocarbon substituents may be included. Unsaturation may be located in the ring or one of the hydrocarbon substituents to the ring structure. Examples include cyclohexene, vinylcyclohexene, dimethylcyclohexene, t-butylcyclohexene, alpha-terpinene, pinene, 1,5-dimethyl-1,5-cyclooctadiene, and the like.

(4)一般式C2n−2を持つ2環状炭化水素であり、ここでnは4〜14、2環構造の炭素数は4〜12、そして2環構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい。例は、ノルボルナン、スピロノナン、デカヒドロナフタレン、等を含む。 (4) A bicyclic hydrocarbon having the general formula C n H 2n-2 , where n is 4-14, the number of carbons in the bicyclic structure is 4-12, and many simple substituted with a bicyclic structure Alternatively, it may contain a branched hydrocarbon. Examples include norbornane, spirononan, decahydronaphthalene, and the like.

(5)一般式C2n−(2+2x)を持つ複不飽和の2環状炭化水素であり、ここでxは分子の不飽和部位の数、nは4〜14、2環構造の炭素数は4〜12、2環構造に置換された多くの単純若しくは分枝炭化水素置換基を含んでいてもよい。不飽和は環内に、又は環構造への炭化水素置換基の1つに、位置していてもよい。例は、カンフェン、ノルボルネン、ノルボルナジエン、等を含む。 (5) a formula C n H 2n- (2 + 2x ) 2 -cyclic hydrocarbon multiply unsaturated with carbon atoms of where x is the number of unsaturated sites in the molecule, n represents 4~14,2 ring structure May contain many simple or branched hydrocarbon substituents substituted with 4-12 bicyclic structures. Unsaturation may be located in the ring or one of the hydrocarbon substituents to the ring structure. Examples include camphene, norbornene, norbornadiene, and the like.

(6)一般式C2n−4を持つ3環状炭化水素であり、ここでnは4〜14、3環構造の炭素数は4〜12、そして3環構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい。例はアダマンタンを含む。 (6) a general formula C n H 2n-4 3 cyclic hydrocarbon with, where n is the number of carbon atoms of 4~14,3 ring structures 4-12, and many simply substituted the tricyclic structure Alternatively, it may contain a branched hydrocarbon. Examples include adamantane.

さらに本発明は本発明の方法を実施するための組成物を提供する。本発明の組成物は好適には次の式を含む:   The present invention further provides a composition for carrying out the method of the present invention. The composition of the present invention preferably comprises the following formula:

(A)次式で表わされる少なくとも1つのポロゲン化された前駆体:   (A) at least one porogenized precursor represented by the following formula:

(1)式R (OR(O(O)CR4−(n+p)Si
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは0〜3であり;ただし、n+p≦4であり、そしてRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(1) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
Wherein R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. Hydrogen; n is 1 to 3; and p is 0 to 3; provided that n + p ≦ 4 and at least one of R 1 is substituted with a C 3 or higher hydrocarbon as a porogen;

(2)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3m+q≦3であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(2) R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or moieties Or 0 to 3; m is 0 to 3; q is 0 to 3; and p is 0 to 3; provided that n + m ≧ 1, n + p ≦ 3m + q ≦ 3, And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen;

(3)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3、m+q≦3であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(3) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si-SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbon; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or moieties Or 0 to 3; m is 0 to 3; q is 0 to 3; and p is 0 to 3; provided that n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen;

(4)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R、R、R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3;ただし、n+m≧1、n+p≦3、m+q≦3であり、そしてR、R及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(4) R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-R 7 -SiR 3 m (O (O) CR 5) q (OR 6) 3-m- q
Where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3; provided that n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen;

(5)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4;ただし、n+p≦4であり、そしてRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(5) Formula (R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si) t CH 4-t
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. Hydrogen; n is 1 to 3; p is 0 to 3; and t is 2 to 4; provided that n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen ;

(6)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
ここで、Rは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3;ただし、n+p≦であり、そしてRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(6) (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
Where R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated carbonized. N is 1 to 3; p is 0 to 3; and t is 1 to 3; provided that n + p ≦, and at least one of R 1 is substituted as a porogen with a hydrocarbon of C 3 or more;

(7)式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり、そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;
(7) Cyclic siloxane of formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated , Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8, and at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen ing;

(8)式(NRSiRの環状シラザン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり;そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;又は、
(8) Cyclic silazane of formula (NR 1 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or double. Saturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8; and at least one of R 1 and R 3 is a hydrocarbon of C 3 or higher as a porogen Is substituted; or

(9)式(CRSiRの環状カルボシラン
ここで、R及びRは独立して水素又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数であり;そしてR及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている;又は、
(9) Cyclic carbosilane of formula (CR 1 R 3 SiR 1 R 3 ) x wherein R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or A polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; and x is an integer from 2 to 8; and at least one of R 1 and R 3 is a carbon of 3 or more as a porogen Substituted with hydrogen; or

(B)(1)次式からなる群より選ばれる少なくとも1つの前駆体:   (B) (1) At least one precursor selected from the group consisting of:

(a)式R (OR(O(O)CR4−(n+p)Si
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;並びにpは0〜3である;
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
Wherein R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1 to 3; and p is 0 to 3;

(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3) is there;

(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated Hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3) is there;

(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;R、R及びRは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3)である;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
Where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; R 2 , R 6 and R 7 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Is a fluorinated hydrocarbon; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3);

(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4)である;
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4);

(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
ここで、Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立してC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;Rは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは全部がフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4)である;
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
Where R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; 3 is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ 4);

(g)式(OSiRの環状シロキサン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;
(G) Cyclic siloxane of formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8;

(h)式(NRSiRの環状シラザン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;又は
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, single or double. Saturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8; or

(i)式(CRSiRの環状カルボシラン
ここで、R及びRは独立して水素又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは全部がフッ素化された炭化水素;並びにxは2〜8の整数である;並びに、
(I) a cyclic carbosilane of formula (CR 1 R 3 SiR 1 R 3 ) x wherein R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, single or A polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; and x is an integer from 2 to 8; and

(B)(2)少なくとも1つの前駆体と区別し得るポロゲンであり、前記ポロゲンは少なくとも次の1つである:   (B) (2) A porogen that can be distinguished from at least one precursor, the porogen being at least one of the following:

(a)環状構造及び式C2nを持つ少なくとも1つの環状炭化水素であり、ここでnは4〜14、環状構造の炭素数は4〜10であり、そして少なくとも1つの環状炭化水素は環状構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい; (A) at least one cyclic hydrocarbon having a cyclic structure and the formula C n H 2n , where n is 4-14, the carbon number of the cyclic structure is 4-10, and at least one cyclic hydrocarbon is May contain many simple or branched hydrocarbons substituted with a cyclic structure;

(b)一般式C(2n+2)−2yの少なくとも1つの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、炭化水素であり、ここでnは2〜20及びy=0−nである; (B) at least one linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the general formula C n H (2n + 2) -2y , where n is 2-20 and y = 0 -N;

(c)環状構造及び式C2n−2xを持つ少なくとも1つの、単若しくは複不飽和の環状炭化水素であり、ここでxは不飽和部位の数、nは4〜14、環状構造の炭素数は4〜10、そして少なくとも1つの単若しくは複不飽和の環状炭化水素は環状構造に置換された多くの単純若しくは分枝炭化水素置換基を含んでいてもよく、さらに環内不飽和若しくはその炭化水素置換基の1つに不飽和を含んでいてもよい; (C) at least one mono- or polyunsaturated cyclic hydrocarbon having a cyclic structure and the formula C n H 2n-2x , where x is the number of unsaturated sites, n is 4-14, The number of carbon atoms is 4 to 10, and the at least one mono- or polyunsaturated cyclic hydrocarbon may contain many simple or branched hydrocarbon substituents substituted with a cyclic structure, One of the hydrocarbon substituents may contain unsaturation;

(d)2環構造及び式C2n−2を持つ少なくとも1つの2環状炭化水素であり、ここでnは4〜14、2環構造の炭素数は4〜12、そして少なくとも1つの2環状炭化水素は2環構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい; (D) 2 ring structures and at least one bicyclic hydrocarbon having the formula C n H 2n-2, where n is the number of carbon atoms of 4~14,2 ring structures 4-12, and at least one 2 Cyclic hydrocarbons may include many simple or branched hydrocarbons substituted with a bicyclic structure;

(e)2環構造及び式C2n−(2+2x)を持つ少なくとも1つの複不飽和の2環状炭化水素であり、ここでxは不飽和部位の数、nは4〜14、2環構造の炭素数は4〜12、そして少なくとも1つの複不飽和の2環状炭化水素は2環構造に置換された多くの単純若しくは分枝炭化水素置換基を含んでいてもよく、さらに環内不飽和若しくはその炭化水素置換基の1つに不飽和を含んでいてもよい;並びに、 (E) at least one bicyclic hydrocarbon multiply unsaturated with 2 ring structures and formulas C n H 2n- (2 + 2x ), where x is the number of unsaturated sites, n represents 4~14,2 ring The structure has 4 to 12 carbon atoms, and the at least one polyunsaturated bicyclic hydrocarbon may contain many simple or branched hydrocarbon substituents substituted with a bicyclic structure, and further includes an endocyclic May be unsaturated or may include unsaturation in one of its hydrocarbon substituents; and

(f)3環構造及び式C2n−4を持つ少なくとも1つの3環状炭化水素であり、ここでnは4〜14、3環構造の炭素数は4〜12、そして少なくとも1つの3環状炭化水素は3環構造に置換された多くの単純若しくは分枝炭化水素を含んでいてもよい。 (F) at least one tricyclic hydrocarbon having a tricyclic structure and the formula C n H 2n-4 , where n is 4 to 14, the carbon number of the tricyclic structure is 4 to 12, and at least one 3 Cyclic hydrocarbons may include many simple or branched hydrocarbons substituted with a tricyclic structure.

ポロゲン化された前駆体を含む組成物のある態様において、好ましくは組成物はネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン及びトリメチルシリルエチル−1,3,5,7−テトラメチルシクロテトラシロキサン、からなる群より選ばれる少なくとも1つのポロゲン化された前駆体を含む。   In certain embodiments of the composition comprising a porogenated precursor, preferably the composition is neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane and trimethylsilylethyl-1,3,5,7-tetra. At least one porogenized precursor selected from the group consisting of methylcyclotetrasiloxane.

ポロゲンのない前駆体を含む組成物のある態様において、好ましくは組成物は下記を含む:   In certain embodiments of the composition comprising a porogen-free precursor, preferably the composition comprises:

(a)(i)ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン及びテトラエトキシシランからなる群より選ばれる少なくとも1つの前駆体,並びに(ii)少なくとも1つの前駆体と区別し得るポロゲンであり、前記ポロゲンはアルファ−テルピネン、リモネン、シクロへキサン、1,2,4−トリメチルシクロへキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン及びデカヒドロナフタレンからなる群より選ばれる少なくとも1つである;及び/又は   (A) (i) diethoxymethylsilane, dimethoxymethylsilane, diisopropoxymethylsilane, di-t-butoxymethylsilane, methyltriethoxysilane, methyltrimethoxysilane, methyltriisopropoxysilane, methyltri-t- Consists of butoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, dimethyldiisopropoxysilane, dimethyldi-t-butoxysilane, 1,3,5,7-tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane and tetraethoxysilane At least one precursor selected from the group, and (ii) a porogen that can be distinguished from at least one precursor, said porogen being alpha-terpinene, limonene, cyclohexane, 1,2,4-trimethylcyclo Hexane, 1,5-dimethyl-1,5-cyclooctadiene, camphene, adamantane, 1,3-butadiene is at least one selected from the group consisting of substituted dienes and decahydronaphthalene; and / or

(b)(i)トリメチルシラン、テトラメチルシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリアセトキシシラン、メチルジアセトキシシラン、メチルエトキシジシロキサン、テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルジアセトキシシラン、ビス(トリメトキシシリル)メタン、ビス(ジメトキシシリル)メタン、テトラエトキシシラン及びトリエトキシシランからなる群より選ばれる少なくとも1つの前駆体,並びに(ii)少なくとも1つの前駆体と区別し得るポロゲンであり、前記ポロゲンはアルファ−テルピネン、ガンマ−テルピネン、リモネン、ジメチルヘキサジエン、エチルベンゼン、デカヒドロナフタレン、2−カレン、3−カレン、ビニルシクロへキセン及びジメチルシクロオクタジエンからなる群より選ばれる1つである。   (B) (i) Trimethylsilane, tetramethylsilane, diethoxymethylsilane, dimethoxymethylsilane, di-t-butoxymethylsilane, methyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, methyltriacetoxysilane, methyl Consists of diacetoxysilane, methylethoxydisiloxane, tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane, dimethyldiacetoxysilane, bis (trimethoxysilyl) methane, bis (dimethoxysilyl) methane, tetraethoxysilane and triethoxysilane At least one precursor selected from the group, and (ii) a porogen that is distinguishable from at least one precursor, said porogen being alpha-terpinene, gamma-terpinene, limo Emissions, dimethylhexadiene, ethylbenzene, decahydronaphthalene, 2-carene, 3-carene, which is one selected from the group consisting of cyclohexene and dimethyl cyclooctadiene to vinylcyclohexane.

本発明の組成物は、たとえば少なくとも1つの加圧し得る、適切なバルブ及び継ぎ手を備えた容器(好ましくはステンレス鋼製)を含み、プロセス反応器にポロゲン、非ポロゲン化前駆体及び/又はポロゲン化前駆体を供給するのを可能にする。容器の中身は予め混合され得る。あるいは、ポロゲン及び前駆体は別個の容器に、若しくは貯蔵時にポロゲン及び前駆体を別個に保持する手段を持つ単一容器に、保持されうる。このような容器も所望のときにポロゲン及び前駆体を混合する手段を有しうる。   The composition of the present invention comprises, for example, a vessel (preferably made of stainless steel) with suitable valves and fittings, which can be pressurized, and the process reactor contains porogen, non-porogenated precursor and / or porogenation. Enable to supply precursor. The contents of the container can be premixed. Alternatively, the porogen and precursor can be held in separate containers or in a single container with means to hold the porogen and precursor separately during storage. Such containers may also have means for mixing the porogen and precursor when desired.

ポロゲンは硬化段階により予備的(すなわち堆積されたまま)膜から除去されるが、それは熱アニ−ル、化学処理、その場の、若しくは遠隔のプラズマ処理、光硬化及び/又はマイクロ波を含みうる。他のその場での、若しくは後堆積処理が硬さ、安定性(収縮、空気にさらすこと、エッチング、湿式エッチング等に対して)、インテグラビリティ(integrability)、均一性及び接着力のような材料特性を向上させるために使用され得る。このような処理はポロゲン除去の前に、中に、及び/又は後に、ポロゲン除去に使用される手段と同一若しくは異なる手段を使用して膜に適用されうる.このように、ここで用いられる「後処理」(post−treating)という用語は、エネルギー(たとえば、熱、プラズマ、光子、電子、マイクロ波等)若しくは化学剤で膜を処理してポロゲンを除去し、そして任意に材料特性を向上させることを示す。後処理が実施される条件は大きく変動しうる。たとえば、後処理は高圧下若しくは真空下に実施されうる。   The porogen is removed from the preliminary (ie, as-deposited) film by the curing step, which may include thermal annealing, chemical processing, in situ or remote plasma processing, photocuring and / or microwaves. . Other in-situ or post-deposition treatment materials such as hardness, stability (for shrinkage, exposure to air, etching, wet etching, etc.), integrity, uniformity and adhesion Can be used to improve properties. Such a treatment can be applied to the membrane before, during and / or after porogen removal using the same or different means as used for porogen removal. Thus, as used herein, the term “post-treating” refers to the treatment of membranes with energy (eg, heat, plasma, photons, electrons, microwaves, etc.) or chemical agents to remove porogens. , And optionally show improved material properties. The conditions under which post-processing is performed can vary greatly. For example, the post-treatment can be performed under high pressure or under vacuum.

アニ−ルは次の条件下で実施される。環境は不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、空気、希釈酸素環境、富化酸素環境、オゾン、亜酸化窒素、等)又は還元(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝、芳香族)、等)でありうる。圧力は、好ましくは約1Torr〜約1000Torr,もっと好ましくは大気圧である。しかし、真空雰囲気も熱アニ−ル並びに他の後処理手段のために可能である。温度は好ましくは200〜500℃、そして温度勾配は0.1〜100℃/分である。合計アニ−ル時間は好ましくは0.01分〜12時間である。OSG膜の化学処理は次の条件下で実施される。フッ素化(HF、SIF、NF、F、COF、CO、等)、酸化(H、O、等)、化学乾燥、メチル化、又は最終材料の特性を向上させる、他の化学処理が使用されうる。このような処理に使用される化学剤は固体、液体、ガス状、及び/又は超臨界流体状態でありうる。 Annealing is carried out under the following conditions. Environment is inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidation (eg, oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, nitrous oxide) Etc.) or reduction (diluted or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The pressure is preferably about 1 Torr to about 1000 Torr, more preferably atmospheric pressure. However, a vacuum atmosphere is possible for thermal annealing as well as other post-treatment means. The temperature is preferably 200-500 ° C. and the temperature gradient is 0.1-100 ° C./min. The total annealing time is preferably 0.01 minutes to 12 hours. The chemical treatment of the OSG film is performed under the following conditions. Fluorinated (HF, SIF 4, NF 3 , F 2, COF 2, CO 2 F 2, etc.), oxidizing (H 2 O 2, O 3 , etc.), chemical drying, methylating, or the properties of the final material Other chemical treatments that improve can be used. The chemical agent used for such processing can be in a solid, liquid, gaseous, and / or supercritical fluid state.

有機シリケート膜からポロゲンを選択的に除去するための超臨界流体後処理は次の条件下に実施される。流体は二酸化炭素、水、亜酸化窒素、エチレン、SF、及び/又は他の種類の化学剤でありうる。他の化学剤は、不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、オゾン、亜酸化窒素、等)又は還元(希釈若しくは濃縮された炭化水素、水素、等)でありうる。温度は好ましくは大気〜500℃である。さらに、化学剤は界面活性剤のような比較的大きい化学種を含みうる。合計曝露時間は好ましくは0.01分〜12時間である。OSG膜の反応活性基の選択的除去及び可能な化学修飾のためのプラズマ処理は次の条件下で実施される。環境は、不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、空気、希釈酸素環境、富化酸素環境、オゾン、亜酸化窒素、等)、又は還元(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝、芳香族)、等)でありうる。プラズマパワーは好ましくは0〜5000Wである。温度は好ましくは大気〜500℃である。圧力は、好ましくは約10mtorr〜大気圧である。合計硬化時間は好ましくは0.01分〜12時間である。 The supercritical fluid post-treatment for selectively removing porogen from the organic silicate membrane is performed under the following conditions. Fluid carbon dioxide, water, nitrous oxide, ethylene, may be SF 6, and / or other types of chemical agents. Other chemical agents are inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (eg, oxygen, ozone, nitrous oxide, etc.) or reduced (diluted) Or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from atmospheric to 500 ° C. Further, the chemical agent can include relatively large chemical species such as surfactants. The total exposure time is preferably 0.01 minutes to 12 hours. Plasma treatment for selective removal of reactive active groups and possible chemical modification of the OSG film is performed under the following conditions. Environment is inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidation (eg, oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, sub-oxidation) Nitrogen, etc.), or reduction (diluted or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The plasma power is preferably 0 to 5000 W. The temperature is preferably from atmospheric to 500 ° C. The pressure is preferably about 10 mtorr to atmospheric pressure. The total curing time is preferably 0.01 minutes to 12 hours.

有機シリケート膜からのポロゲンの選択的除去のための光硬化は次の条件下で実施される。環境は、不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、空気、希釈酸素環境、富化酸素環境、オゾン、亜酸化窒素、等)、又は還元(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝、芳香族)、等)でありうる。温度は好ましくは大気〜500℃である。パワーは好ましくは0〜5000Wである。波長は好ましくはIR、可視、UV、若しくは深UV(波長<200nm)である。合計硬化時間は好ましくは0.01分〜12時間である。 Photocuring for selective removal of porogen from the organosilicate film is performed under the following conditions. Environment is inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidation (eg, oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, sub-oxidation) Nitrogen, etc.), or reduction (diluted or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The temperature is preferably from atmospheric to 500 ° C. The power is preferably 0 to 5000W. The wavelength is preferably IR, visible, UV, or deep UV (wavelength <200 nm). The total curing time is preferably 0.01 minutes to 12 hours.

有機シリケート膜からのポロゲンの選択的除去のためのマイクロ波後処理は次の条件下で実施される。環境は、不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、空気、希釈酸素環境、富化酸素環境、オゾン、亜酸化窒素、等)、又は還元(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝、芳香族)、等)でありうる。温度は好ましくは大気〜500℃である。パワー及び波長は特定の結合に応じて変動し、適合されうる。合計硬化時間は好ましくは0.01分〜12時間である。 Microwave post-treatment for selective removal of porogen from the organosilicate membrane is carried out under the following conditions. Environment is inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidation (eg, oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, sub-oxidation) Nitrogen, etc.), or reduction (diluted or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The temperature is preferably from atmospheric to 500 ° C. The power and wavelength will vary and can be adapted depending on the particular coupling. The total curing time is preferably 0.01 minutes to 12 hours.

有機シリケート膜からのポロゲン若しくは特定の化学種の選択的除去及び/又は膜特性の改良のための電子線後処理は、次の条件下で実施される。環境は、不活性(たとえば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(たとえば、酸素、空気、希釈酸素環境、富化酸素環境、オゾン、亜酸化窒素、等)、又は還元(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝、芳香族)、等)でありうる。温度は好ましくは大気〜500℃である。合計硬化時間は好ましくは0.01分〜12時間であり、連続的若しくは脈動的であってもよい。電子線の一般的な使用についての付加的ガイダンスは、刊行物が利用できる:たとえば、S.Chattopadhyay らのJournal of Materials Science,36(2001)4323−4330; G.KlosterらのProceedings of IITC, June 3−5,2002,SF,CA; 並びに米国特許第6,207,555B1;6,204,201B1;及び6,132,814A1。電子線処理の使用は、マトリックスでの結合形成プロセスにより、ポロゲン除去及び膜の機械的特性の向上を与えうる。 Electron beam post-treatment for selective removal of porogens or specific chemical species from organic silicate films and / or improvement of film properties is carried out under the following conditions. Environment is inert (eg, nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidation (eg, oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, sub-oxidation) Nitrogen, etc.), or reduction (diluted or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The temperature is preferably from atmospheric to 500 ° C. The total curing time is preferably from 0.01 min to 12 hours and may be continuous or pulsating. Additional guidance on the general use of electron beams is available in the publication: Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; and US Pat. Nos. 6,207,555B1; 6,204, 201B1; The use of electron beam treatment can provide porogen removal and improved mechanical properties of the film due to the bond formation process in the matrix.

本発明は次の例に関してさらに詳細に説明されるが、本発明はそれらに限定されるものではないことが理解されるべきである。   While the present invention will be described in further detail with reference to the following examples, it is to be understood that the invention is not limited thereto.

すべての実験は、非ドープTEOSプロセスキットを用いて、Advance Energy 2000rf発生器を固定した200mmDxZ チャンバ内でApplied Materials Precision−5000システムにより実施された。その処方は次の基礎段階を含んでいた:ガス流、堆積、及びウェハ除去に先行するチャンバのパージ/真空排気についての初期セットアップ及び安定化。膜は管炉内において、425℃で4時間、N下にアニ−ルされた。 All experiments were performed with an Applied Materials Precision-5000 system in a 200 mm DxZ chamber fitted with an Advance Energy 2000rf generator using an undoped TEOS process kit. The recipe included the following basic steps: initial setup and stabilization for chamber purge / evacuation prior to gas flow, deposition, and wafer removal. The membrane was annealed under N 2 at 425 ° C. for 4 hours in a tube furnace.

厚さ及び屈折率は、SCI Filmtek 2000 Reflectometerで測定された。 誘電率は低比抵抗のP−型ウェハ(<0.02ohm−cm)についてHgプローブ法を用いて測定された。機械的特性はMTS Nano Indenterを用いて測定された。熱安定性及びオフガス生成物はThermo TA Instruments 2050 TGAを用いた熱重量分析により測定された。組成データはPhysical Electronics 5000LSを用いたX線光電子分光法(XPS)により得られた。表に示される原子%は水素を含まない。   Thickness and refractive index were measured with a SCI Filmtek 2000 Reflectometer. The dielectric constant was measured using a Hg probe method on a low resistivity P-type wafer (<0.02 ohm-cm). Mechanical properties were measured using a MTS Nano Indenter. Thermal stability and off-gas products were measured by thermogravimetric analysis using a Thermo TA Instruments 2050 TGA. The composition data was obtained by X-ray photoelectron spectroscopy (XPS) using Physical Electronics 5000LS. The atomic% shown in the table does not contain hydrogen.

3つの経路がOSG膜に細孔を導入するのに選ばれた。k<2.6を有する低k膜を製造するために検討された第1の経路は、プラズマ増強化学蒸着(RECVD)によりOSGとともにポロゲンとして熱的に反応活性な有機オリゴマーを共堆積し、ついで熱アニ−ル段階においてオリゴマーを除去した。   Three routes were chosen to introduce pores into the OSG membrane. The first route investigated to produce low-k films with k <2.6 is the co-deposition of thermally reactive organic oligomers as porogens with OSG by plasma enhanced chemical vapor deposition (RECVD), followed by The oligomer was removed in the thermal annealing step.

〈例1A〉
アルファ−テルピネン(ATP)が、酸化体のない環境でPECVDによりシリコンウェハ上にジエトキシメチルシラン(DEMS)とともに共堆積された。プロセス条件はDEMS中の39.4vol%ATP混合物の流れが700mg/分(mgm)であった。500sccmのCOのキャリアガス流が化学剤を堆積チャンバに随伴するのに用いられた。さらなるプロセス条件は次のとおりであった:チャンバ圧力5Torr;ウェハチャック温度150℃;シャワーヘッド−ウェハ間隔0.26インチ(約0.6cm);及びプラズマパワー300W、180秒。堆積されたままの膜は厚さ650nm及び誘電率2.8であった。膜は窒素下に4時間、425℃でアニ−ルされ、XPSで確認されたように、配合されたATPを実質的にすべて除去した。図1はアニ−ル前(淡色実線)及び後(濃色実線)の膜の赤外スペクトルを示すが、ポロゲンの消失がみられる。アニ−ルされた膜は厚さ492nm及び誘電率2.4であった(表2下部参照)。図4は膜の熱重量分析を示し、熱処理時の減量が示される。
<Example 1A>
Alpha-terpinene (ATP) was co-deposited with diethoxymethylsilane (DEMS) on a silicon wafer by PECVD in an oxidant-free environment. The process conditions were 700 mg / min (mgm) with a 39.4 vol% ATP mixture flow in DEMS. A carrier gas flow of 500 sccm CO 2 was used to entrain the chemical into the deposition chamber. Additional process conditions were as follows: chamber pressure 5 Torr; wafer chuck temperature 150 ° C .; showerhead-wafer spacing 0.26 inches (about 0.6 cm); and plasma power 300 W, 180 seconds. The as-deposited film had a thickness of 650 nm and a dielectric constant of 2.8. The membrane was annealed at 425 ° C. for 4 hours under nitrogen to remove substantially all of the formulated ATP as confirmed by XPS. FIG. 1 shows the infrared spectrum of the film before annealing (light solid line) and after (dark solid line), and the disappearance of the porogen is observed. The annealed film had a thickness of 492 nm and a dielectric constant of 2.4 (see Table 2 bottom). FIG. 4 shows a thermogravimetric analysis of the film, showing the weight loss during heat treatment.

〈例1B〉
ATPが、酸化体のない環境でPECVDによりシリコンウェハ上にDEMSとともに共堆積された。プロセス条件はDEMS中の70vol%ATP混合物の流れが1300mg/分(mgm)であった。500sccmのCOのキャリアガス流が化学剤を堆積チャンバに随伴するのに用いられた。さらなるプロセス条件は次のとおりであった:チャンバ圧力8Torr;ウェハチャック温度200℃;シャワーヘッド−ウェハ間隔0.30インチ(約0.8cm);及びプラズマパワー600W、120秒。堆積されたままの膜は厚さ414nm及び誘電率2.59であった。膜は窒素下に4時間、425℃でアニ−ルされ、配合されたATPを実質的にすべて除去した。アニ−ルされた膜は厚さ349nm及び誘電率2.14であった(表2下部参照)。
<Example 1B>
ATP was co-deposited with DEMS on a silicon wafer by PECVD in an oxidant-free environment. Process conditions were 1300 mg / min (mgm) of 70 vol% ATP mixture flow in DEMS. A carrier gas flow of 500 sccm CO 2 was used to entrain the chemical into the deposition chamber. Additional process conditions were as follows: chamber pressure 8 Torr; wafer chuck temperature 200 ° C .; showerhead-wafer spacing 0.30 inch (about 0.8 cm); and plasma power 600 W, 120 seconds. The as-deposited film had a thickness of 414 nm and a dielectric constant of 2.59. The membrane was annealed at 425 ° C. for 4 hours under nitrogen to remove substantially all of the formulated ATP. The annealed film had a thickness of 349 nm and a dielectric constant of 2.14 (see Table 2 bottom).

〈例1C〉
膜は、アニ−ルが400℃の還元温度で実施された以外は実質的に例1Aに従って製造され、そしてアニ−ルされた。得られた膜の赤外スペクトルが波数を含んで図2に示される。ポロゲン及びATPの赤外スペクトルが比較のために図3に示される。
<Example 1C>
The membrane was prepared and annealed substantially according to Example 1A except that the anneal was performed at a reduction temperature of 400 ° C. The infrared spectrum of the obtained film is shown in FIG. The infrared spectra of porogen and ATP are shown in FIG. 3 for comparison.

〈例1D(比較)〉
膜が、ポロゲンを使用しなかったこと以外は実質的に例1Aに従って製造され、そしてアニ−ルされた。膜は誘電率2.8であり、例1Aのアニ−ルされた膜と実質的に同一の組成を有していた(表1及び2参照)。
<Example 1D (comparison)>
A membrane was prepared and annealed substantially according to Example 1A except that no porogen was used. The film had a dielectric constant of 2.8 and had substantially the same composition as the annealed film of Example 1A (see Tables 1 and 2).

〈例1E(比較)〉
膜は、プラズマパワーが400Wであったこと以外は実質的に例1Dに従って製造され、そしてアニ−ルされた。膜は誘電率2.8であり、例1Aのアニ−ルされた膜と実質的に同一の組成を有していた(表1及び2参照)。
<Example 1E (comparison)>
The membrane was prepared and annealed substantially according to Example 1D except that the plasma power was 400W. The film had a dielectric constant of 2.8 and had substantially the same composition as the annealed film of Example 1A (see Tables 1 and 2).

〈例1F〉
膜は、プロセス条件がジ−t−ブトキシメチルシラン(DtBOMS)中の75vol%ATP混合物の流れが1000mg/分(mgm)であったこと以外は実質的に例1Aに従って製造され、そしてアニ−ルされた。500sccmのCOのキャリアガス流が化学剤を堆積チャンバに随伴するのに用いられた。さらなるプロセス条件は次のとおりであった:チャンバ圧力7Torr;ウェハチャック温度215℃;シャワーヘッド−ウェハ間隔0.30インチ(約0.8cm);及びプラズマパワー400W、240秒。堆積されたままの膜は厚さ540nm及び誘電率2.8であった。膜は窒素下に4時間、425℃でアニ−ルされ、XPSで確認されたように、配合されたATPを実質的にすべて除去した。アニ−ルされた膜は厚さ474nm及び誘電率2.10であった。モジュラス及び硬さはそれぞれ2.23及び0.18GPaであった。
<Example 1F>
The membrane was prepared substantially according to Example 1A, except that the process conditions were 1000 vol / min (mgm) of 75 vol% ATP mixture flow in di-t-butoxymethylsilane (DtBOMS) and annealed. It was done. A carrier gas flow of 500 sccm CO 2 was used to entrain the chemical into the deposition chamber. Additional process conditions were as follows: chamber pressure 7 Torr; wafer chuck temperature 215 ° C .; showerhead-wafer spacing 0.30 inch (about 0.8 cm); and plasma power 400 W, 240 seconds. The as-deposited film had a thickness of 540 nm and a dielectric constant of 2.8. The membrane was annealed at 425 ° C. for 4 hours under nitrogen to remove substantially all of the formulated ATP as confirmed by XPS. The annealed film had a thickness of 474 nm and a dielectric constant of 2.10. The modulus and hardness were 2.23 and 0.18 GPa, respectively.

〈例1G〉
ATPが、酸化体のない環境でPECVDによりシリコンウェハ上にDtBOMSとともに共堆積された。プロセス条件はDtBOMS中の75vol%ATP混合物の流れが700mg/分(mgm)であった。500sccmのCOのキャリアガス流が化学剤を堆積チャンバに随伴するのに用いられた。さらなるプロセス条件は次のとおりであった:チャンバ圧力9Torr;ウェハチャック温度275℃;シャワーヘッド−ウェハ間隔0.30インチ(約0.8cm);及びプラズマパワー600W、240秒。堆積されたままの膜は厚さ670nm及び誘電率2.64であった。膜は窒素下に4時間、425℃でアニ−ルされ、配合されたATPを実質的にすべて除去した。アニ−ルされた膜は厚さ633nm及び誘電率2.19であった。モジュラス及び硬さはそれぞれ3.40及び0.44GPaであった。
<Example 1G>
ATP was co-deposited with DtBOMS on a silicon wafer by PECVD in an oxidant-free environment. The process conditions were 700 vol / min (mgm) of 75 vol% ATP mixture flow in DtBOMS. A carrier gas flow of 500 sccm CO 2 was used to entrain the chemical into the deposition chamber. Additional process conditions were as follows: chamber pressure 9 Torr; wafer chuck temperature 275 ° C .; showerhead-wafer spacing 0.30 inch (about 0.8 cm); and plasma power 600 W, 240 seconds. The as-deposited film had a thickness of 670 nm and a dielectric constant of 2.64. The membrane was annealed at 425 ° C. for 4 hours under nitrogen to remove substantially all of the formulated ATP. The annealed film had a thickness of 633 nm and a dielectric constant of 2.19. The modulus and hardness were 3.40 and 0.44 GPa, respectively.

〈例2〉
k<2.6を有する低k膜を製造するために検討された第2の経路は、分子構造の1部として熱的に反応活性な有機官能基を含む単一源オルガノシラン前駆体を使用した。シリカ前駆体に熱的に反応活性な基を結合することの潜在的な利点は膜への熱的に反応活性な基の改良された導入である。この経路を検討するために、ネオ−へキシル−テトラメチルシクロテトラシロキサン(ネオ−へキシル−TMCTS)が合成され、ネオ−へキシル基はTMCTSの骨組にグラフトされた。この試験に使用されたプロセスはネオ−へキシル−TMCTSの500mgm流及び500sccmのCOのキャリアガス流;チャンバ圧力6Torr;ウェハチャック温度150℃;シャワーヘッド−ウェハ間隔0.32インチ(約0.8cm);及びプラズマパワー300W、90秒であった。堆積されたままの膜は厚さ1120nm及び誘電率2.7であった。膜は窒素下に4時間、425℃でアニ−ルされた。膜厚さは710nmに減少し、誘電率は2.5であった。150℃でTMCTSから堆積された膜は堆積されたままで誘電率2.8であったが、4時間、425℃でのアニ−ル後も変化しなかった。
<Example 2>
A second route investigated to produce low-k films with k <2.6 uses a single source organosilane precursor containing a thermally reactive organic functional group as part of the molecular structure did. A potential advantage of attaching thermally reactive groups to the silica precursor is an improved introduction of thermally reactive groups into the membrane. To study this route, neo-hexyl-tetramethylcyclotetrasiloxane (neo-hexyl-TMCTS) was synthesized and the neo-hexyl group was grafted to the TMCTS framework. The process used for this test was: Neo-Hexyl-TMCTS 500 mgm flow and 500 sccm CO 2 carrier gas flow; chamber pressure 6 Torr; wafer chuck temperature 150 ° C .; showerhead-wafer spacing 0.32 inch. 8 cm); and a plasma power of 300 W for 90 seconds. The as-deposited film had a thickness of 1120 nm and a dielectric constant of 2.7. The membrane was annealed at 425 ° C. for 4 hours under nitrogen. The film thickness was reduced to 710 nm and the dielectric constant was 2.5. The film deposited from TMCTS at 150 ° C. was as deposited and had a dielectric constant of 2.8, but did not change after annealing at 425 ° C. for 4 hours.

〈例3〉
k<2.6を有する低k膜を製造するために検討された第3の経路は、有機ケイ素前駆体をそれに結合される熱的に反応活性なおおきな基と物理的に混合することであった。この経路の効果を示すために、シリカ前駆体に熱的に反応活性な基を結合することの潜在的な利点は膜への熱的に反応活性な基の改良された導入である。この経路を検討するために、フルフロキシジメチルシランが次の条件でTMCTSとともに共堆積された:TMCTS中の11%フルフロキシジメチルシラン混合物の1000mgm流及び500sccmのHeのキャリアガス流;チャンバ圧力6Torr;ウェハチャック温度150℃;シャワーヘッド−ウェハ間隔0.26インチ(約0.6cm);及びプラズマパワー300W、40秒であった。堆積されたままの膜は厚さ1220nm及び誘電率3.0であった。フルフロキシの含有は堆積されたままの膜におけるFTIRにより示された。窒素下に4時間、400℃での熱後処理後に、kは2.73であった。この場合、熱アニ−ル後でさえも、導入されたフルフロキシのかなりの部分が残存していたと考えられる。
<Example 3>
A third route that has been considered to produce low-k films with k <2.6 was to physically mix the organosilicon precursor with thermally reactive large groups attached to it. It was. To show the effect of this pathway, a potential advantage of attaching thermally reactive groups to the silica precursor is an improved introduction of thermally reactive groups into the membrane. To study this route, flufuroxydimethylsilane was co-deposited with TMCTS under the following conditions: 1000 mgm flow of 11% flufroxydimethylsilane mixture in TMCTS and 500 sccm He carrier gas flow; chamber pressure 6 Torr; Wafer chuck temperature 150 ° C .; showerhead-wafer spacing 0.26 inch (about 0.6 cm); and plasma power 300 W, 40 seconds. The as-deposited film had a thickness of 1220 nm and a dielectric constant of 3.0. The content of furfuroxy was indicated by FTIR in the as-deposited film. After a thermal post-treatment at 400 ° C. for 4 hours under nitrogen, k was 2.73. In this case, it is believed that a significant portion of the introduced furfuroxy remained even after thermal annealing.

上述の例は堆積されたままの膜に種々の官能基を導入する能力を示し、そしてもっと重要なことにはk<2.6を有する材料を可能にするためにポロゲンを適切に選ぶことの重要性を示す。種々の他のポロゲンもこれらの経路を用いて機能しうる。k<2.6を有する低誘電率材料を提供することは、OSGネットワークに適切な種類及び量の有機基を導入しうるような、ネットワーク形成オルガノシラン/オルガノシロキサン前駆体を必要とする。OSG膜を製造するのに酸化体の添加を必要としないネットワーク形成前駆体を使用するのが好適である。これは、酸化に敏感な炭化水素系細孔形成前駆体を用いるときに特に重要である。酸化は堆積時に細孔形成剤に重大な変性を生じさせ得、アニールプロセス時に除去される能力を妨げうる。   The above examples show the ability to introduce various functional groups into the as-deposited film, and more importantly, the proper choice of porogen to allow materials with k <2.6. Show importance. A variety of other porogens can also function using these pathways. Providing a low dielectric constant material with k <2.6 requires a network-forming organosilane / organosiloxane precursor so that the appropriate type and amount of organic groups can be introduced into the OSG network. It is preferred to use a network forming precursor that does not require the addition of an oxidant to produce an OSG film. This is particularly important when using oxidation-sensitive hydrocarbon-based pore-forming precursors. Oxidation can cause significant denaturation of the pore former during deposition and can interfere with the ability to be removed during the annealing process.

Figure 2011014925
Figure 2011014925

Figure 2011014925
Figure 2011014925

堆積されたまま及びN熱後処理DEMS/ATP膜のIRスペクトルの比較は不活性雰囲気での熱後処理がポロゲンの選択的除去及びOSG格子の保持についてうまくいったことを示す。熱アニ−ル後に1275cm−1におけるSi−CH吸収には本質的な変化がない(Si−CHはOSGネットワークと関連する)。しかし、3000cm−1近くのC−H吸収の劇的な減少がみられ、ATPに関連する本質的にすべての炭素が除去されたことを示す。ATPに対するIRスペクトルは図3に対照として示される。このアニ−ルのさらなる利点は膜をもっと疎水性にする2240及び2170cm−1におけるSi−H吸収の著しい減少であると思われる。このように、本発明のある態様において、膜の核Si原子は1以下のH原子に結合される。しかし、他の態様において、Si原子に結合されるH原子の数はそのように限定されない。元素分析は425℃、4時間のアニ−ル後のDEMS−ATP膜(例1A)は同様な方法で堆積され、アニ−ルされたDEMS膜(例1D)と本質的に同一の組成を有していた。アニ−ル前のDEMS−ATP膜は膜の実質的に多い量の炭素に基づく材料を示す(IR分析はこの炭素に基づく材料がATPに非常に類似することを支持する。図3参照)。これはATPとともに共堆積されたときDEMS膜に導入されたポロゲン材料は熱後処理プロセスにおいて本質的に十分に除去されるという説明を支持する。さらに、熱重量分析は(図4)は、堆積されたままの材料の著しい減量が350℃を超える温度に加熱されるときに経験されることを示し、これはアニ−ル時のポロゲン除去の付加的な証明になる。観察された膜収縮はポロゲンの除去の際にOSGネットワークのいくつかの部分の崩壊によりもたらされたようである。しかし、OSGネットワークからの有機基の損失はほとんどなく、すなわちDEMS内の末端メチル基はほとんど保持されている(表1に示されるDEMS膜についての熱処理前後のXPSデータ参照)。これはIRスペクトルにおいて〜1275波数での相当Si−CHバンドにより支持される。この材料の疎水性はIRスペクトルのSi−OH基の欠失により実証される。後処理膜の屈折率及び誘電率の低下は膜厚の減少にもかかわらず、アニ−ル前の膜よりも緻密でないことを示唆する。陽電子消滅寿命分光法(PALS)は1A、1B、及び1Fの試料の細孔が〜1.5nm球体相当径の範囲にあることを示す。さらに、前述のGrillらの研究と異なり、組成変化と関連して厚みの減少は(例1A)OSGネットワークがアニ−ル時に保持され、ほとんど劣化しないことを示す。 Comparison of the IR spectra of as-deposited and N 2 thermal post-treated DEMS / ATP films shows that thermal post-treatment in an inert atmosphere was successful for selective removal of porogen and retention of the OSG lattice. There is no substantial change in Si—CH 3 absorption at 1275 cm −1 after thermal annealing (Si—CH 3 is associated with the OSG network). However, there was a dramatic decrease in C—H absorption near 3000 cm −1 , indicating that essentially all the carbon associated with ATP has been removed. The IR spectrum for ATP is shown as a control in FIG. A further advantage of this anneal appears to be a significant reduction in Si-H absorption at 2240 and 2170 cm -1 which makes the membrane more hydrophobic. Thus, in certain embodiments of the invention, the core Si atoms of the film are bonded to 1 or less H atoms. However, in other embodiments, the number of H atoms bonded to Si atoms is not so limited. Elemental analysis showed that a DEMS-ATP film (Example 1A) after annealing at 425 ° C. for 4 hours was deposited in a similar manner and had essentially the same composition as the annealed DEMS film (Example 1D). Was. The pre-anneal DEMS-ATP film shows a substantially higher amount of carbon-based material in the film (IR analysis supports that this carbon-based material is very similar to ATP, see FIG. 3). This supports the explanation that the porogen material introduced into the DEMS film when co-deposited with ATP is essentially fully removed in the thermal aftertreatment process. Furthermore, thermogravimetric analysis (FIG. 4) shows that a significant weight loss of as-deposited material is experienced when heated to temperatures above 350 ° C., which indicates the removal of porogen during annealing. It becomes additional proof. The observed membrane shrinkage appears to have been caused by the collapse of some parts of the OSG network upon porogen removal. However, there is almost no loss of organic groups from the OSG network, that is, most of the terminal methyl groups in the DEMS are retained (see XPS data before and after heat treatment for the DEMS film shown in Table 1). This is supported by the equivalent Si—CH 3 band at ˜1275 wavenumbers in the IR spectrum. The hydrophobicity of this material is demonstrated by the deletion of the Si-OH group in the IR spectrum. The decrease in the refractive index and dielectric constant of the post-treatment film suggests that it is less dense than the film before annealing despite the decrease in film thickness. Positron annihilation lifetime spectroscopy (PALS) indicates that the pores of the 1A, 1B, and 1F samples are in the range of ~ 1.5 nm sphere equivalent diameter. Furthermore, unlike the previously described work by Grill et al., The decrease in thickness associated with composition change (Example 1A) indicates that the OSG network is retained during annealing and is hardly degraded.

本発明はいくつかの好適な態様について説明されたが、本発明の範囲はこれらの態様に限定されるものではなく、請求項の記載により確認されるべきである。   While the invention has been described in terms of several preferred embodiments, the scope of the invention is not limited to these embodiments and should be ascertained from the claims.

本発明によれば、低い誘電率及び改良された機械的性質、熱的安定性及び化学的耐性を有する多孔質有機シリカガラス膜を提供しうる。   According to the present invention, a porous organic silica glass film having a low dielectric constant and improved mechanical properties, thermal stability and chemical resistance can be provided.

Claims (67)

式Si(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、xは5〜30原子%、yは10〜50原子%、及びzは0〜15原子%)で表わされる多孔質有機シリカガラス膜を製造するための化学蒸着方法であって、下記の工程を含む、化学蒸着方法:
真空チャンバ内に基体を用意すること;
オルガノシラン及びオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体並びにポロゲンを含むガス状試薬を、真空チャンバに導入すること;
真空チャンバ内のガス状試薬にエネルギーを加え、ガス状試薬の反応を生じさせて基体上に予備的な膜を堆積させること、ここでその予備的な膜はポロゲンを含み、かつ予備的な膜はポロゲンが少なくとも1つの前駆体と区別しうるときには、酸化体を添加しないで堆積される);並びに、
細孔を持ち、かつ誘電率が2.6未満である多孔質膜を得るために、予備的な膜から実質的にすべてのポロゲンを除去すること。
Formula Si v O w C x H y F z (v + w + x + y + z = 100%, v is from 10 to 35 atomic%, w is from 10 to 65 atomic%, x is from 5 to 30 atomic%, y is from 10 to 50 atomic%, and z is a chemical vapor deposition method for producing a porous organic silica glass film represented by 0 to 15 atomic%, and includes the following steps:
Providing a substrate in a vacuum chamber;
Introducing a gaseous reagent comprising at least one precursor selected from the group consisting of an organosilane and an organosiloxane and a porogen into a vacuum chamber;
Applying energy to the gaseous reagent in the vacuum chamber to cause a reaction of the gaseous reagent to deposit a preliminary film on the substrate, wherein the preliminary film comprises a porogen and the preliminary film Is deposited without the addition of an oxidant when the porogen is distinguishable from at least one precursor);
Removing substantially all of the porogen from the preliminary membrane to obtain a porous membrane having pores and a dielectric constant of less than 2.6.
誘電率が1.9未満である、請求項1記載の方法。   The method of claim 1, wherein the dielectric constant is less than 1.9. vが20〜30原子%、wが20〜45原子%、xが5〜20原子%、yが15〜40原子%、及びzが0である、請求項1記載の方法。   The method of claim 1, wherein v is 20-30 atomic%, w is 20-45 atomic%, x is 5-20 atomic%, y is 15-40 atomic%, and z is 0. zが0.5〜7原子%であり、少なくとも1つのフッ素化剤が、SiF、NF、F、COF、CO、及びHFからなる群より選ばれて、多孔質膜にFを導入するのに用いられ、多孔質膜における実質的にすべてのFはSi−F基のSiに結合されている、請求項1記載の方法。 z is 0.5-7 atomic%, and the at least one fluorinating agent is selected from the group consisting of SiF 4 , NF 3 , F 2 , COF 2 , CO 2 F 2 , and HF; The method according to claim 1, wherein substantially all of the F in the porous membrane is bonded to Si of the Si—F group. 多孔質膜における大部分の水素が、炭素に結合されている、請求項1記載の方法。   The method of claim 1, wherein most of the hydrogen in the porous membrane is bonded to carbon. 多孔質膜が1.5g/mL未満の密度を有する、請求項1記載の方法。   The method of claim 1, wherein the porous membrane has a density of less than 1.5 g / mL. 細孔が5nm以下の球体相当径を有する、請求項1記載の方法。   The method according to claim 1, wherein the pores have a sphere equivalent diameter of 5 nm or less. 多孔質膜のフーリエ変換赤外(FTIR)スペクトルが、ポロゲンがないこと以外は実質的に同一の方法により調製された対照膜の対照FTIRと実質的に同一である、請求項1記載の方法。   The method of claim 1, wherein the Fourier transform infrared (FTIR) spectrum of the porous membrane is substantially the same as the control FTIR of the control membrane prepared by substantially the same method except for the absence of the porogen. 多孔質膜が、対照膜の対照誘電率よりも少なくとも0.3小さい誘電率を有する、請求項8記載の方法。   9. The method of claim 8, wherein the porous film has a dielectric constant that is at least 0.3 less than the control dielectric constant of the control film. 多孔質膜が、対照膜の対照密度よりも少なくとも10%小さい密度を有する、請求項8記載の方法。   The method of claim 8, wherein the porous membrane has a density that is at least 10% less than the control density of the control membrane. 多孔質膜が、N中で425℃の等温下に1.0wt%/時間よりも小さい平均減量を有する、請求項8記載の方法。 The method of claim 8, wherein the porous membrane has an average weight loss of less than 1.0 wt% / hour at 425 ° C. isothermal in N 2 . 多孔質膜が、空気中で425℃の等温下に1.0wt%/時間よりも小さい平均減量を有する、請求項8記載の方法。   The method of claim 8, wherein the porous membrane has an average weight loss of less than 1.0 wt% / hour at 425 ° C. isothermal in air. ポロゲンが、少なくとも1つの前駆体と区別しうる、請求項1記載の方法。   The method of claim 1, wherein the porogen is distinguishable from the at least one precursor. 少なくとも1つの前駆体が次式により表される、請求項13記載の方法:
(a)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3);
(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4));
(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4));
(g)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数);
(h)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数);又は
(i)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数)。
14. The method of claim 13, wherein the at least one precursor is represented by the formula:
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1-3; and p is 0-3);
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 6 and R 7 are independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Fluorinated hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or a partially or fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3));
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 2-4 (where n + p ≦ 4));
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 1-3 (where n + p ≦ 4));
(G) a cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8);
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen, or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated Cyclic or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8); or (i) a cyclic carbosilane of formula (CR 1 R 3 SiR 1 R 3 ) x (R 1 And R 3 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; and x is an integer of 2 to 8).
少なくとも1つの前駆体が、ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン及びテトラエトキシシランからなる群より選ばれる、請求項13記載の方法。   At least one precursor is diethoxymethylsilane, dimethoxymethylsilane, diisopropoxymethylmethylsilane, di-t-butoxymethylsilane, methyltriethoxysilane, methyltrimethoxysilane, methyltriisopropoxysilane, methyltri-t. -From butoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, dimethyldiisopropoxysilane, dimethyldi-t-butoxysilane, 1,3,5,7-tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane and tetraethoxysilane 14. The method of claim 13, wherein the method is selected from the group consisting of: 前記少なくとも1つの前駆体が、2以下のSi−O結合を持つ第1の有機ケイ素前駆体と、3以上のSi−O結合を持つ第2の有機ケイ素前駆体との混合物であり、かつその混合物は多孔質膜の化学組成を適合させるように供給される、請求項1記載の方法。   The at least one precursor is a mixture of a first organosilicon precursor having 2 or less Si-O bonds and a second organosilicon precursor having 3 or more Si-O bonds, and The method of claim 1, wherein the mixture is provided to match the chemical composition of the porous membrane. ポロゲンが次からなる群より選ばれる、請求項1記載の方法:
(a)環状構造を有する式C2nの少なくとも1つの環状炭化水素
(nは4〜14、環状構造中の炭素数は4〜10であり、かつこの少なくとも1つの環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(b)一般式C(2n+2)−2yの少なくとも1つの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の炭化水素
(nは2〜20及びy=0〜n);
(c)環状構造を有する式C2n−2xの少なくとも1つの、単若しくは複不飽和の環状炭化水素
(xは不飽和部位の数、nは4〜14、環状構造中の炭素数は4〜10、かつこの少なくとも1つの単若しくは複不飽和の環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);
(d)2環構造を有する式C2n−2の少なくとも1つの2環状炭化水素
(nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(e)2環構造を有する式C2n−(2+2x)の少なくとも1つの複不飽和の2環炭化水素
(xは不飽和部位の数、nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの複不飽和の2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);並びに、
(f)3環構造を有する式C2n−4の少なくとも1つの3環状炭化水素
(nは4〜14、3環構造中の炭素数は4〜12、かつこの少なくとも1つの3環状炭化水素は、3環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい)。
The method of claim 1, wherein the porogen is selected from the group consisting of:
(A) at least one cyclic hydrocarbon of the formula C n H 2n having a cyclic structure (n is 4-14, the number of carbons in the cyclic structure is 4-10, and the at least one cyclic hydrocarbon is cyclic May have a plurality of simple or branched hydrocarbons substituted on the structure);
(B) at least one linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the general formula C n H (2n + 2) -2y , where n is 2 to 20 and y = 0 to n;
(C) At least one mono- or polyunsaturated cyclic hydrocarbon of the formula C n H 2n-2x having a cyclic structure (where x is the number of unsaturated sites, n is 4 to 14, and the number of carbons in the cyclic structure is 4-10, and the at least one mono- or polyunsaturated cyclic hydrocarbon may have a plurality of simple or branched hydrocarbon substituents substituted on the cyclic structure, and the hydrocarbon substitution One of the groups may have unsaturation or endocyclic unsaturation);
(D) at least one bicyclic hydrocarbon of the formula C n H 2n-2 having a bicyclic structure (wherein n is 4-14, the number of carbons in the bicyclic structure is 4-12, and this at least one bicyclic carbon Hydrogen may have multiple simple or branched hydrocarbons substituted on the bicyclic structure);
(E) wherein C n H 2n- (2 + 2x ) of at least one bicyclic hydrocarbon (x is the number of unsaturated sites in multiply unsaturated with two ring structure, n represents carbon in 4~14,2 ring structure The number is 4 to 12, and the at least one polyunsaturated bicyclic hydrocarbon may have a plurality of simple or branched hydrocarbon substituents substituted on the bicyclic structure, and the carbon One of the hydrogen substituents may have unsaturation or endocyclic unsaturation); and
(F) at least one tricyclic hydrocarbon of the formula C n H 2n-4 having a tricyclic structure (wherein n is 4 to 14, the number of carbons in the tricyclic structure is 4 to 12, and the at least one tricyclic carbon Hydrogen may have multiple simple or branched hydrocarbons substituted on the tricyclic structure).
ポロゲンが、アルファ−テルピネン、リモネン、シクロへキサン、1,2,4−トリメチルシクロへキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン及びデカヒドロナフタレンからなる群より選ばれる少なくとも1つである、請求項1記載の方法。   Porogen is alpha-terpinene, limonene, cyclohexane, 1,2,4-trimethylcyclohexane, 1,5-dimethyl-1,5-cyclooctadiene, camphene, adamantane, 1,3-butadiene, substituted diene And at least one selected from the group consisting of decahydronaphthalene. 少なくとも1つの前駆体が、それに結合された少なくとも1つのポロゲンを含む、請求項1記載の方法。   The method of claim 1, wherein the at least one precursor comprises at least one porogen bound thereto. ガス状試薬が、オルガノシラン及びオルガノシロキサンからなる群より選ばれる少なくとも1つの、ポロゲンを含まない前駆体をさらに含む、請求項19記載の方法。   20. The method of claim 19, wherein the gaseous reagent further comprises at least one porogen-free precursor selected from the group consisting of organosilanes and organosiloxanes. 除去段階が、ポロゲンが予め結合されていたSiに、メチル基を結合させたままで残す、請求項19記載の方法。   20. The method of claim 19, wherein the removing step leaves the methyl group attached to the Si to which the porogen has been previously attached. 少なくとも1つの前駆体が次式で表わされる、請求項19記載の方法:
(a)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(e式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(g)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(h)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));又は、
(i)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
20. The method of claim 19, wherein the at least one precursor is represented by the formula:
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; and p is 0 to 3 (where n + p ≦ 4 and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen));
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3m + q ≦ 3, and At least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen)));
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen));
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen));
(E Formula (R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen); ));
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen) );
(G) a cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (provided that at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) There));
(H) a cyclic silazane of formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated Cyclic or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (provided that at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) Or)); or
(I) a cyclic carbosilane of formula (CR 1 R 3 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or double Unsaturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer of 2-8 (wherein at least one of R 1 and R 3 is a hydrocarbon of C 3 or more as a porogen) ))).
少なくとも1つの前駆体が、1−ネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオペンチル−1,3,5,7−テトラメチルシクロテトラシロキサン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリエトキシシラン及びネオペンチル−ジ−t−ブトキシシランからなる群より選ばれる、請求項19記載の方法。   At least one precursor is 1-neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane, 1-neopentyl-1,3,5,7-tetramethylcyclotetrasiloxane, neopentyldiethoxysilane 20. The method of claim 19, wherein the method is selected from the group consisting of:, neohexyldiethoxysilane, neohexyltriethoxysilane, neopentyltriethoxysilane, and neopentyl-di-t-butoxysilane. 請求項1記載の方法により製造される多孔質有機シリカガラス膜であって、式Si(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、xは5〜30原子%、yは10〜50原子%、及びzは0〜15原子%)で表わされる材料の単一相からなり、細孔を有し、かつ誘電率が2.6未満である、多孔質有機シリカガラス膜。 A porous organic silica glass membrane produced by the method according to claim 1, wherein the formula Si v O w C x H y F z (v + w + x + y + z = 100%, v is 10 to 35 atomic%, w is 10 to 65 Atom%, x is 5 to 30 atom%, y is 10 to 50 atom%, and z is 0 to 15 atom%), has pores, and has a dielectric constant of 2 A porous organic silica glass membrane that is less than .6. vが20〜30原子%、wが20〜45原子%、xが5〜25原子%、yが15〜40原子%、及びzが0である、請求項24記載の膜。   25. The film of claim 24, wherein v is 20-30 atomic%, w is 20-45 atomic%, x is 5-25 atomic%, y is 15-40 atomic%, and z is 0. zが0.5〜7原子%であり、かつ多孔質膜における実質的にすべてのFが、Si−F基のSiに結合されている、請求項24記載の膜。   25. The membrane of claim 24, wherein z is 0.5-7 atomic% and substantially all of the F in the porous membrane is bonded to Si of the Si-F group. 大部分の水素が炭素に結合されている、請求項24記載の膜。   25. The membrane of claim 24, wherein a majority of hydrogen is bonded to carbon. ネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン。   Neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane. トリメチルシリルエチル−1,3,5,7−テトラメチルシクロテトラシロキサン。   Trimethylsilylethyl-1,3,5,7-tetramethylcyclotetrasiloxane. ポロゲンで置換された1,3,5,7−テトラメチルシクロテトラシロキサンを含む組成物。   A composition comprising 1,3,5,7-tetramethylcyclotetrasiloxane substituted with a porogen. (A)次式で表わされる少なくとも1つのポロゲン化された前駆体:
(1)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(2)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(3)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(4)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(5)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(6)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(7)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(8)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている);又は、
(9)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている);又は、
(B)(1)次式からなる群より選ばれる少なくとも1つの前駆体:
(a)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3);
(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4));
(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4));
(g)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい);
(h)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい);又は
(i)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい);並びに、
(B)(2)少なくとも1つの前駆体と区別し得るポロゲンであり、前記ポロゲンは少なくとも次の1つである:
(a)環状構造を有する式C2nの少なくとも1つの環状炭化水素
(nは4〜14、環状構造中の炭素数は4〜10であり、かつこの少なくとも1つの環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(b)一般式C(2n+2)−2yの少なくとも1つの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の炭化水素
(nは2〜20及びy=0〜n);
(c)環状構造を有する式C2n−2xの少なくとも1つの、単若しくは複不飽和の環状炭化水素
(xは不飽和部位の数、nは4〜14、環状構造中の炭素数は4〜10、かつこの少なくとも1つの単若しくは複不飽和の環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);
(d)2環構造を有する式C2n−2の少なくとも1つの2環状炭化水素
(nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(e)2環構造を有する式C2n−(2+2x)の少なくとも1つの複不飽和の2環状炭化水素
(xは不飽和部位の数、nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの複不飽和の2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);並びに、
(f)3環構造を有する式C2n−4の少なくとも1つの3環状炭化水素
(nは4〜14、3環構造内の炭素数は4〜12、かつこの少なくとも1つの3環状炭化水素は、3環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
を含む組成物。
(A) at least one porogenized precursor represented by the following formula:
(1) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; and p is 0 to 3 (where n + p ≦ 4 and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen));
(2) R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen));
(3) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si-SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen));
(4) R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-R 7 -SiR 3 m (O (O) CR 5) q (OR 6) 3-m- q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen));
(5) Formula (R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen); ));
(6) (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen) );
(7) Cyclic siloxane of formula (OSiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (provided that at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) There));
(8) Cyclic silazane of formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated Cyclic or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (provided that at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) Or;
(9) Cyclic carbosilanes of formula (CR 1 R 3 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or double Unsaturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer of 2-8 (wherein at least one of R 1 and R 3 is a hydrocarbon of C 3 or more as a porogen) Substituted with); or
(B) (1) At least one precursor selected from the group consisting of:
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1-3; and p is 0-3);
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 6 and R 7 are independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Fluorinated hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or a partially or fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3));
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 2-4 (where n + p ≦ 4));
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 1-3 (where n + p ≦ 4));
(G) a cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x may be an integer from 2 to 8);
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen, or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated Cyclic or partially or fully fluorinated hydrocarbons; and x may be an integer from 2 to 8); or (i) cyclic of formula (CR 1 R 3 SiR 1 R 3 ) x Carbosilane (R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; and x may be an integer from 2 to 8);
(B) (2) A porogen that can be distinguished from at least one precursor, the porogen being at least one of the following:
(A) at least one cyclic hydrocarbon of the formula C n H 2n having a cyclic structure (n is 4-14, the number of carbons in the cyclic structure is 4-10, and the at least one cyclic hydrocarbon is cyclic May have a plurality of simple or branched hydrocarbons substituted on the structure);
(B) at least one linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the general formula C n H (2n + 2) -2y , where n is 2 to 20 and y = 0 to n;
(C) At least one mono- or polyunsaturated cyclic hydrocarbon of the formula C n H 2n-2x having a cyclic structure (where x is the number of unsaturated sites, n is 4 to 14, and the number of carbons in the cyclic structure is 4-10, and the at least one mono- or polyunsaturated cyclic hydrocarbon may have a plurality of simple or branched hydrocarbon substituents substituted on the cyclic structure, and the hydrocarbon substitution One of the groups may have unsaturation or endocyclic unsaturation);
(D) at least one bicyclic hydrocarbon of the formula C n H 2n-2 having a bicyclic structure (wherein n is 4-14, the number of carbons in the bicyclic structure is 4-12, and this at least one bicyclic carbon Hydrogen may have multiple simple or branched hydrocarbons substituted on the bicyclic structure);
(E) wherein C n H 2n- (2 + 2x ) of at least one bicyclic hydrocarbon (x is the number of unsaturated sites in multiply unsaturated with two ring structure, n represents carbon in 4~14,2 ring structure The number is 4 to 12, and the at least one polyunsaturated bicyclic hydrocarbon may have a plurality of simple or branched hydrocarbon substituents substituted on the bicyclic structure, and the carbon One of the hydrogen substituents may have unsaturation or endocyclic unsaturation); and
(F) at least one tricyclic hydrocarbon of the formula C n H 2n-4 having a tricyclic structure (wherein n is 4 to 14, the carbon number in the tricyclic structure is 4 to 12, and the at least one tricyclic carbon Hydrogen may have multiple simple or branched hydrocarbons substituted on the tricyclic structure);
A composition comprising
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む組成物:
(a)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(b)式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている);
(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(g)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている));
(h)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つはポロゲンとしてC以上の炭化水素で置換されている));又は、
(i)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
A composition comprising at least one porogenized precursor represented by the formula:
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; and p is 0 to 3 (where n + p ≦ 4 and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen));
(B) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen));
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen);
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen));
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen); ));
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen) );
(G) a cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) );
(H) a cyclic silazane of formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated Cyclic, partially or fully fluorinated hydrocarbons; and x is an integer from 2 to 8 (provided that at least one of R 1 and R 3 is substituted with a C 3 or higher hydrocarbon as a porogen) Or)); or
(I) a cyclic carbosilane of formula (CR 1 R 3 SiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, single or double Unsaturated, cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer of 2-8 (wherein at least one of R 1 and R 3 is a hydrocarbon of C 3 or more as a porogen) ))).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている)。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; and p is 0 to 3 (where n + p ≦ 4, and at least one of R 1 is substituted with a C 3 or more hydrocarbon as a porogen).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Wherein R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen)).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Wherein R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 4 , R 5 and R 6 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or a fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 And at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen)).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R、R、R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3、m+q≦3であり、かつR、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. R 2 , R 4 , R 5 , R 6 and R 7 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or partially or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3, m + q ≦ 3 and at least one of R 1 , R 3 and R 7 is substituted with a C 3 or higher hydrocarbon as a porogen)).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Formula (R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4, and at least one of R 1 is substituted with a hydrocarbon of C 3 or more as a porogen )).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦であり、かつRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 and R 3 are independently C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbons. N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ and at least one of R 1 is substituted with a C 3 or more hydrocarbon as a porogen) ).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
A cyclic siloxane of formula (OSiR 1 R 3 ) x wherein R 1 and R 3 are independently hydrogen or C 1 -C 12 straight or branched, saturated, mono- or polyunsaturated, cyclic, Or a partially or fully fluorinated hydrocarbon; and x is an integer of 2 to 8 (wherein at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen)) .
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
A cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, cyclic Or a partially or fully fluorinated hydrocarbon; and x is an integer of 2-8, provided that at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen )).
次式で表わされる少なくとも1つのポロゲン化された前駆体を含む、請求項32記載の組成物:
式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数(ただし、R及びRの少なくとも1つは、ポロゲンとしてC以上の炭化水素で置換されている))。
33. The composition of claim 32, comprising at least one porogenized precursor represented by the formula:
Cyclic carbosilanes of formula (CR 1 R 3 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated , Cyclic, or partially or fully fluorinated hydrocarbons; and x is an integer of 2-8 (wherein at least one of R 1 and R 3 is substituted with a hydrocarbon of C 3 or more as a porogen) ing)).
少なくとも1つの前駆体及びその前駆体と区別し得るポロゲンを含む組成物であって、この前駆体が次の式からなる群より選ばれる、組成物:
(a)式R (OR(O(O)CR4−(n+p)Si
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3);
(b)R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(c)式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(d)式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−q
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3));
(e)式(R (OR(O(O)CR4−(n+p)Si)CH4−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4));
(f)式(R (OR(O(O)CR4−(n+p)Si)NH3−t
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4));
(g)式(OSiRの環状シロキサン
(R及びRは独立に、水素、又はC〜C12の直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい);
(h)式(NRSiRの環状シラザン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい);又は
(i)式(CRSiRの環状カルボシラン
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい)。
A composition comprising at least one precursor and a porogen that is distinguishable from the precursor, wherein the precursor is selected from the group consisting of:
(A) Formula R 1 n (OR 2 ) p (O (O) CR 3 ) 4- (n + p) Si
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1-3; and p is 0-3);
(B) R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—O—SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-mq
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(C) formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m-q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) ;
(D) Formula R 1 n (OR 2 ) p (O (O) CR 4 ) 3-np Si—R 7 —SiR 3 m (O (O) CR 5 ) q (OR 6 ) 3-m— q
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 6 and R 7 are independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Fluorinated hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or a partially or fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3));
(E) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t CH 4-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 2-4 (where n + p ≦ 4));
(F) formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) t NH 3-t
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1-3; p is 0-3; and t is 1-3 (where n + p ≦ 4));
(G) a cyclic siloxane of the formula (OSiR 1 R 3 ) x where R 1 and R 3 are independently hydrogen or C 1 -C 12 linear or branched, saturated, mono- or polyunsaturated, Cyclic, or partially or fully fluorinated hydrocarbons; and x may be an integer from 2 to 8);
(H) a cyclic silazane of the formula (NR 1 SiR 1 R 3 ) x (R 1 and R 3 are independently hydrogen, or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated Cyclic or partially or fully fluorinated hydrocarbons; and x may be an integer from 2 to 8); or (i) cyclic of formula (CR 1 R 3 SiR 1 R 3 ) x Carbosilane (R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated. Hydrocarbons; and x may be an integer from 2 to 8).
ポロゲンが、環状構造を有する式C2nの少なくとも1つの環状炭化水素を含む、請求項42記載の組成物:
(nは4〜14、環状構造中の炭素数は4〜10であり、かつこの少なくとも1つの環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい)。
Porogen comprises at least one cyclic hydrocarbon of the formula C n H 2n having a cyclic structure, according to claim 42, wherein the composition:
(N is 4-14, the carbon number in the cyclic structure is 4-10, and the at least one cyclic hydrocarbon has a plurality of simple or branched hydrocarbons substituted on the cyclic structure, May be good).
ポロゲンが、一般式C(2n+2)−2yの少なくとも1つの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、炭化水素を含む、請求項42記載の組成物:
(n=2〜20、かつy=0〜n)。
Porogen, the general formula C n H (2n + 2) of at least one linear or branched -2Y, saturated, singly or multiply unsaturated, including hydrocarbons, according to claim 42, wherein the composition:
(N = 2-20 and y = 0-n).
ポロゲンが、環状構造を有する式C2n−2xの少なくとも1つの、単若しくは複不飽和の環状炭化水素を含む、請求項42記載の組成物:
(xは不飽和部位の数、nは4〜14、環状構造中の炭素数は4〜10、かつこの少なくとも1つの単若しくは複不飽和の環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい)。
Porogen, at least one of the formula C n H 2n-2x having a cyclic structure, including cyclic hydrocarbon singly or multiply unsaturated, claim 42 of the composition:
(X is the number of unsaturated sites, n is 4 to 14, the number of carbons in the cyclic structure is 4 to 10, and the at least one mono- or polyunsaturated cyclic hydrocarbon is substituted on the cyclic structure. And may have unsaturation or endocyclic unsaturation in one of the hydrocarbon substituents).
ポロゲンが、2環構造を有する式C2n−2の少なくとも1つの2環状炭化水素を含む、請求項42記載の組成物:
(nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい)。
Porogen comprises at least one bicyclic hydrocarbon of the formula C n H 2n-2 having a bicyclic structure, according to claim 42, wherein the composition:
(N is 4-14, the number of carbons in the bicyclic structure is 4-12, and the at least one bicyclic hydrocarbon has a plurality of simple or branched hydrocarbons substituted on the bicyclic structure. May be).
ポロゲンが、2環構造を有する式C2n−(2+2x)の少なくとも1つの複不飽和の2環状炭化水素を含む、請求項42記載の組成物:
(xは不飽和部位の数、nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの複不飽和の2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい)。
Porogen, including bicyclic hydrocarbon of at least one multiply unsaturated of formula C n H 2n- (2 + 2x ) with 2 ring structure, according to claim 42, wherein the composition:
(X is the number of unsaturated sites, n is 4 to 14, the carbon number in the bicyclic structure is 4 to 12, and the at least one polyunsaturated bicyclic hydrocarbon is substituted on the bicyclic structure. A plurality of simple or branched hydrocarbon substituents, and one of the hydrocarbon substituents may have unsaturation or endocyclic unsaturation).
ポロゲンが、3環構造を有する式C2n−4の少なくとも1つの3環状炭化水素を含む、請求項42記載の組成物:
(nは4〜14、3環構造中の炭素数は4〜12、かつこの少なくとも1つの3環状炭化水素は、3環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい)。
Porogen comprises at least one tricyclic hydrocarbon of formula C n H 2n-4 having a tricyclic structure, according to claim 42, wherein the composition:
(Wherein n is 4-14, the number of carbons in the tricyclic structure is 4-12, and the at least one tricyclic hydrocarbon has a plurality of simple or branched hydrocarbons substituted on the tricyclic structure. May be).
少なくとも1つの前駆体及びその前駆体と区別し得るポロゲンを含む組成物であって、ポロゲンが次の少なくとも1つである、組成物:
(a)環状構造を有する式C2nの少なくとも1つの環状炭化水素
(nは4〜14、環状構造中の炭素数は4〜10、かつこの少なくとも1つの環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(b)一般式C(2n+2)−2yの少なくとも1つの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の炭化水素:
(nは2〜20及びy=0〜n);
(c)環状構造を有する式C2n−2xの少なくとも1つの、単若しくは複不飽和の環状炭化水素:
(xは不飽和部位の数、nは4〜14、環状構造中の炭素数は4〜10、かつこの少なくとも1つの単若しくは複不飽和の環状炭化水素は、環状構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);
(d)2環構造を有する式C2n−2の少なくとも1つの2環状炭化水素:
(nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい);
(e)2環構造を有する式C2n−(2+2x)の少なくとも1つの複不飽和の2環炭化水素:
(xは不飽和部位の数、nは4〜14、2環構造中の炭素数は4〜12、かつこの少なくとも1つの複不飽和の2環状炭化水素は、2環構造上に置換された複数の単純若しくは分枝炭化水素置換基を有していてもよく、またその炭化水素置換基の1つに不飽和若しくは環内不飽和を有していてもよい);及び/又は、
(f)3環構造を有する式C2n−4の少なくとも1つの3環状炭化水素:
(nは4〜14、3環構造中の炭素数は4〜12、かつこの少なくとも1つの3環状炭化水素は、3環構造上に置換された複数の単純若しくは分枝炭化水素を有していてもよい)。
A composition comprising at least one precursor and a porogen distinguishable from the precursor, wherein the porogen is at least one of the following:
(A) at least one cyclic hydrocarbon of the formula C n H 2n having a cyclic structure (n is 4-14, the number of carbons in the cyclic structure is 4-10, and the at least one cyclic hydrocarbon is May have a plurality of simple or branched hydrocarbons substituted with
(B) at least one linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the general formula C n H (2n + 2) -2y :
(N is 2 to 20 and y = 0 to n);
(C) at least one mono- or polyunsaturated cyclic hydrocarbon of the formula C n H 2n-2x having a cyclic structure:
(X is the number of unsaturated sites, n is 4 to 14, the number of carbons in the cyclic structure is 4 to 10, and the at least one mono- or polyunsaturated cyclic hydrocarbon is substituted on the cyclic structure. A simple or branched hydrocarbon substituent, and one of the hydrocarbon substituents may have unsaturation or endocyclic unsaturation);
(D) at least one bicyclic hydrocarbon of formula C n H 2n-2 having a bicyclic structure:
(N is 4-14, the number of carbons in the bicyclic structure is 4-12, and the at least one bicyclic hydrocarbon has a plurality of simple or branched hydrocarbons substituted on the bicyclic structure. May be);
(E) at least one polyunsaturated bicyclic hydrocarbon of formula C n H 2n- (2 + 2x) having a bicyclic structure:
(X is the number of unsaturated sites, n is 4 to 14, the carbon number in the bicyclic structure is 4 to 12, and the at least one polyunsaturated bicyclic hydrocarbon is substituted on the bicyclic structure. A plurality of simple or branched hydrocarbon substituents, and one of the hydrocarbon substituents may have unsaturation or endocyclic unsaturation); and / or
(F) at least one tricyclic hydrocarbon of the formula C n H 2n-4 having a tricyclic structure:
(Wherein n is 4-14, the number of carbons in the tricyclic structure is 4-12, and the at least one tricyclic hydrocarbon has a plurality of simple or branched hydrocarbons substituted on the tricyclic structure. May be).
少なくとも1つの前駆体が、式R (OR(O(O)CR4−(n+p)Siにより表される、請求項49記載の組成物:
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;並びにpは0〜3)。
At least one precursor is represented by the formula R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) is represented by Si, it claims 49 of the composition:
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; n is 1 to 3; and p is 0 to 3).
少なくとも1つの前駆体が、R (OR(O(O)CR3−n−pSi−O−SiR (O(O)CR(OR3−m−qにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3))。
At least one precursor, R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-O-SiR 3 m (O (O) CR 5) q (OR 6) 3- 50. The composition of claim 49, represented by m-q :
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) .
少なくとも1つの前駆体が、式R (OR(O(O)CR3−n−pSi−SiR (O(O)CR(OR3−m−qにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3))。
At least one precursor is represented by the formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-SiR 3 m (O (O) CR 5) q (OR 6) 3-m 50. The composition of claim 49, represented by -q :
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 and R 6 are independently C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated. R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partial Or fully fluorinated hydrocarbons; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)) .
少なくとも1つの前駆体が、式R (OR(O(O)CR3−n−pSi−R−SiR (O(O)CR(OR3−m−qにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;R、R及びRは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは0〜3;mは0〜3;qは0〜3;並びにpは0〜3(ただし、n+m≧1、n+p≦3及びm+q≦3))。
At least one precursor is represented by the formula R 1 n (OR 2) p (O (O) CR 4) 3-n-p Si-R 7 -SiR 3 m (O (O) CR 5) q (OR 6) 50. The composition of claim 49, represented by 3-mq :
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; R 2 , R 6 and R 7 are independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully Fluorinated hydrocarbons; R 4 and R 5 are independently hydrogen or C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Or a partially or fully fluorinated hydrocarbon; n is 0-3; m is 0-3; q is 0-3; and p is 0-3 (where n + m ≧ 1, n + p ≦ 3 and m + q ≦ 3)).
少なくとも1つの前駆体が、式(R (OR(O(O)CR4−(n+p)Si)CH4−tにより表される、請求項49記載の組成物:
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは2〜4(ただし、n+p≦4))。
At least one precursor is represented by the formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) is represented by t CH 4-t, claim 49 of the composition:
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 2 to 4 (where n + p ≦ 4).
少なくとも1つの前駆体が、式(R (OR(O(O)CR4−(n+p)Si)NH3−tにより表される、請求項49記載の組成物:
(Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、C〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;Rは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和された、単若しくは複不飽和の、環状の、芳香族の、又は部分的若しくは完全にフッ素化された炭化水素;nは1〜3;pは0〜3;並びにtは1〜3(ただし、n+p≦4))。
At least one precursor is represented by the formula (R 1 n (OR 2) p (O (O) CR 3) 4- (n + p) Si) is represented by t NH 3-t, claim 49 of the composition:
(R 1 is independently hydrogen or a C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated hydrocarbon; R 2 is independently a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon; R 3 Is independently hydrogen or a C 1 -C 6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, or partially or fully fluorinated hydrocarbon N is 1 to 3; p is 0 to 3; and t is 1 to 3 (where n + p ≦ 4).
少なくとも1つの前駆体が、式(OSiRの環状シロキサンにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい)。
50. The composition of claim 49, wherein the at least one precursor is represented by a cyclic siloxane of formula (OSiR < 1 > R < 3 >) x :
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; and x may be an integer from 2 to 8).
少なくとも1つの前駆体が、式(NRSiRの環状シラザンにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい)。
At least one precursor is represented by the formula (NR 1 SiR 1 R 3) is represented by cyclic silazane of x, claim 49 of the composition:
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; and x may be an integer from 2 to 8).
少なくとも1つの前駆体が、式(CRSiRの環状カルボシランにより表される、請求項49記載の組成物:
(R及びRは独立に、水素、又はC〜Cの直鎖若しくは分枝の、飽和の、単若しくは複不飽和の、環状の、又は部分的若しくは完全にフッ素化された炭化水素;並びにxは2〜8の整数であってよい)。
At least one precursor is represented by the formula (CR 1 R 3 SiR 1 R 3) is represented by x cyclic carbosilane claim 49, wherein the composition:
(R 1 and R 3 are independently hydrogen or C 1 -C 4 linear or branched, saturated, mono- or polyunsaturated, cyclic, or partially or fully fluorinated carbonization. Hydrogen; and x may be an integer from 2 to 8).
ネオへキシル−1,3,5,7−テトラメチルシクロテトラシロキサン及びトリメチルシリルエチル−1,3,5,7−テトラメチルシクロテトラシロキサンからなる群より選ばれる少なくとも1つのポロゲン化された前駆体を含む、組成物。   At least one porogenized precursor selected from the group consisting of neohexyl-1,3,5,7-tetramethylcyclotetrasiloxane and trimethylsilylethyl-1,3,5,7-tetramethylcyclotetrasiloxane; A composition comprising. (a)(i)ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン及びテトラエトキシシランからなる群より選ばれる少なくとも1つの前駆体、並びに(ii)少なくとも1つの前駆体と区別し得るポロゲンであって、アルファ−テルピネン、リモネン、シクロへキサン、1,2,4−トリメチルシクロへキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン及びデカヒドロナフタレンからなる群より選ばれる少なくとも1つのポロゲン);及び/又は
(b)(i)トリメチルシラン、テトラメチルシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリアセトキシシラン、メチルジアセトキシシラン、メチルエトキシジシロキサン、テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルジアセトキシシラン、ビス(トリメトキシシリル)メタン、ビス(ジメトキシシリル)メタン、テトラエトキシシラン及びトリエトキシシランからなる群より選ばれる少なくとも1つの前駆体、並びに(ii)少なくとも1つの前駆体と区別し得るポロゲンであって、アルファ−テルピネン、ガンマ−テルピネン、リモネン、ジメチルヘキサジエン、エチルベンゼン、デカヒドロナフタレン、2−カレン、3−カレン、ビニルシクロへキセン及びジメチルシクロオクタジエンからなる群より選ばれる1つのポロゲン);
を含む、組成物。
(A) (i) diethoxymethylsilane, dimethoxymethylsilane, diisopropoxymethylsilane, di-t-butoxymethylsilane, methyltriethoxysilane, methyltrimethoxysilane, methyltriisopropoxysilane, methyltri-t- Consists of butoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, dimethyldiisopropoxysilane, dimethyldi-t-butoxysilane, 1,3,5,7-tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane and tetraethoxysilane At least one precursor selected from the group, and (ii) a porogen that can be distinguished from at least one precursor, alpha-terpinene, limonene, cyclohexane, 1,2,4-trimethylcyclohexane, At least one porogen selected from the group consisting of 5-dimethyl-1,5-cyclooctadiene, camphene, adamantane, 1,3-butadiene, substituted dienes and decahydronaphthalene); and / or (b) (i) trimethyl Silane, tetramethylsilane, diethoxymethylsilane, dimethoxymethylsilane, di-t-butoxymethylsilane, methyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, methyltriacetoxysilane, methyldiacetoxysilane, methylethoxydi Siloxane, tetramethylcyclotetrasiloxane, octamethylcyclotetrasiloxane, dimethyldiacetoxysilane, bis (trimethoxysilyl) methane, bis (dimethoxysilyl) methane, tetraethoxysilane and tri At least one precursor selected from the group consisting of toxisilane, and (ii) a porogen that is distinguishable from at least one precursor comprising alpha-terpinene, gamma-terpinene, limonene, dimethylhexadiene, ethylbenzene, decahydronaphthalene, One porogen selected from the group consisting of 2-carene, 3-carene, vinylcyclohexene and dimethylcyclooctadiene);
A composition comprising:
熱エネルギー、プラズマエネルギー、光子エネルギー、電子エネルギー、マイクロ波及び化学剤からなる群より選ばれる、少なくとも1つの後処理剤で予備的な膜を処理することをさらに含み、かつその少なくとも1つの後処理剤は、細孔を持ち、かつ誘電率が2.6未満である多孔質有機シリカガラス膜を得るために、予備的な膜から実質的にすべてのポロゲンを除去する、請求項1記載の方法。   Further comprising treating the preliminary film with at least one post-treatment agent selected from the group consisting of thermal energy, plasma energy, photon energy, electron energy, microwave and chemical agent, and at least one post-treatment thereof The method of claim 1, wherein the agent removes substantially all of the porogen from the preliminary film to obtain a porous organosilica glass film having pores and a dielectric constant of less than 2.6. . 少なくとも1つの後処理剤が、予備的な膜からの実質的にすべてのポロゲンの除去の前、間及び/又は後に、得られる多孔質有機シリカガラス膜の特性を向上させる、請求項61記載の方法。   62. The at least one post-treatment agent improves the properties of the resulting porous organosilica glass membrane before, during and / or after removal of substantially all porogen from the preliminary membrane. Method. 付加的な後処理剤が、少なくとも1つの後処理剤が予備的な膜から実質的にすべてのポロゲンを除去する前、中及び/又は後に、得られる多孔質有機シリカガラス膜の特性を向上させる、請求項62記載の方法。   The additional post-treatment agent improves the properties of the resulting porous organosilica glass membrane before, during and / or after the at least one post-treatment agent removes substantially all of the porogen from the preliminary membrane. 63. The method of claim 62. 少なくとも1つの後処理剤が、電子線により供給される電子エネルギーである、請求項61記載の方法。   62. The method of claim 61, wherein the at least one aftertreatment agent is electron energy supplied by an electron beam. 少なくとも1つの後処理剤が、電子線により供給される電子エネルギーである、請求項62記載の方法。   64. The method of claim 62, wherein the at least one aftertreatment agent is electron energy provided by an electron beam. 少なくとも1つの後処理剤が、電子線により供給される電子エネルギーである、請求項63記載の方法。   64. The method of claim 63, wherein the at least one aftertreatment agent is electron energy provided by an electron beam. 少なくとも1つの後処理剤が、超臨界流体である、請求項61記載の方法。   62. The method of claim 61, wherein the at least one aftertreatment agent is a supercritical fluid.
JP2010222519A 2002-04-17 2010-09-30 Composition Expired - Lifetime JP5774830B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US37310402P 2002-04-17 2002-04-17
US60/373104 2002-04-17
US10/150798 2002-05-17
US10/150,798 US6846515B2 (en) 2002-04-17 2002-05-17 Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US10/409,468 US7384471B2 (en) 2002-04-17 2003-04-07 Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US10/409468 2003-04-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007024479A Division JP4897505B2 (en) 2002-04-17 2007-02-02 Chemical vapor deposition method for obtaining low dielectric constant porous organosilica glass films

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2012085485A Division JP5711176B2 (en) 2002-04-17 2012-04-04 Composition
JP2014096336A Division JP2014150287A (en) 2002-04-17 2014-05-07 Porogen, porogenated precursor and use of the same to obtain porous organosilica glass film with low dielectric constant

Publications (3)

Publication Number Publication Date
JP2011014925A true JP2011014925A (en) 2011-01-20
JP2011014925A5 JP2011014925A5 (en) 2012-06-07
JP5774830B2 JP5774830B2 (en) 2015-09-09

Family

ID=46150309

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010222519A Expired - Lifetime JP5774830B2 (en) 2002-04-17 2010-09-30 Composition
JP2012085485A Expired - Lifetime JP5711176B2 (en) 2002-04-17 2012-04-04 Composition
JP2014096336A Pending JP2014150287A (en) 2002-04-17 2014-05-07 Porogen, porogenated precursor and use of the same to obtain porous organosilica glass film with low dielectric constant

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2012085485A Expired - Lifetime JP5711176B2 (en) 2002-04-17 2012-04-04 Composition
JP2014096336A Pending JP2014150287A (en) 2002-04-17 2014-05-07 Porogen, porogenated precursor and use of the same to obtain porous organosilica glass film with low dielectric constant

Country Status (3)

Country Link
JP (3) JP5774830B2 (en)
KR (1) KR100494194B1 (en)
AT (1) ATE499458T1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
JP5730910B2 (en) 2010-02-09 2015-06-10 ソガンデハッキョー・サンハックヒョップリョックダン Method for producing nanoporous ultra-low dielectric thin film including high temperature ozone treatment
KR102316276B1 (en) 2020-04-20 2021-10-25 한국과학기술연구원 Hierarchical chelate complex and method for preparing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216153A (en) * 1999-01-27 2000-08-04 Matsushita Electric Ind Co Ltd Formation of porous film, wiring structure, and formation thereof
JP2001274153A (en) * 2000-03-24 2001-10-05 Hitachi Kokusai Electric Inc Method of manufacturing insulating film
JP2001298023A (en) * 2000-01-18 2001-10-26 Applied Materials Inc Very low permittivity plasma-enhanced cvd film
WO2002011204A1 (en) * 2000-08-02 2002-02-07 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
JP2004534400A (en) * 2001-06-29 2004-11-11 ポステック・ファウンデーション Method for producing low dielectric constant thin film

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7371898A (en) * 1997-05-07 1998-11-27 Mark J. Hampden-Smith Low density film for low dielectric constant applications
JP3173426B2 (en) * 1997-06-09 2001-06-04 日本電気株式会社 Method for manufacturing silica insulating film and method for manufacturing semiconductor device
JP3756666B2 (en) * 1998-05-08 2006-03-15 松下電器産業株式会社 Method for forming porous film and apparatus for forming the same
JP3633821B2 (en) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 Method for forming low dielectric constant porous silica film from gas phase
WO2002043119A2 (en) * 2000-10-25 2002-05-30 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216153A (en) * 1999-01-27 2000-08-04 Matsushita Electric Ind Co Ltd Formation of porous film, wiring structure, and formation thereof
JP2001298023A (en) * 2000-01-18 2001-10-26 Applied Materials Inc Very low permittivity plasma-enhanced cvd film
JP2001274153A (en) * 2000-03-24 2001-10-05 Hitachi Kokusai Electric Inc Method of manufacturing insulating film
WO2002011204A1 (en) * 2000-08-02 2002-02-07 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
JP2004534373A (en) * 2000-08-02 2004-11-11 インターナショナル・ビジネス・マシーンズ・コーポレーション Multiphase low dielectric constant material and deposition method thereof
JP2004534400A (en) * 2001-06-29 2004-11-11 ポステック・ファウンデーション Method for producing low dielectric constant thin film

Also Published As

Publication number Publication date
JP2012144738A (en) 2012-08-02
ATE499458T1 (en) 2011-03-15
KR100494194B1 (en) 2005-06-10
JP5774830B2 (en) 2015-09-09
JP2014150287A (en) 2014-08-21
KR20030082479A (en) 2003-10-22
JP5711176B2 (en) 2015-04-30

Similar Documents

Publication Publication Date Title
JP4897505B2 (en) Chemical vapor deposition method for obtaining low dielectric constant porous organosilica glass films
KR101912534B1 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
JP4216768B2 (en) Organosilicate glass film, method for producing the same, and mixture for producing organosilicate glass film
KR102183028B1 (en) Alkyl-alkoxysilacyclic compounds and methods for depositing films using same
JP5711176B2 (en) Composition
JP2004320005A (en) Chemical vapor deposition process for fabricating porous organic silica film
JP2010114452A (en) Porous low dielectric constant composition and method of manufacturing and using the same
US9061317B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2011014925A5 (en)
US8951342B2 (en) Methods for using porogens for low k porous organosilica glass films
JP2022153428A (en) Alkoxysilacyclic or acyloxysilacyclic compound and method for depositing film using the same
CN109722648A (en) Silicon heterocyclic compound and method for depositing silicon-containing film using the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130501

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130508

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140507

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140514

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150702

R150 Certificate of patent or registration of utility model

Ref document number: 5774830

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term