JP2010147267A - Semiconductor integrated circuit device and method of manufacturing the same - Google Patents

Semiconductor integrated circuit device and method of manufacturing the same Download PDF

Info

Publication number
JP2010147267A
JP2010147267A JP2008323343A JP2008323343A JP2010147267A JP 2010147267 A JP2010147267 A JP 2010147267A JP 2008323343 A JP2008323343 A JP 2008323343A JP 2008323343 A JP2008323343 A JP 2008323343A JP 2010147267 A JP2010147267 A JP 2010147267A
Authority
JP
Japan
Prior art keywords
integrated circuit
semiconductor integrated
circuit device
layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008323343A
Other languages
Japanese (ja)
Inventor
Shino Takahashi
紫濃 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2008323343A priority Critical patent/JP2010147267A/en
Publication of JP2010147267A publication Critical patent/JP2010147267A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit device that suppresses the increase of defect modes due to variance in inter-pad-rewiring resistance even when an operation speed becomes fast, and to provide a method of manufacturing the same. <P>SOLUTION: A barrier-metal layer including a lower-layer titanium layer, an intermediate titanium nitride layer, and an upper-layer titanium layer is interposed between an aluminum-based pad 2p and rewiring 16 of a wafer-level-package type semiconductor integrated circuit device (LSI) and the thickness of the lower-layer titanium layer is made ≥5 to ≤60 nm so as to stabilize resistance between the aluminum-based pad 2p and rewiring 16. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、半導体集積回路装置のデバイス構造および半導体集積回路装置(または半導体装置)の製造方法におけるパッド周辺構造形成技術に適用して有効な技術に関する。   The present invention relates to a device structure of a semiconductor integrated circuit device and a technology effective when applied to a pad peripheral structure forming technology in a method of manufacturing a semiconductor integrated circuit device (or semiconductor device).

日本特開2008−60145号公報(特許文献1)または、その対応米国特許公開2008−0054479号公報(特許文献2)には、半導体デバイス・チップ上のアルミニウム系のパッドと上層の再配線との間にスパッタ法等によるチタン、窒化チタン、銅等の導電材料を複数積層して形成された下地金属層を設ける技術が開示されている。   Japanese Patent Application Laid-Open No. 2008-60145 (Patent Document 1) or corresponding US Patent Publication No. 2008-0054479 (Patent Document 2) describes an aluminum-based pad on a semiconductor device chip and an upper layer rewiring. There is disclosed a technique of providing a base metal layer formed by laminating a plurality of conductive materials such as titanium, titanium nitride, and copper by sputtering or the like.

また、日本特開2000−306938号公報(特許文献3)については、半導体デバイス・チップ上のアルミニウム合金のパッドと上層のアルミニウム合金の再配線との間に、密着性向上目的で、チタン膜、あるいは窒化チタン膜、あるいは、チタン膜と窒化チタン膜との複合膜を形成する技術が開示されている。   Japanese Patent Application Laid-Open No. 2000-306938 (Patent Document 3) discloses a titanium film for the purpose of improving adhesion between the aluminum alloy pad on the semiconductor device chip and the rewiring of the upper aluminum alloy. Alternatively, a technique for forming a titanium nitride film or a composite film of a titanium film and a titanium nitride film is disclosed.

特開2008−60145号公報JP 2008-60145 A 米国特許公開2008−0054479号公報US Patent Publication No. 2008-0054479 特開2000−306938号公報JP 2000-306938 A

本願発明者らは、再配線を有するウエハ・レベル・パッケージ方式の半導体集積回路装置(LSI)におけるアルミニウム系パッドと再配線との間の抵抗ばらつき(「パッド再配線間抵抗ばらつき」)が、デバイスの動作特性に与える影響について、検討した。それによると、従来製品では、パッド再配線間抵抗ばらつきは、通常の範囲では、ほとんど製品特性に影響しないとされてきた。しかし、最近の動作速度の高速化に伴い、パッド再配線間抵抗ばらつきに起因する不良モードが増加していることが明らかとなった。   The inventors of the present application have found that the resistance variation between the aluminum-based pad and the rewiring ("pad-rewiring resistance variation") in a wafer level package type semiconductor integrated circuit device (LSI) having rewiring is a device. The effect on the operating characteristics of the system was examined. According to this, in the conventional product, it has been said that the resistance variation between the pad rewirings hardly affects the product characteristics in the normal range. However, with the recent increase in operating speed, it has become clear that failure modes due to variations in resistance between pad rewirings are increasing.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体集積回路装置を提供することにある。   An object of the present invention is to provide a highly reliable semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願発明は、ウエハ・レベル・パッケージ方式の半導体集積回路装置(LSI)におけるアルミニウム系パッドと再配線との間の抵抗を安定させるために、これら両者の間に下層チタン層、中層窒化チタン層、上層チタン層を含むバリア・メタル層を介在させ、この下層チタン層の厚さを5nm以上、60nm以下としたものである。   That is, in the present invention, in order to stabilize the resistance between the aluminum-based pad and the rewiring in the semiconductor integrated circuit device (LSI) of the wafer level package system, the lower titanium layer and the intermediate titanium nitride are interposed between them. A barrier metal layer including a layer and an upper titanium layer is interposed, and the thickness of the lower titanium layer is 5 nm or more and 60 nm or less.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、ウエハ・レベル・パッケージ方式の半導体集積回路装置(LSI)におけるアルミニウム系パッドと再配線との間の抵抗を安定させるために、これら両者の間に下層チタン層、中層窒化チタン層、上層チタン層を含むバリア・メタル層を介在させ、この下層チタン層の厚さを5nm以上、60nm以下としたことにより、バリア効果を保持した状態で、抵抗の上昇またはプロセス・コストの上昇を回避することができる。   That is, in order to stabilize the resistance between the aluminum-based pad and the rewiring in the semiconductor integrated circuit device (LSI) of the wafer level package system, the lower titanium layer, the middle titanium nitride layer, the upper titanium layer are interposed between them. By interposing a barrier metal layer including a layer and setting the thickness of this lower titanium layer to 5 nm or more and 60 nm or less, avoiding an increase in resistance or an increase in process cost while maintaining the barrier effect Can do.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下を含む半導体集積回路装置:
(a)多層配線層を含む半導体基板のデバイス面上の前記多層配線層上に設けられたアルミニウム系パッド電極;
(b)前記アルミニウム系パッド電極の少なくとも一部の上に開口を有する絶縁膜;
(c)前記開口を覆うように、前記アルミニウム系パッド電極上および前記絶縁膜上に設けられた再配線メタル・パターン;
(d)前記再配線メタル・パターン下の前記アルミニウム系パッド電極上および前記絶縁膜上に設けられたバリア・メタル層、
ここで、前記バリア・メタル層は、以下を含む:
(d1)チタンを主要な成分とする第1のメタル層;
(d2)前記第1のメタル層上に設けられた窒化チタンを主要な成分とする第2のメタル層;
(d3)前記第2のメタル層上に設けられたチタンを主要な成分とする第3のメタル層、
ここで、前記第1のメタル層の厚さは、5nm以上、60nm以下である。
1. Semiconductor integrated circuit devices including:
(A) an aluminum-based pad electrode provided on the multilayer wiring layer on the device surface of the semiconductor substrate including the multilayer wiring layer;
(B) an insulating film having an opening on at least a part of the aluminum-based pad electrode;
(C) a redistribution metal pattern provided on the aluminum-based pad electrode and the insulating film so as to cover the opening;
(D) a barrier metal layer provided on the aluminum-based pad electrode under the redistribution metal pattern and on the insulating film;
Here, the barrier metal layer includes:
(D1) a first metal layer containing titanium as a main component;
(D2) a second metal layer mainly composed of titanium nitride provided on the first metal layer;
(D3) a third metal layer mainly composed of titanium provided on the second metal layer,
Here, the thickness of the first metal layer is not less than 5 nm and not more than 60 nm.

2.前記1項の半導体集積回路装置において、前記第1のメタル層の厚さは、50nm以下である。   2. In the semiconductor integrated circuit device according to the item 1, the thickness of the first metal layer is 50 nm or less.

3.前記1項の半導体集積回路装置において、前記第1のメタル層の厚さは、25nm以下である。   3. In the semiconductor integrated circuit device according to the item 1, the thickness of the first metal layer is 25 nm or less.

4.前記1から3項のいずれか一つの半導体集積回路装置において、前記再配線メタル・パターンは、以下を含む:
(c1)銅を主要な成分とする第1のメッキ層;
(c2)前記第1のメッキ層上に設けられたニッケルを主要な成分とする第2のメッキ層。
4). 4. In the semiconductor integrated circuit device according to any one of items 1 to 3, the redistribution metal pattern includes:
(C1) a first plating layer containing copper as a main component;
(C2) A second plating layer mainly composed of nickel provided on the first plating layer.

5.前記1から4項のいずれか一つの半導体集積回路装置において、前記第1のメタル層直下の前記前記アルミニウム系パッド電極上には、酸化アルミニウムを主要な成分とする層が存在する。   5. 5. In the semiconductor integrated circuit device according to any one of items 1 to 4, a layer containing aluminum oxide as a main component exists on the aluminum-based pad electrode immediately below the first metal layer.

6.前記1から5項のいずれか一つの半導体集積回路装置において、前記絶縁膜は、以下を含む:
(b1)無機系の第1のパッシベーション膜;
(b2)前記第1のパッシベーション膜に設けられ有機系の第2のパッシベーション膜。
6). 6. The semiconductor integrated circuit device according to any one of 1 to 5, wherein the insulating film includes:
(B1) an inorganic first passivation film;
(B2) An organic second passivation film provided on the first passivation film.

7.前記1から6項のいずれか一つの半導体集積回路装置において、前記再配線メタル・パターン上には、半田バンプが設けられている。   7). 7. In the semiconductor integrated circuit device according to any one of 1 to 6, solder bumps are provided on the redistribution metal pattern.

8.前記6項の半導体集積回路装置において、前記第2のパッシベーション膜は、ポリイミド系の樹脂膜である。   8). 7. The semiconductor integrated circuit device according to item 6, wherein the second passivation film is a polyimide resin film.

9.前記7項の半導体集積回路装置において、前記半田バンプは鉛フリーとされている。   9. In the semiconductor integrated circuit device according to the item 7, the solder bumps are lead-free.

10.前記7または9項の半導体集積回路装置において、前記半田バンプは金を含む。   10. In the semiconductor integrated circuit device according to the item 7 or 9, the solder bump includes gold.

11.以下の工程を含む半導体集積回路装置の製造方法:
(a)多層配線層を含む半導体ウエハのデバイス面側の前記多層配線層上に、アルミニウム系パッド電極を形成する工程;
(b)前記アルミニウム系パッド電極の少なくとも一部の上に開口を有する絶縁膜を形成する工程;
(c)前記ウエハの前記デバイス面側のほぼ全面に、アルミニウム系パッド電極に接続されたシード・メタル膜を、スパッタリングにより成膜する工程;
(d)前記シード・メタル膜上に、レジスト膜パターンを形成する工程;
(e)前記レジスト膜パターンが存在する状態で、前記シード・メタル膜上に、電解メッキにより、再配線メタル・パターンを形成する工程;
(f)前記再配線メタル・パターンをエッチング・マスクとして、前記再配線メタル・パターンがない部分の前記シード・メタル膜を除去する工程、
ここで、前記工程(c)は、以下の下位工程を含む:
(c1)前記半導体ウエハの前記デバイス面側に対して、アルゴン・ガスを主要な成分とする気相中において、プラズマ処理を実行する工程;
(c2)前記工程(c1)の後、チタンを主要な成分とする第1のメタル層をスパッタリングにより成膜する工程;
(c3)前記第1のメタル層上に、窒化チタンを主要な成分とする第2のメタル層をスパッタリングにより成膜する工程;
(c4)前記第2のメタル層上に、チタンを主要な成分とする第3のメタル層をスパッタリングにより成膜する工程;
(c5)前記第3のメタル層上に、前記再配線メタル・パターンに対し、シードとして作用する第4のメタル層をスパッタリングにより成膜する工程、
ここで、前記第1のメタル層の厚さは、5nm以上、60nm以下である。
11. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) forming an aluminum-based pad electrode on the multilayer wiring layer on the device surface side of the semiconductor wafer including the multilayer wiring layer;
(B) forming an insulating film having an opening on at least a part of the aluminum-based pad electrode;
(C) forming a seed metal film connected to the aluminum-based pad electrode by sputtering on substantially the entire device surface side of the wafer;
(D) forming a resist film pattern on the seed metal film;
(E) forming a redistribution metal pattern on the seed metal film by electrolytic plating in the presence of the resist film pattern;
(F) using the redistribution metal pattern as an etching mask, removing the seed metal film in a portion without the redistribution metal pattern;
Here, the step (c) includes the following substeps:
(C1) performing a plasma treatment on the device surface side of the semiconductor wafer in a gas phase containing argon gas as a main component;
(C2) After the step (c1), forming a first metal layer containing titanium as a main component by sputtering;
(C3) forming a second metal layer containing titanium nitride as a main component on the first metal layer by sputtering;
(C4) forming a third metal layer containing titanium as a main component on the second metal layer by sputtering;
(C5) forming a fourth metal layer acting as a seed on the third metal layer by sputtering with respect to the redistribution metal pattern;
Here, the thickness of the first metal layer is not less than 5 nm and not more than 60 nm.

12.前記11項の半導体集積回路装置の製造方法において、前記工程(c)は、同一のスパッタリング装置内において、大気に触れさせることなく実行される。   12 In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the step (c) is performed in the same sputtering apparatus without exposure to the atmosphere.

13.前記11または12項の半導体集積回路装置の製造方法において、前記下位工程(c2)から(c4)は、同一のチャンバ内で実行される。   13. In the method for manufacturing a semiconductor integrated circuit device according to the item 11 or 12, the substeps (c2) to (c4) are performed in the same chamber.

14.前記11から13項のいずれか一つの半導体集積回路装置の製造方法において、前記第1のメタル層の厚さは、50nm以下である。   14 14. The method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 13, wherein the first metal layer has a thickness of 50 nm or less.

15.前記11から13項のいずれか一つの半導体集積回路装置の製造方法において、前記第1のメタル層の厚さは、25nm以下である。   15. 14. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 13, the thickness of the first metal layer is 25 nm or less.

16.前記11から15項のいずれか一つの半導体集積回路装置の製造方法において、前記再配線メタル・パターンは、以下を含む:
(c1)銅を主要な成分とする第1のメッキ層;
(c2)前記第1のメッキ層上に設けられたニッケルを主要な成分とする第2のメッキ層。
16. 16. The method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 15, wherein the redistribution metal pattern includes:
(C1) a first plating layer containing copper as a main component;
(C2) A second plating layer mainly composed of nickel provided on the first plating layer.

17.前記11から16項のいずれか一つの半導体集積回路装置の製造方法において、前記絶縁膜は、以下を含む:
(b1)無機系の第1のパッシベーション膜;
(b2)前記第1のパッシベーション膜に設けられ有機系の第2のパッシベーション膜。
17. 17. The method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 16, wherein the insulating film includes:
(B1) an inorganic first passivation film;
(B2) An organic second passivation film provided on the first passivation film.

18.前記17項の半導体集積回路装置の製造方法において、前記第2のパッシベーション膜は、ポリイミド系の樹脂膜である。   18. In the method for manufacturing a semiconductor integrated circuit device according to the item 17, the second passivation film is a polyimide resin film.

19.前記11から18項のいずれか一つの半導体集積回路装置の製造方法において、前記再配線メタル・パターン上には、半田バンプが設けられている。   19. 19. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 18, solder bumps are provided on the redistribution metal pattern.

20.前記11から19項のいずれか一つの半導体集積回路装置の製造方法において、前記下位工程(c2)を開始する時点では、前記前記アルミニウム系パッド電極上には、酸化アルミニウムを主要な成分とする層が存在する。   20. 20. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 19, a layer containing aluminum oxide as a main component on the aluminum-based pad electrode at the time when the substep (c2) is started. Exists.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。   2. Similarly, in the description of the embodiment, etc., regarding the material, composition, etc., “X consisting of A” etc. is an element other than A unless specifically stated otherwise and clearly not in context. It is not excluded that one of the main components. For example, as for the component, it means “X containing A as a main component”.

具体的には、「金」、「ニッケル」、「銅」、「チタン」、「窒化チタン」、「アルミニウム」等といっても、特にそうでない旨、明示した場合又は理論的にそうでないことが明らかな場合等を除き、「各物質を主要な成分とする部材」を意味するものとする。また、たとえば「アルミニウム・パッド」、「アルミニウム系パッド」といっても、パッド構造の全てが、アルミニウムを主要な成分とする部材でできているのではなく、アルミニウムを主要な成分とする部材による構造要素がパッド構造の主要部を占めることを表す。このことは、アルミニウム系配線、銅系配線等についても同様である。   Specifically, even if "gold", "nickel", "copper", "titanium", "titanium nitride", "aluminum", etc., it is not so specifically stated or not theoretically. Except in cases where the above is obvious, it means “a member having each substance as a main component”. In addition, for example, “aluminum pad” and “aluminum-based pad” are not all made of a member whose main component is aluminum, but a member whose main component is aluminum. It represents that a structural element occupies the main part of a pad structure. The same applies to aluminum wiring, copper wiring, and the like.

更に、たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   Furthermore, for example, “silicon member” or the like is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and other additives. Needless to say. Similarly, the term “silicon oxide film” refers not only to relatively pure undoped silicon oxide, but also to FSG (Fluorosilicate Glass), TEOS-based silicon oxide, and SiOC ( Silicon Oxicarbide) or Carbon-doped Silicon oxide (OSG) (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass) and other thermal oxide films, CVD oxide films, SOG (Spin ON Glass) , Nano-clustering silica (NSC), etc., coated silicon oxide, silica-based low-k insulating film (porous insulating film) in which pores are introduced in similar members, and these are the main Needless to say, it includes a composite film with another silicon-based insulating film as an essential component.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコン・ウエハを指すが、エピタキシャル・ウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device or an electronic device) is formed. Insulation of an epitaxial wafer, an SOI substrate, an LCD glass substrate, etc. Needless to say, it includes a composite wafer such as a substrate and a semiconductor layer.

6.「配線メタル・パターン」、「再配線メタル・パターン」、「再配線メタル膜」というときは、原則として、下地のシード・メタル層を含まないこととする。また、当該下地のシード・メタル層は、下層のバリア・メタル層、最上層のシード銅層等から構成されている。   6). In the case of “wiring metal pattern”, “rewiring metal pattern”, and “rewiring metal film”, the seed metal layer as a base is not included in principle. The underlying seed metal layer is composed of a lower barrier metal layer, an uppermost seed copper layer, and the like.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

1.本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れの説明(主に図1から図18)
以下では、図1から図16(図17および図18を参照)に基づいて、主に半導体集積回路装置のウエハ・プロセスにおけるアルミニウム系パッド2p(図1参照、以下同じ。パッド電極は銅系パッドその他でもよい)形成工程周辺以降を説明する。アルミニウム系パッド層2より下層には、通常、銅系ダマシン多層配線層1wまたはアルミニウム系通常多層配線層1w(一般に3層から10層程度)がある。
1. Description of process flow after aluminum pad in manufacturing method of semiconductor integrated circuit device of one embodiment of the present application (mainly FIGS. 1 to 18)
Hereinafter, based on FIGS. 1 to 16 (see FIGS. 17 and 18), an aluminum-based pad 2p (see FIG. 1, the same applies hereinafter) mainly in a wafer process of a semiconductor integrated circuit device. The pad electrode is a copper-based pad. Others may be used) The area around the formation process will be described. Below the aluminum-based pad layer 2, there is usually a copper-based damascene multilayer wiring layer 1w or an aluminum-based ordinary multilayer wiring layer 1w (generally about 3 to 10 layers).

図1および、そのパッド近傍部Cの詳細である図2に示すように、半導体ウエハ1(チップ領域1c)のデバイス面1a(裏面1bに対向する主面)側のタングステン・プラグ7および最上層層間絶縁膜6上には、アルミニウム系パッド2pが形成されている。ボンディング・パッド2p下の多層配線層1wを含む半導体基板1p(本来の基板部分1sは通常、たとえば300ファイのp型の単結晶シリコン・ウエハである)のデバイス面1a側には、無機系ファイナル・パッシベーション膜3が形成されている。ボンディング・パッド2pを構成するアルミニウム系パッド層2は、この例では最上層配線層2wを兼ねている(パッド専用でもよい)。ボンディング・パッド2pおよびファイナル・パッシベーション膜3の形成については、以下のように形成する。まず、多層配線層上にスパッタリングにより、アルミニウム系メタル膜(通常、中間のアルミニウムを主要な成分とし、銅その他を数パーセント程度添加した1000nm程度の厚さの主配線金属層2pの上下に10nm程度の厚さのチタン膜8a,8c、50から75nm程度の厚さの窒化チタン膜8b,9等の薄膜を有する。チタン膜8a,8cと窒化チタン膜8bでパッド下地メタル層8を構成している)を成膜する。通常のリソグラフィにより、アルミニウム系メタル膜をパターニングし、ボンディング・パッド2pを形成する。次に、半導体ウエハ1のデバイス面1a側のほぼ全面に、たとえば、下層の無機ファイナル・パッシベーション膜3aとなる酸化シリコン系絶縁膜(たとえば厚さ200nm程度)をプラズマCVD法により成膜する。続いて、その上に、たとえば、上層の無機ファイナル・パッシベーション膜3bとなる窒化シリコン系絶縁膜(たとえば厚さ600nm程度)をプラズマCVD法により成膜する。次に、この2層からなる無機ファイナル・パッシベーション膜3に通常のリソグラフィにより、パッド開口5を形成する(この後、一般に主配線金属層上の窒化チタン膜9等が、たとえばアルゴン、塩素等の混合ガス雰囲気中で自己整合的にドライ・エッチング除去される。これで、図2のような断面形態となる)。次に、半導体ウエハ1のデバイス面1a側のほぼ全面に、たとえば、下層の有機ファイナル・パッシベーション膜4となるポリイミド膜(たとえば厚さ4マイクロ・メートル程度)を塗布する。続いて、先とほぼ同じ開口位置に再び通常のリソグラフィにより、パッド開口5を形成する(図17の下層ポリイミド層パターニング工程101)。従って、この段階の複合ファイナル・パッシベーション膜10(絶縁膜)は、下層から酸化シリコン膜3a、窒化シリコン膜3b、およびポリイミド膜4の三層重ね膜となる。なお、後ほど説明するが、通常、この上に更に、有機系の絶縁膜がもう一つのファイナル・パッシベーション膜として形成される。   As shown in FIG. 1 and FIG. 2, which is a detail of the pad vicinity C, the tungsten plug 7 and the uppermost layer on the device surface 1a (main surface facing the back surface 1b) side of the semiconductor wafer 1 (chip region 1c). On the interlayer insulating film 6, an aluminum-based pad 2p is formed. On the device surface 1a side of the semiconductor substrate 1p (the original substrate portion 1s is usually a 300-type p-type single crystal silicon wafer) including the multilayer wiring layer 1w under the bonding pad 2p, an inorganic final is provided. A passivation film 3 is formed. The aluminum-based pad layer 2 constituting the bonding pad 2p also serves as the uppermost wiring layer 2w in this example (may be dedicated to the pad). The bonding pad 2p and the final passivation film 3 are formed as follows. First, an aluminum-based metal film (usually about 10 nm above and below a main wiring metal layer 2p having a thickness of about 1000 nm, which is mainly composed of intermediate aluminum and added with several percent of copper or the like, is sputtered onto the multilayer wiring layer. And titanium nitride films 8b and 9 having a thickness of about 50 to 75 nm, etc. The pad base metal layer 8 is composed of the titanium films 8a and 8c and the titanium nitride film 8b. Film). The aluminum-based metal film is patterned by ordinary lithography to form a bonding pad 2p. Next, for example, a silicon oxide insulating film (for example, a thickness of about 200 nm) to be the underlying inorganic final passivation film 3a is formed on the entire surface of the semiconductor wafer 1 on the device surface 1a side by a plasma CVD method. Subsequently, a silicon nitride insulating film (for example, a thickness of about 600 nm) to be the upper inorganic final passivation film 3b is formed thereon by plasma CVD, for example. Next, a pad opening 5 is formed in the inorganic final passivation film 3 composed of two layers by ordinary lithography (after this, a titanium nitride film 9 on the main wiring metal layer is generally made of, for example, argon, chlorine, etc.) It is dry-etched and removed in a self-aligned manner in a mixed gas atmosphere (this results in a cross-sectional form as shown in FIG. 2). Next, for example, a polyimide film (for example, a thickness of about 4 micrometers) serving as the lower organic final passivation film 4 is applied to almost the entire surface of the semiconductor wafer 1 on the device surface 1a side. Subsequently, a pad opening 5 is formed again by ordinary lithography at the same opening position as before (lower polyimide layer patterning step 101 in FIG. 17). Therefore, the composite final passivation film 10 (insulating film) at this stage is a three-layered film including the silicon oxide film 3a, the silicon nitride film 3b, and the polyimide film 4 from the lower layer. As will be described later, usually, an organic insulating film is further formed thereon as another final passivation film.

ここで、アルミニウム系パッド電極2pのアルミニウム系メタル表面(アルミニウムを主要な成分とする面が露出しているパッド上面)は非常に酸化されやすいので、下層有機系ファイナル・パッシベーション膜4をパターニングして、次の工程に仕掛かる段階でみると、図3に示すように、数nm程度の自然酸化膜11(酸化アルミニウム膜)が存在する。このままでは、パッド・再配線間抵抗ばらつきが増大する可能性があるので、図3の状態で、ウエハ1のデバイス面1aに対して、アルゴン・スパッタリング等のプラズマ・エッチング処理(スパッタリング・エッチ)を実行して(処理条件は、たとえば、室温、アルゴン雰囲気、0.05Pa程度の気圧、処理時間30秒程度)、図4に示すように、酸化アルミニウム膜11を除去または3nm程度以下に薄膜化することが有効である(図18のアルゴン・スパッタ工程102b)。ここでのプラズマ・エッチング処理(アルゴン・スパッタ工程102b)は、酸化アルミニウム膜11を完全に除去する必要はなく、全体に薄膜化すればよい。これは、薄膜の酸化アルミニウム膜11は、アルミニウム表面を保護する効果もあり、また、完全に除去しても、再度、生成するので、実質的な効果が期待できない。また、過剰に、プラズマ・エッチング処理を行うと、下層有機系ファイナル・パッシベーション膜4(ポリイミド膜)からの生成物の影響で、かえってデバイス特性が劣化する恐れがある。なお、良品の製品段階でも薄膜の酸化アルミニウム膜11が残存していることは、顕微鏡的に確認されている。   Here, the aluminum-based metal surface of the aluminum-based pad electrode 2p (the pad upper surface on which the surface containing aluminum as a main component is exposed) is very easily oxidized. Therefore, the lower organic final passivation film 4 is patterned. In the stage where the next process is started, as shown in FIG. 3, there is a natural oxide film 11 (aluminum oxide film) of about several nm. If this is the case, the resistance variation between the pad and the rewiring may increase. Therefore, in the state of FIG. 3, plasma etching processing (sputtering etching) such as argon sputtering is performed on the device surface 1a of the wafer 1. When executed (processing conditions are, for example, room temperature, argon atmosphere, atmospheric pressure of about 0.05 Pa, processing time of about 30 seconds), as shown in FIG. 4, the aluminum oxide film 11 is removed or thinned to about 3 nm or less. Is effective (argon sputtering step 102b in FIG. 18). In the plasma etching process (argon sputtering step 102b) here, it is not necessary to completely remove the aluminum oxide film 11, and it is sufficient to make the whole thin. This is because the thin aluminum oxide film 11 has an effect of protecting the aluminum surface, and even if it is completely removed, it is generated again, so that a substantial effect cannot be expected. If the plasma etching process is performed excessively, the device characteristics may be deteriorated due to the influence of the product from the lower organic final passivation film 4 (polyimide film). It has been confirmed microscopically that a thin aluminum oxide film 11 remains even in a non-defective product stage.

次に、図5に示すように、ウエハ1のデバイス面1aのほぼ全面に、シード・メタル層12をスパッタリングにより成膜する(図17および図18のシード層スパッタ工程102)。このシード層スパッタ工程102の詳細を図6から図9および図18に基づいて説明する。   Next, as shown in FIG. 5, a seed metal layer 12 is formed on almost the entire device surface 1a of the wafer 1 by sputtering (seed layer sputtering step 102 in FIGS. 17 and 18). Details of the seed layer sputtering step 102 will be described with reference to FIGS. 6 to 9 and FIG.

まず、図6に示すように、ウエハ1のデバイス面1aのほぼ全面に、シード下地メタル最下層12a(第1のメタル層)として、チタンを主要な成分とするメタル膜(比較的純粋なチタン膜が好適なものとして例示できる)をスパッタリング(処理条件は、たとえば、ウエハ・ステージ設定温度摂氏300度程度、アルゴン雰囲気、0.5Pa程度の気圧、処理時間8秒程度)により成膜する(図18の下層チタン・スパッタ工程102c)。この膜は、パッド表面の酸化アルミニウム膜を還元する作用がある。   First, as shown in FIG. 6, a metal film (relatively pure titanium) containing titanium as a main component is formed on almost the entire device surface 1a of the wafer 1 as a seed base metal lowermost layer 12a (first metal layer). The film can be exemplified as a suitable film) by sputtering (processing conditions are, for example, a wafer stage set temperature of about 300 degrees Celsius, an argon atmosphere, an atmospheric pressure of about 0.5 Pa, and a processing time of about 8 seconds) (see FIG. 18 underlayer titanium sputter process 102c). This film acts to reduce the aluminum oxide film on the pad surface.

次に、図7に示すように、ウエハ1のデバイス面1aのほぼ全面に、シード下地メタル中間層12b(第2のメタル層)として、窒化チタンを主要な成分とするメタル膜を窒素ガス雰囲気中でのスパッタリング(処理条件は、たとえば、ウエハ・ステージ設定温度摂氏300度程度、アルゴン+窒素ガス雰囲気、0.5Pa程度の気圧、処理時間30秒程度)により成膜する(図18の窒化チタン・スパッタ工程102d)。この膜は、窒素を多く含み、銅等に対する拡散バリア性が高い。チタン膜等に比べて厚さの割りにバリア性が高いので、窒化チタン膜を用いることにより、バリア・メタル膜全体を薄くするメリットがある。ただし、単独では電気抵抗が高く、上層との接着性にも若干問題がある。   Next, as shown in FIG. 7, a metal film containing titanium nitride as a main component as a seed base metal intermediate layer 12b (second metal layer) is formed on the entire device surface 1a of the wafer 1 in a nitrogen gas atmosphere. The film is formed by sputtering (the processing conditions are, for example, a wafer stage set temperature of about 300 degrees Celsius, an argon + nitrogen gas atmosphere, an atmospheric pressure of about 0.5 Pa, and a processing time of about 30 seconds) (titanium nitride in FIG. 18). -Sputtering process 102d). This film contains a large amount of nitrogen and has a high diffusion barrier property against copper or the like. Since the barrier property is high relative to the thickness of a titanium film or the like, the use of a titanium nitride film has an advantage of making the entire barrier metal film thinner. However, the electric resistance alone is high, and there are some problems with the adhesion to the upper layer.

続いて、図8に示すように、ウエハ1のデバイス面1aのほぼ全面に、シード下地メタル最上層12c(第3のメタル層)として、チタンを主要な成分とするメタル膜をスパッタリング(処理条件は、たとえば、ウエハ・ステージ設定温度摂氏300度程度、アルゴン雰囲気、0.5Pa程度の気圧、処理時間8秒程度)により成膜する(図18の上層チタン・スパッタ工程102e)。この膜は、上層との接着性向上のためであり、比較的純粋なものである必要はない。   Subsequently, as shown in FIG. 8, a metal film containing titanium as a main component is sputtered (processing conditions) as a seed base metal uppermost layer 12c (third metal layer) on almost the entire device surface 1a of the wafer 1. Is formed by, for example, a wafer stage set temperature of about 300 degrees Celsius, an argon atmosphere, an atmospheric pressure of about 0.5 Pa, and a processing time of about 8 seconds (upper titanium sputtering process 102e in FIG. 18). This film is for improving adhesion with the upper layer, and does not need to be relatively pure.

これらのシード下地メタル最下層12a、シード下地メタル中間層12b、およびシード下地メタル最上層12cでバリア・メタル層14(図8)を構成している。また、下層チタン・スパッタ工程102cから上層チタン・スパッタ工程102eでバリア・メタル・スパッタ工程112を構成している。なお、シード下地メタル最下層12aおよびシード下地メタル最上層12cの膜厚目標値は、たとえば10nm程度であり、実用的な範囲は、5nmから60nm程度である。また、シード下地メタル中間層12bの膜厚目標値は、たとえば50nm程度であり、実用的な範囲は、30nmから100nm程度である。   These seed base metal lowermost layer 12a, seed base metal intermediate layer 12b, and seed base metal uppermost layer 12c constitute a barrier metal layer 14 (FIG. 8). Further, the barrier metal sputtering process 112 is constituted by the lower titanium sputtering process 102c to the upper titanium sputtering process 102e. The target film thickness of the seed base metal bottom layer 12a and the seed base metal top layer 12c is, for example, about 10 nm, and the practical range is about 5 nm to 60 nm. The target thickness of the seed base metal intermediate layer 12b is about 50 nm, for example, and the practical range is about 30 nm to 100 nm.

更に、図9に示すように、ウエハ1のデバイス面1aのほぼ全面に、シード銅層12d(第4のメタル層)として、銅を主要な成分とするメタル膜をスパッタリング(処理条件は、たとえば、ウエハ・ステージ設定温度は室温、アルゴン雰囲気、0.05Pa程度の気圧、処理時間15秒程度)により成膜する。シード銅層12dの膜厚目標値は、たとえば150nm程度であり、実用的な範囲は、100nmから200nm程度である。これらのバリア・メタル層14およびシード銅層12dでシード・メタル層12を構成している。   Further, as shown in FIG. 9, a metal film containing copper as a main component is sputtered as a seed copper layer 12d (fourth metal layer) on almost the entire device surface 1a of the wafer 1 (processing conditions are, for example, The wafer stage is set at room temperature, argon atmosphere, atmospheric pressure of about 0.05 Pa, and processing time of about 15 seconds. The film thickness target value of the seed copper layer 12d is, for example, about 150 nm, and the practical range is about 100 nm to 200 nm. The barrier metal layer 14 and the seed copper layer 12d constitute the seed metal layer 12.

次に、図10に示すように、シード・メタル層12上に通常のリソグラフィにより、レジスト膜パターン15を形成する(図17のレジスト・パターニング工程)。続いて、図11に示すように、電解メッキにより、銅を主要な成分とする再配線メタル膜下層膜16a(膜厚目標値は、たとえば5.7マイクロ・メートル程度であり、実用的な範囲は、4マイクロ・メートルから10マイクロ・メートル程度である。)を選択的に形成する。次に、再配線メタル膜下層膜16a上に、電解メッキにより、ニッケルを主要な成分とする再配線メタル膜上層膜16b(膜厚目標値は、たとえば2.7マイクロ・メートル程度であり、実用的な範囲は、2マイクロ・メートルから4マイクロ・メートル程度である。)を選択的に形成する(図17の再配線電解メッキ工程104)。再配線メタル膜下層膜16a、再配線メタル膜上層膜16b等で再配線メタル膜(再配線メタル・パターン、配線メタル・パターン)16を構成する。   Next, as shown in FIG. 10, a resist film pattern 15 is formed on the seed metal layer 12 by ordinary lithography (resist patterning step in FIG. 17). Subsequently, as shown in FIG. 11, a redistribution metal film lower layer film 16a containing copper as a main component by electrolytic plating (the target film thickness is about 5.7 micrometers, for example, and is within a practical range. Is about 4 to 10 micrometers). Next, on the redistribution metal film lower layer film 16a, a redistribution metal film upper layer film 16b containing nickel as a main component is formed by electrolytic plating (the target thickness is about 2.7 micrometers, for example). The typical range is about 2 to 4 micrometers) (rewiring electrolytic plating step 104 in FIG. 17). A rewiring metal film (rewiring metal pattern, wiring metal pattern) 16 is constituted by the rewiring metal film lower layer film 16a, the rewiring metal film upper layer film 16b, and the like.

次に、図12に示すように、不要なレジスト膜パターン15を除去するとともに、再配線メタル・パターン16外部の。次に、図13にシード・メタル層12をウエット・エッチングにより自己整合的に除去する(図17のレジスト除去&ウエット・エッチング工程105)。   Next, as shown in FIG. 12, the unnecessary resist film pattern 15 is removed and the outside of the redistribution metal pattern 16 is removed. Next, in FIG. 13, the seed metal layer 12 is removed in a self-aligned manner by wet etching (resist removal & wet etching step 105 in FIG. 17).

次に、図13に示すように、ウエハ1のデバイス面1a側に、通常のリソグラフィにより、上層有機系ファイナル・パッシベーション膜22として、バンプ形成用開口17(ランド開口部の径は、たとえば90から200マイクロ・メートル)を有するポリイミド膜パターン(たとえば厚さ6マイクロ・メートル程度)を形成する(図17の上層ポリイミド層パターニング工程106)。   Next, as shown in FIG. 13, a bump forming opening 17 (with a land opening diameter of, for example, 90 is formed on the device surface 1a side of the wafer 1 as an upper organic final passivation film 22 by ordinary lithography. A polyimide film pattern (for example, about 6 micrometers in thickness) having a thickness of 200 micrometers is formed (upper polyimide layer patterning step 106 in FIG. 17).

更に、図14に示すように、バンプ形成用開口17内のバンプ形成部21の表面に無電解メッキにより、金を主要な成分とする保護メタル層18を形成する(図17の無電解金メッキ工程)。   Further, as shown in FIG. 14, a protective metal layer 18 containing gold as a main component is formed by electroless plating on the surface of the bump forming portion 21 in the bump forming opening 17 (electroless gold plating step of FIG. 17). ).

次に、図15に示すように、保護メタル層18にプローブ針19を接触させることにより、プローブ検査を実行する(図17のプローブ検査工程)。次に、図16に示すように、半田ペースト印刷法、ボール配列法等および半田リフロー処理により、バンプ形成部21のニッケル表面上に半田バンプ20を形成する。このとき、保護メタル層18の金はバンプ内に拡散するので、半田リフロー処理の結果として、最終的に保護メタル層18は消失する。   Next, as shown in FIG. 15, the probe inspection is executed by bringing the probe needle 19 into contact with the protective metal layer 18 (probe inspection step in FIG. 17). Next, as shown in FIG. 16, solder bumps 20 are formed on the nickel surface of the bump forming portion 21 by a solder paste printing method, a ball arrangement method, or the like and a solder reflow process. At this time, since the gold of the protective metal layer 18 diffuses into the bumps, the protective metal layer 18 eventually disappears as a result of the solder reflow process.

なお、図17のプローブ検査工程108およびBG工程109は、バンプ形成工程110の後に実施してもよい。   Note that the probe inspection process 108 and the BG process 109 in FIG. 17 may be performed after the bump formation process 110.

2.本願の一実施の形態の半導体集積回路装置の製造方法に使用する製造装置等の説明(主に図19から図22、図17および図18を参照)
このセクションでは、セクション1に説明したプロセスの一部について各製造装置プロセスの面から説明する。まず、図17および図18のシード層スパッタ成膜工程102について説明する。なお、半田リフロー処理以降のプロセスについても、便宜上このセクションで説明する。
2. Description of manufacturing apparatus used for manufacturing method of semiconductor integrated circuit device according to one embodiment of the present application (refer mainly to FIG. 19 to FIG. 22, FIG. 17 and FIG. 18)
In this section, some of the processes described in Section 1 are described in terms of each manufacturing equipment process. First, the seed layer sputter film forming step 102 of FIGS. 17 and 18 will be described. The process after the solder reflow process is also described in this section for convenience.

図17の下層ポリイミド層パターニング工程101に引き続き、図19に示すように、先ず、フープ52内に収容されたウエハ1は、ロード&アンロード用ロボット59によって、マルチ・チャンバ型のスパッタリング装置51(スパッタリング及び、それに関連する処理を連続的に実行する装置)のバッファ室56内に導入される。その後、ロード&アンロード用ロボット59によって、ロードロック室57を通して、真空搬送室58内に導入され、真空搬送ロボット60によって、アルゴン・スパッタ室53に導入される。そこで、まず、ウエハ1の表面に吸着されたガスを放出させるためのデガス処理102a(図18)が実施される(処理条件は、たとえば、ウエハ・ステージ設定温度は摂氏250度程度、添加ガスがない空引き状態、1KPa程度の気圧、規定圧力到達より処理時間30秒程度)。続いて、そのまま、アルゴン・スパッタ処理102bが実行される。   After the lower polyimide layer patterning step 101 of FIG. 17, as shown in FIG. 19, first, the wafer 1 accommodated in the hoop 52 is loaded by a multi-chamber type sputtering apparatus 51 ( It is introduced into a buffer chamber 56 of a device that continuously performs sputtering and related processing. After that, it is introduced into the vacuum transfer chamber 58 through the load lock chamber 57 by the load and unload robot 59 and introduced into the argon / sputter chamber 53 by the vacuum transfer robot 60. Therefore, first, a degas process 102a (FIG. 18) for releasing the gas adsorbed on the surface of the wafer 1 is performed (the process conditions are, for example, the wafer stage set temperature is about 250 degrees Celsius, and the additive gas is No emptying state, atmospheric pressure of about 1 KPa, processing time of about 30 seconds from reaching the specified pressure). Subsequently, the argon sputtering process 102b is performed as it is.

次に、ウエハ1は、真空搬送ロボット60によって、チタン系メタル・スパッタ室54に移送される。そこで、バリア・メタル・スパッタ工程112が連続的に実行される。すなわち、順に下層チタン層スパッタ・ステップ102c、窒化チタン層スパッタ・ステップ102d、および上層チタン層スパッタ・ステップ102eである。   Next, the wafer 1 is transferred to the titanium-based metal sputtering chamber 54 by the vacuum transfer robot 60. Therefore, the barrier metal sputtering step 112 is continuously performed. That is, a lower titanium layer sputtering step 102c, a titanium nitride layer sputtering step 102d, and an upper titanium layer sputtering step 102e in this order.

次に、ウエハ1は、真空搬送ロボット60によって、銅スパッタ室55に移送される。そこで、銅シード層スパッタ・ステップ102fが実行される。ここで、ウエハ1は、最初にロードロック室57に導入され、各処理チャンバ53,54,55の処理が終わって、再びロードロック室57に戻るまで(ロードロック室が複数あるので同一とは限らない)の間、大気にさらされることがない。   Next, the wafer 1 is transferred to the copper sputtering chamber 55 by the vacuum transfer robot 60. Therefore, a copper seed layer sputtering step 102f is performed. Here, the wafer 1 is first introduced into the load lock chamber 57, until the processing of each of the processing chambers 53, 54, 55 is completed and returns to the load lock chamber 57 again (the same as there are a plurality of load lock chambers). (But not limited to).

その後、ウエハ1は、真空搬送ロボット60によって、ロードロック室57に移送され、そこからロード&アンロード用ロボット59によって、フープ52内に戻され、次のレジスト・パターニング工程103(図17)のための処理セクションに移送される。そこで、レジスト膜パターン15が形成されたウエハ1は、再びフープ52に戻されて、メッキ装置71(メッキ関連処理装置)に移送される。メッキ装置71においては、以下のように処理される。   Thereafter, the wafer 1 is transferred to the load lock chamber 57 by the vacuum transfer robot 60, and is then returned into the FOUP 52 by the load & unload robot 59, and in the next resist patterning step 103 (FIG. 17). To the processing section for. Therefore, the wafer 1 on which the resist film pattern 15 is formed is returned to the hoop 52 again and transferred to the plating apparatus 71 (plating related processing apparatus). In the plating apparatus 71, processing is performed as follows.

図20に示すように、先ず、ウエハ1は、フープ52内から、ロード&アンロード用ロボット59によって、バッファ室56内に導入される。そこから内部搬送ユニット74の内部搬送ロボット75により、電解メッキ・ユニット72内のプリ・ディップ槽79に移送され、そこで濡れ性向上、有機成分除去等のために燐酸系の処理液により前処理(液温は室温、処理時間は200秒程度)が実施される。次に、ウエハ1は、内部搬送ロボット75により、水洗槽78に移送され、そこで、水洗される(液温は室温、処理時間は30秒程度)。次に、ウエハ1は、内部搬送ロボット75により、銅電解メッキ槽81に移送され、そこで再配線電解メッキ工程104(図17)の第1ステップである銅電解メッキ処理が、たとえば硫酸系銅メッキ液を用いて行われる(液温は室温、処理時間は460秒程度)。次に、ウエハ1は、内部搬送ロボット75により、再び水洗槽78に移送され、そこで、水洗される(液温は室温、処理時間は30秒程度)。次に、ウエハ1は、内部搬送ロボット75により、酸処理槽77に移送され、そこで表面金属酸化物除去等のために硫酸系の処理液により前処理が実施される(液温は室温、処理時間は80秒程度)。次に、ウエハ1は、内部搬送ロボット75により、再び水洗槽78に移送され、水洗される(液温は室温、処理時間は30秒程度)。次にウエハ1は、内部搬送ロボット75により、ニッケル電解メッキ槽82に移送され、そこで、再配線電解メッキ工程104(図17)の第2ステップであるニッケル電解メッキ処理が、たとえばホウ酸系ニッケル・メッキ液を用いて行われる(液温は摂氏55度程度、処理時間は450秒程度)。次にウエハ1は、内部搬送ロボット75により、再び水洗槽78に移送され、そこで、水洗される(液温は室温、処理時間は50秒程度)。更に、ウエハ1は、内部搬送ロボット75により、スピン・リンス&ドライ・ステージ76に移送され、そこのスピンステージ上でリンス及びスピン乾燥が実施される(液温は室温、処理時間は90秒程度)。その後、ウエハ1は内部搬送ロボット75およびロード&アンロード用ロボット59によって、フープ52に戻される。次に、フープ52はレジスト除去&ウエット・エッチ工程105(図17)のためのユニットに移送される。   As shown in FIG. 20, first, the wafer 1 is introduced into the buffer chamber 56 from the inside of the hoop 52 by the loading and unloading robot 59. From there, it is transferred to the pre-dip tank 79 in the electroplating unit 72 by the internal transfer robot 75 of the internal transfer unit 74, where it is pre-treated with a phosphoric acid-based treatment solution for improving wettability and removing organic components ( The liquid temperature is room temperature and the processing time is about 200 seconds). Next, the wafer 1 is transferred to the water washing tank 78 by the internal transfer robot 75 where it is washed with water (the liquid temperature is room temperature and the processing time is about 30 seconds). Next, the wafer 1 is transferred to the copper electroplating bath 81 by the internal transfer robot 75, where the copper electroplating process, which is the first step of the rewiring electroplating step 104 (FIG. 17), is performed, for example, sulfate-based copper plating. The liquid is used (the liquid temperature is room temperature and the processing time is about 460 seconds). Next, the wafer 1 is transferred again to the water washing tank 78 by the internal transfer robot 75, where it is washed with water (liquid temperature is room temperature, processing time is about 30 seconds). Next, the wafer 1 is transferred to an acid treatment tank 77 by an internal transfer robot 75, where pretreatment is performed with a sulfuric acid-based treatment liquid for removing surface metal oxides (the liquid temperature is room temperature, the treatment is performed). Time is about 80 seconds). Next, the wafer 1 is transferred again to the water washing tank 78 and washed with water by the internal transfer robot 75 (the liquid temperature is room temperature and the processing time is about 30 seconds). Next, the wafer 1 is transferred to the nickel electroplating tank 82 by the internal transfer robot 75, where the nickel electroplating process, which is the second step of the rewiring electroplating step 104 (FIG. 17), is performed, for example, borate nickel. -It is performed using a plating solution (the solution temperature is about 55 degrees Celsius and the processing time is about 450 seconds). Next, the wafer 1 is transferred again to the water washing tank 78 by the internal transfer robot 75, where it is washed with water (liquid temperature is room temperature, processing time is about 50 seconds). Further, the wafer 1 is transferred to the spin rinse & dry stage 76 by the internal transfer robot 75, and rinse and spin drying are performed on the spin stage (the liquid temperature is room temperature and the processing time is about 90 seconds). ). Thereafter, the wafer 1 is returned to the FOUP 52 by the internal transfer robot 75 and the load & unload robot 59. Next, the hoop 52 is transferred to a unit for resist removal & wet etching step 105 (FIG. 17).

上層ポリイミド層パターニング工程106(図17)が完了すると、ウエハ1は再び、フープ52内に収容されて、メッキ装置71に移送される。先と同様に、ウエハ1は、フープ52内から、ロード&アンロード用ロボット59によって、バッファ室56内に導入される。そこから内部搬送ユニット74の内部搬送ロボット75により、電解メッキ・ユニット72内のプリ・ディップ槽79に移送され、そこで濡れ性向上、有機成分除去等のために有機塩酸系の処理液により前処理が実施される。次にウエハ1、内部搬送ロボット75により、水洗槽78に移送され、そこで、水洗される(液温は室温、処理時間は30秒程度)。次にウエハ1、内部搬送ロボット75により、酸処理槽77に移送され、そこで表面金属酸化物除去、表面活性化等のために塩酸系の処理液により前処理が実施される(液温は室温、処理時間は80秒程度)。次に、ウエハ1は、内部搬送ロボット75により、再び水洗槽78に移送され、水洗される(液温は室温、処理時間は30秒程度)。次にウエハ1、内部搬送ロボット75により、無電解メッキ槽91に移送され、そこで無電解金メッキ工程107(図17)が、たとえば亜硫酸金メッキ液を用いて実行される(液温は摂氏55度程度、処理時間は450秒程度)。次にウエハ1は、内部搬送ロボット75により、再び水洗槽78に移送され、そこで、水洗される(液温は室温、処理時間は100秒程度)。更に、ウエハ1は、内部搬送ロボット75により、スピン・リンス&ドライ・ステージ76に移送され、そこのスピンステージ上でリンス及びスピン乾燥が実施される(液温は室温、処理時間は90秒程度)。その後、ウエハ1は内部搬送ロボット75およびロード&アンロード用ロボット59によって、フープ52に戻される。次に、フープ52はレジスト除去&ウエット・エッチ工程105(図17)のためのユニットに移送される。   When the upper polyimide layer patterning step 106 (FIG. 17) is completed, the wafer 1 is again accommodated in the hoop 52 and transferred to the plating apparatus 71. As before, the wafer 1 is introduced from the FOUP 52 into the buffer chamber 56 by the load and unload robot 59. From there, it is transferred to the pre-dip tank 79 in the electroplating unit 72 by the internal transfer robot 75 of the internal transfer unit 74, where it is pretreated with an organic hydrochloric acid-based processing solution for improving wettability and removing organic components. Is implemented. Next, the wafer 1 is transferred by an internal transfer robot 75 to a water washing tank 78 where it is washed with water (the liquid temperature is room temperature and the processing time is about 30 seconds). Next, the wafer 1 is transferred to the acid treatment tank 77 by the internal transfer robot 75, where pretreatment is performed with hydrochloric acid-based treatment liquid for removing surface metal oxide, surface activation, and the like (the liquid temperature is room temperature). The processing time is about 80 seconds). Next, the wafer 1 is transferred again to the water washing tank 78 and washed with water by the internal transfer robot 75 (the liquid temperature is room temperature and the processing time is about 30 seconds). Next, the wafer 1 is transferred to the electroless plating tank 91 by the internal transfer robot 75, where the electroless gold plating step 107 (FIG. 17) is performed using, for example, a gold sulfite plating solution (the liquid temperature is about 55 degrees Celsius). The processing time is about 450 seconds). Next, the wafer 1 is transferred again to the water washing tank 78 by the internal transfer robot 75, where it is washed with water (the liquid temperature is room temperature and the processing time is about 100 seconds). Further, the wafer 1 is transferred to the spin rinse & dry stage 76 by the internal transfer robot 75, and rinse and spin drying are performed on the spin stage (the liquid temperature is room temperature and the processing time is about 90 seconds). ). Thereafter, the wafer 1 is returned to the FOUP 52 by the internal transfer robot 75 and the load & unload robot 59. Next, the hoop 52 is transferred to a unit for resist removal & wet etching step 105 (FIG. 17).

その後、ウエハ1を収容したフープ52は、順次、プローブ検査工程108(図17)およびバック・グラインディング工程109(図17)のためのそれぞれのユニットに送られえて、各処理を終えた後、バンプ形成工程110(図17)のため、半田ペースト印刷装置61(図21)または半田バンプ配列装置62(図22)へ搬送される。半田ペースト印刷装置61は、一般品に適しており、半田バンプ配列装置62は、バンプ・ピッチが100マイクロ・メートル程度以下の狭ピッチ品に適している。   Thereafter, the hoop 52 containing the wafer 1 can be sequentially sent to the units for the probe inspection process 108 (FIG. 17) and the back-grinding process 109 (FIG. 17). For the bump forming step 110 (FIG. 17), it is conveyed to the solder paste printing device 61 (FIG. 21) or the solder bump arranging device 62 (FIG. 22). The solder paste printing device 61 is suitable for general products, and the solder bump arranging device 62 is suitable for narrow pitch products having a bump pitch of about 100 micrometers or less.

先ず、半田ペースト印刷装置61を使用したバンプ形成プロセス110(図17)について説明する。図21に示すように、ウエハ1上の複数のバンプ形成部21と印刷マスク32のマスク開口37が一致するように、ウエハ1上に印刷マスク32を重ねた状態で、スキージ(Squeegee)を水平移動させることにより、半田ペースト33が各マスク開口37を満たすようにする。その後、印刷マスク32を剥がすと、半田ペースト33がバンプ形成部21上に印刷された状態となる。これにリフロー処理をすると、半田が自立的に図16のような形状となる。   First, the bump formation process 110 (FIG. 17) using the solder paste printer 61 will be described. As shown in FIG. 21, the squeegee is horizontally placed with the print mask 32 overlaid on the wafer 1 so that the plurality of bump forming portions 21 on the wafer 1 and the mask openings 37 of the print mask 32 coincide with each other. By moving, the solder paste 33 fills each mask opening 37. Thereafter, when the printing mask 32 is peeled off, the solder paste 33 is printed on the bump forming portion 21. When this is subjected to a reflow process, the solder becomes a shape as shown in FIG.

次に、半田バンプ配列装置62を使用したバンプ形成プロセス110(図17)について説明する。図22に示すように、先ず、ウエハ1上の複数のバンプ形成部21にフラックス35を印刷しておく。その状態で、整列マスク34の各マスク開口37が複数のバンプ形成部21と一致するように、ウエハ1上に整列マスク32を一定の間隔を置いて重ねた状態で、上方から整形した半田ボール36を供給する。半田ボール36は、各マスク開口37内に一個ずつ入り、フラックス35と仮接着される。その後、整列マスク32を除去して、先と同様に、リフロー処理をすると、半田が自立的に図16のような形状となる。   Next, the bump formation process 110 (FIG. 17) using the solder bump array device 62 will be described. As shown in FIG. 22, first, flux 35 is printed on the plurality of bump forming portions 21 on the wafer 1. In this state, the solder balls shaped from above with the alignment masks 32 stacked on the wafer 1 at a predetermined interval so that each mask opening 37 of the alignment mask 34 coincides with the plurality of bump forming portions 21. 36 is supplied. One solder ball 36 enters each mask opening 37 and is temporarily bonded to the flux 35. Thereafter, when the alignment mask 32 is removed and the reflow process is performed in the same manner as described above, the solder becomes a shape as shown in FIG.

これらのバンプ形成プロセス110(図17)が完了した後、ウエハ1を個々のチップ1cに分割するダイシング工程111(図17)を実行する。   After these bump formation processes 110 (FIG. 17) are completed, a dicing process 111 (FIG. 17) for dividing the wafer 1 into individual chips 1c is performed.

3.各種データの説明(主に図23から図26)
このセクションでは、前2セクションで説明した本願発明の実施の形態についての各種検証データ、参考データ等について説明する。
3. Explanation of various data (mainly FIGS. 23 to 26)
In this section, various verification data, reference data, and the like regarding the embodiment of the present invention described in the previous two sections will be described.

図23は、図12の構造における下層チタン膜厚と(単一のコンタクトについての)パッド・再配線間抵抗(単一のコンタクトについての)の関係を示すデータ・プロット図である。この図において、四角形のデータは、通常の10マイクロメータ角のパッド開口について測定したもので、円形のデータは、試験用に5マイクロメータ角のパッド開口について測定したものである。後者のほうが、より抵抗ばらつきに敏感になる傾向がある。   FIG. 23 is a data plot diagram showing the relationship between the lower layer titanium film thickness and the pad-rewiring resistance (for a single contact) (for a single contact) in the structure of FIG. In this figure, the square data is measured for a normal 10 micrometer square pad opening and the circular data is measured for a 5 micrometer square pad opening for testing. The latter tends to be more sensitive to resistance variations.

図23に示されたように、シード下地メタル最下層(第1のメタル層)すなわち、下層チタン膜の膜厚が3nm程度では、パッド再配線間抵抗値は下層チタン膜がないのと同等である。一方、下層チタン膜の膜厚が5nm程度以上では、パッド再配線間抵抗値は安定的に低い値となる。従って、プロセスばらつきを考慮すると、下層チタン膜の膜厚の下限値は、5nm程度が好適である。   As shown in FIG. 23, when the film thickness of the seed base metal bottom layer (first metal layer), that is, the lower layer titanium film is about 3 nm, the resistance value between the pad rewirings is equivalent to that without the lower layer titanium film. is there. On the other hand, when the thickness of the lower titanium film is about 5 nm or more, the resistance value between the pad rewirings is stably low. Therefore, considering the process variation, the lower limit of the thickness of the lower titanium film is preferably about 5 nm.

図24は図12の構造における再配線間抵抗の分布を示すデータ・プロット図(パッド開口が10マイクロ・メートル角である場合)である。また、図25は図12の構造における再配線間抵抗の分布を示すデータ・プロット図(パッド開口が5マイクロ・メートル角である場合)である。これらから、パッド再配線間抵抗値の分布についても、下層チタン膜の膜厚が5nm程度以上では、ばらつきが小さいことがわかる。   FIG. 24 is a data plot diagram showing the distribution of rewiring resistance in the structure of FIG. 12 (when the pad opening is 10 micrometer square). FIG. 25 is a data plot diagram showing the distribution of rewiring resistance in the structure of FIG. 12 (when the pad opening is 5 micrometer square). From these, it can be seen that the distribution of the resistance value between the pad rewirings is small when the thickness of the lower titanium film is about 5 nm or more.

次に、下層チタン膜の膜厚の適正な上限について検討する。図26は図16の構造における下層チタン膜厚とパッド・再配線間抵抗(単一のコンタクトについての)の関係を示すデータ・プロット図(図23とは相違して、コンタクト数個分、バンプを経由して測定)である。このデータは、数個分の直列接続およびバンプその他の抵抗を含むため絶対値は高くなっているが、相対的な変化を見る上で有用である。   Next, an appropriate upper limit of the thickness of the lower titanium film will be examined. FIG. 26 is a data plot diagram showing the relationship between the lower layer titanium film thickness and the resistance between the pad and the rewiring (for a single contact) in the structure of FIG. Measured via). Although this data includes several series connections and bumps and other resistances, the absolute value is high, but it is useful to see relative changes.

図26に示されたように、下層チタン膜の膜厚が25nm程度以上で顕著な抵抗値の上昇が見られ、実用上、およびプロセス・コストを考慮すると60nm程度までが現実的な範囲と考えられる。したがって、下層チタン膜の膜厚の適正な上限は、60nm程度と見られる。   As shown in FIG. 26, a remarkable increase in resistance is observed when the thickness of the lower titanium film is about 25 nm or more, and it is considered that the practical range is up to about 60 nm in consideration of practical and process costs. It is done. Therefore, the appropriate upper limit of the thickness of the lower layer titanium film is considered to be about 60 nm.

図23及び図26等から、下層チタン膜の実用的な膜厚範囲は、5nm以上、60nm以下である。また、量産性を考慮した適正な範囲は、5nm以上、50nm以下である。更に、低抵抗性等も考慮した最も好適な範囲は、5nm以上、25nm以下である。   From FIG. 23, FIG. 26, etc., the practical film thickness range of the lower layer titanium film is 5 nm or more and 60 nm or less. An appropriate range in consideration of mass productivity is 5 nm or more and 50 nm or less. Furthermore, the most preferable range in consideration of low resistance and the like is 5 nm or more and 25 nm or less.

4.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
4). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態では、下層の銅再配線層および上層のニッケル再配線層からなる2層構成の再配線について、具体的に説明したが、本発明はそれに限定されるものではなく、銅単独再配線層構造、その他多層の再配線構造にも適用できることは言うまでもない。また、半導体基板として、p型単結晶シリコン基板を用いた例について、具体的に説明したが、本発明はそれに限定されるものではなく、n型単結晶シリコン基板等のその他の単結晶半導体基板,SOI基板、ガラス基板等の絶縁性基板、GaAsその他の化合物半導体基板等を用いたものにも適用できることは言うまでもない。   For example, in the above-described embodiment, the two-layer rewiring composed of the lower copper rewiring layer and the upper nickel rewiring layer has been specifically described. However, the present invention is not limited thereto, Needless to say, the present invention can also be applied to a single rewiring layer structure and other multilayer rewiring structures. Further, an example in which a p-type single crystal silicon substrate is used as the semiconductor substrate has been specifically described. However, the present invention is not limited thereto, and other single crystal semiconductor substrates such as an n-type single crystal silicon substrate are used. Needless to say, the present invention can also be applied to an insulating substrate such as an SOI substrate or a glass substrate, a compound semiconductor substrate such as GaAs, or the like.

また、前記実施の形態では、有機系ファイナル・パッシベーション膜として、ポリイミド系の樹脂膜を使用する例を示したが、本発明はそれに限定されるものではなく、BCB(Benzo−Cyclo−Butene)その他の耐熱性ポリマー系の樹脂膜を用いたものでもよいことは、言うまでもない。   Moreover, in the said embodiment, although the example which uses a polyimide-type resin film was shown as an organic type final passivation film, this invention is not limited to it, BCB (Benzo-Cyclo-Butene) and others Needless to say, the heat-resistant polymer resin film may be used.

本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(下層ポリイミド層パターニング工程)である。It is a device section flow figure (lower layer polyimide layer patterning process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 図1のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(下層ポリイミド層パターニング工程)である。FIG. 2 is a device enlarged cross-sectional flow diagram (lower polyimide layer patterning step) showing a detailed process of a pad vicinity portion C in FIG. 1. 図1のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(工程間における自然酸化膜の形成の様子を示す)である。FIG. 2 is a device enlarged cross-sectional flowchart showing a detailed process of a pad vicinity portion C in FIG. 1 (showing a state of forming a natural oxide film between steps). 図1のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(アルゴン・スパッタ・ステップ)である。FIG. 2 is a device enlarged cross-sectional flow diagram (argon sputtering step) showing a detailed process of a pad vicinity C in FIG. 1. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(シード・メタル層スパッタ工程)である。It is a device section flow figure (seed metal layer sputtering process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 図5のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(下層チタン層スパッタ・ステップ)である。FIG. 6 is a device enlarged cross-sectional flow diagram (lower titanium layer sputtering step) showing a detailed process of the pad vicinity portion C of FIG. 5. 図5のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(窒化チタン層スパッタ・ステップ)である。FIG. 6 is a device enlarged cross-sectional flow diagram (titanium nitride layer sputtering step) showing a detailed process of the pad vicinity portion C of FIG. 5. 図5のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(上層チタン層スパッタ・ステップ)である。FIG. 6 is a device enlarged cross-sectional flow diagram (upper titanium layer sputtering step) showing a detailed process of the pad vicinity portion C of FIG. 5. 図5のパッド近傍部Cの詳細プロセスを示すデバイス拡大断面フロー図(銅シード層スパッタ・ステップ)である。FIG. 6 is a device enlarged cross-sectional flow diagram (copper seed layer sputtering step) showing a detailed process of the pad vicinity portion C of FIG. 5. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(レジスト膜パターニング工程)である。It is a device section flow figure (resist film patterning process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(再配線メタル電解メッキ工程)である。It is a device cross section flowchart (rewiring metal electroplating process) which shows the flow of the process after the aluminum-type pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(レジスト膜除去工程)である。It is a device section flow figure (resist film removal process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(上層ポリイミド膜パターニング工程)である。It is a device section flow figure (upper layer polyimide film patterning process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(金無電解メッキ工程)である。It is a device section flow figure (gold electroless plating process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(プローブ検査工程)である。It is a device section flow figure (probe inspection process) which shows the flow of the process after the aluminum system pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すデバイス断面フロー図(バンプ形成工程)である。It is a device cross section flowchart (bump formation process) which shows the flow of the process after the aluminum-type pad in the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法におけるアルミニウム系パッド後のプロセスの流れを示すプロセス・ブロック・フロー図である。FIG. 5 is a process block flow diagram illustrating a process flow after an aluminum-based pad in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. 図17のシード・スパッタ工程の詳細を示す詳細プロセス・ブロック・フロー図である。FIG. 18 is a detailed process block flow diagram showing details of the seed sputtering process of FIG. 17. 本願の一実施の形態の半導体集積回路装置の製造方法に使用するスパッタ装置の平面レイアウト図である。It is a plane layout figure of the sputtering device used for the manufacturing method of the semiconductor integrated circuit device of one embodiment of this application. 本願の一実施の形態の半導体集積回路装置の製造方法に使用するメッキ装置の平面レイアウト図である。1 is a plan layout view of a plating apparatus used in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. 本願の一実施の形態の半導体集積回路装置の製造方法に使用する半田印刷装置の平面レイアウト図である。1 is a plan layout view of a solder printing apparatus used in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. 本願の一実施の形態の半導体集積回路装置の製造方法に使用する半田ボール搭載装置の平面レイアウト図である。1 is a plan layout view of a solder ball mounting device used in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. 図12の構造における下層チタン膜厚と(単一のコンタクトについての)パッド・再配線間抵抗(単一のコンタクトについての)の関係を示すデータ・プロット図である。FIG. 13 is a data plot diagram showing the relationship between the lower layer titanium film thickness and the pad-rewiring resistance (for a single contact) (for a single contact) in the structure of FIG. 図12の構造における再配線間抵抗の分布を示すデータ・プロット図(パッド開口が10マイクロ・メートル角である場合)である。FIG. 13 is a data plot diagram showing the distribution of resistance between rewirings in the structure of FIG. 12 (in the case where the pad opening is 10 μm square). 図12の構造における再配線間抵抗の分布を示すデータ・プロット図(パッド開口が5マイクロ・メートル角である場合)である。FIG. 13 is a data plot diagram showing the distribution of resistance between rewirings in the structure of FIG. 12 (in the case where the pad opening is 5 μm square). 図16の構造における下層チタン膜厚とパッド・再配線間抵抗(単一のコンタクトについての)の関係を示すデータ・プロット図(図23とは相違して、コンタクト数個分、バンプを経由して測定)である。16 is a data plot diagram showing the relationship between the lower layer titanium film thickness and the resistance between the pad and the rewiring (for a single contact) in the structure of FIG. Measurement).

符号の説明Explanation of symbols

1 半導体ウエハまたは半導体基板(集積回路製造工程途中のものも含む)
1a 半導体ウエハ又は半導体チップのデバイス面(上面又は第1の主面)
1b 半導体ウエハ又は半導体チップの裏面(第2の主面)
1c 半導体チップまたはチップ領域
1p パッド下の多層配線層を含む半導体ウエハ又は半導体基板
1s ウエル領域等を含む半導体ウエハまたは半導体基板の単結晶基板部分(p型シリコン単結晶基板)
1w (プリ・メタル層等を含む)パッド下の多層配線層
2 最上層アルミニウム系メタル層
2p アルミニウム系パッド電極
2w アルミニウム系配線
3 無機系ファイナル・パッシベーション膜
3a 下層無機系ファイナル・パッシベーション膜
3b 上層無機系ファイナル・パッシベーション膜
4 下層有機系ファイナル・パッシベーション膜
5 パッド開口
6 最上層層間絶縁膜
7 タングステン・プラグ
8 パッド下地メタル層
8a パッド下地メタル層最下層
8b パッド下地メタル層中間層
8c パッド下地メタル層最上層
9 パッド上部メタル層
10 複合ファイナル・パッシベーション膜
11 酸化アルミニウム層(自然酸化膜)
12 シード・メタル層
12a シード下地メタル最下層(第1のメタル層)
12b シード下地メタル中間層(第2のメタル層)
12c シード下地メタル最上層(第3のメタル層)
12d シード銅層(第4のメタル層)
14 バリア・メタル層
15 レジスト膜パターン
16 再配線メタル膜(再配線メタル・パターン)
16a 再配線メタル膜下層膜
16b 再配線メタル膜上層膜
17 バンプ形成用開口(ランド開口部)
18 保護メタル層(無電解金メッキ膜)
19 プローブ針
20 半田バンプ
21 (再配線の)バンプ形成部
22 上層有機系ファイナル・パッシベーション膜
31 スキージ
32 印刷マスク
33 半田ペースト
34 整列マスク
35 フラックス
36 半田ボール
37 マスク開口
51 スパッタリング装置
52 フープ
53 アルゴン・スパッタ室
54 チタン系メタル・スパッタ室
55 銅スパッタ室
56 バッファ室
57 ロードロック室
58 真空搬送室
59 ロード&アンロード用ロボット
60 真空搬送ロボット
61 半田ペースト印刷装置
62 半田バンプ配列装置
71 メッキ装置
72 電解メッキ・ユニット
73 無電解メッキ・ユニット
74 内部搬送ユニット
75 内部搬送ロボット
76 スピン・リンス&ドライ・ステージ
77 酸処理槽
78 純水洗浄槽
79 プリ・ディップ槽
81 銅電解メッキ槽
82 ニッケル電解メッキ槽
91 金無電解メッキ槽
101 下層ポリイミド層パターニング工程
102 シード層スパッタ成膜工程(下地バリア・メタル・スパッタ工程を含む)
102a デガス処理ステップ
102b アルゴン・スパッタ・ステップ
102c 下層チタン層スパッタ・ステップ
102d 窒化チタン層スパッタ・ステップ
102e 上層チタン層スパッタ・ステップ
102f 銅シード層スパッタ・ステップ
103 レジスト・パターニング工程
104 再配線電解メッキ工程
105 レジスト除去&ウエット・エッチ工程
106 上層ポリイミド層パターニング工程
107 無電解金メッキ工程
108 プローブ検査工程
109 バック・グラインディング工程
110 バンプ形成工程
111 ダイシング工程
112 バリア・メタル・スパッタ工程
C パッド近傍部
1 Semiconductor wafer or semiconductor substrate (including those in the process of manufacturing integrated circuits)
1a Device surface of semiconductor wafer or semiconductor chip (upper surface or first main surface)
1b Rear surface of semiconductor wafer or semiconductor chip (second main surface)
1c Semiconductor chip or chip region 1p Semiconductor wafer or semiconductor substrate including multilayer wiring layer under pad 1s Single crystal substrate portion of semiconductor wafer or semiconductor substrate including well region (p-type silicon single crystal substrate)
1w (including pre-metal layer, etc.) multilayer wiring layer under pad 2 uppermost aluminum metal layer 2p aluminum pad electrode 2w aluminum wiring 3 inorganic final passivation film 3a lower inorganic final passivation film 3b upper inorganic layer System final passivation film 4 lower organic final passivation film 5 pad opening 6 uppermost interlayer insulating film 7 tungsten plug 8 pad base metal layer 8a pad base metal layer bottom layer 8b pad base metal layer intermediate layer 8c pad base metal layer Top layer 9 Pad upper metal layer 10 Composite final passivation film 11 Aluminum oxide layer (natural oxide film)
12 Seed metal layer 12a Seed base metal bottom layer (first metal layer)
12b Seed base metal intermediate layer (second metal layer)
12c Top layer of seed base metal (third metal layer)
12d seed copper layer (fourth metal layer)
14 Barrier metal layer 15 Resist film pattern 16 Redistribution metal film (Redistribution metal pattern)
16a Redistribution metal film lower layer film 16b Redistribution metal film upper layer film 17 Bump formation opening (land opening)
18 Protective metal layer (electroless gold plating film)
19 Probe Needle 20 Solder Bump 21 (Rewiring) Bump Formation 22 Upper Organic Final Passivation Film 31 Squeegee 32 Print Mask 33 Solder Paste 34 Alignment Mask 35 Flux 36 Solder Ball 37 Mask Opening 51 Sputtering Device 52 Hoop 53 Argon Sputtering chamber 54 Titanium metal sputtering chamber 55 Copper sputtering chamber 56 Buffer chamber 57 Load lock chamber 58 Vacuum transfer chamber 59 Load and unload robot 60 Vacuum transfer robot 61 Solder paste printing device 62 Solder bump array device 71 Plating device 72 Electrolysis Plating unit 73 Electroless plating unit 74 Internal transfer unit 75 Internal transfer robot 76 Spin rinse & dry stage 77 Acid treatment tank 78 Pure water cleaning tank 79 Pre-D Flop tank 81 electrolytic copper plating tank 82 Nickel electroless plating tank 91 gold electroless plating bath 101 underlying polyimide layer patterning step 102 the seed layer sputtering process (including a base barrier metal sputtering step)
102a Degassing step 102b Argon sputtering step 102c Lower titanium layer sputtering step 102d Titanium nitride layer sputtering step 102e Upper titanium layer sputtering step 102f Copper seed layer sputtering step 103 Resist patterning step 104 Rewiring electroplating step 105 Resist removal & wet etching process 106 Upper polyimide layer patterning process 107 Electroless gold plating process 108 Probe inspection process 109 Back grinding process 110 Bump formation process 111 Dicing process 112 Barrier metal sputtering process C Near the pad

Claims (20)

以下を含む半導体集積回路装置:
(a)多層配線層を含む半導体基板のデバイス面上の前記多層配線層上に設けられたアルミニウム系パッド電極;
(b)前記アルミニウム系パッド電極の少なくとも一部の上に開口を有する絶縁膜;
(c)前記開口を覆うように、前記アルミニウム系パッド電極上および前記絶縁膜上に設けられた配線メタル・パターン;
(d)前記配線メタル・パターン下の前記アルミニウム系パッド電極上および前記絶縁膜上に設けられたバリア・メタル層、
ここで、前記バリア・メタル層は、以下を含む:
(d1)チタンを主要な成分とする第1のメタル層;
(d2)前記第1のメタル層上に設けられた窒化チタンを主要な成分とする第2のメタル層;
(d3)前記第2のメタル層上に設けられたチタンを主要な成分とする第3のメタル層、
ここで、前記第1のメタル層の厚さは、5nm以上、60nm以下である。
Semiconductor integrated circuit devices including:
(A) an aluminum-based pad electrode provided on the multilayer wiring layer on the device surface of the semiconductor substrate including the multilayer wiring layer;
(B) an insulating film having an opening on at least a part of the aluminum-based pad electrode;
(C) a wiring metal pattern provided on the aluminum-based pad electrode and the insulating film so as to cover the opening;
(D) a barrier metal layer provided on the aluminum-based pad electrode under the wiring metal pattern and on the insulating film;
Here, the barrier metal layer includes:
(D1) a first metal layer containing titanium as a main component;
(D2) a second metal layer mainly composed of titanium nitride provided on the first metal layer;
(D3) a third metal layer mainly composed of titanium provided on the second metal layer,
Here, the thickness of the first metal layer is not less than 5 nm and not more than 60 nm.
前記1項の半導体集積回路装置において、前記第1のメタル層の厚さは、50nm以下である。     In the semiconductor integrated circuit device according to the item 1, the thickness of the first metal layer is 50 nm or less. 前記1項の半導体集積回路装置において、前記第1のメタル層の厚さは、25nm以下である。     In the semiconductor integrated circuit device according to the item 1, the thickness of the first metal layer is 25 nm or less. 前記1項の半導体集積回路装置において、前記配線メタル・パターンは、以下を含む:
(c1)銅を主要な成分とする第1のメッキ層;
(c2)前記第1のメッキ層上に設けられたニッケルを主要な成分とする第2のメッキ層。
In the semiconductor integrated circuit device according to the item 1, the wiring metal pattern includes:
(C1) a first plating layer containing copper as a main component;
(C2) A second plating layer mainly composed of nickel provided on the first plating layer.
前記1項の半導体集積回路装置において、前記第1のメタル層直下の前記アルミニウム系パッド電極上には、酸化アルミニウムを主要な成分とする層が存在する。     In the semiconductor integrated circuit device according to the item 1, a layer containing aluminum oxide as a main component exists on the aluminum-based pad electrode immediately below the first metal layer. 前記1項の半導体集積回路装置において、前記絶縁膜は、以下を含む:
(b1)無機系の第1のパッシベーション膜;
(b2)前記第1のパッシベーション膜に設けられ有機系の第2のパッシベーション膜。
In the semiconductor integrated circuit device according to the item 1, the insulating film includes:
(B1) an inorganic first passivation film;
(B2) An organic second passivation film provided on the first passivation film.
前記1項の半導体集積回路装置において、前記配線メタル・パターン上には、半田バンプが設けられている。     In the semiconductor integrated circuit device according to the item 1, solder bumps are provided on the wiring metal pattern. 前記6項の半導体集積回路装置において、前記第2のパッシベーション膜は、ポリイミド系の樹脂膜である。     7. The semiconductor integrated circuit device according to item 6, wherein the second passivation film is a polyimide resin film. 前記7項の半導体集積回路装置において、前記半田バンプは鉛フリーとされている。     In the semiconductor integrated circuit device according to the item 7, the solder bumps are lead-free. 前記9項の半導体集積回路装置において、前記半田バンプは金を含む。     10. The semiconductor integrated circuit device according to item 9, wherein the solder bump includes gold. 以下の工程を含む半導体集積回路装置の製造方法:
(a)多層配線層を含む半導体ウエハのデバイス面側の前記多層配線層上に、アルミニウム系パッド電極を形成する工程;
(b)前記アルミニウム系パッド電極の少なくとも一部の上に開口を有する絶縁膜を形成する工程;
(c)前記ウエハの前記デバイス面側のほぼ全面に、アルミニウム系パッド電極に接続されたシード・メタル膜を、スパッタリングにより成膜する工程;
(d)前記シード・メタル膜上に、レジスト膜パターンを形成する工程;
(e)前記レジスト膜パターンが存在する状態で、前記シード・メタル膜上に、電解メッキにより、配線メタル・パターンを形成する工程;
(f)前記配線メタル・パターンをエッチング・マスクとして、前記配線メタル・パターンがない部分の前記シード・メタル膜を除去する工程、
ここで、前記工程(c)は、以下の下位工程を含む:
(c1)前記半導体ウエハの前記デバイス面側に対して、アルゴン・ガスを主要な成分とする気相中において、プラズマ処理を実行する工程;
(c2)前記工程(c1)の後、チタンを主要な成分とする第1のメタル層をスパッタリングにより成膜する工程;
(c3)前記第1のメタル層上に、窒化チタンを主要な成分とする第2のメタル層をスパッタリングにより成膜する工程;
(c4)前記第2のメタル層上に、チタンを主要な成分とする第3のメタル層をスパッタリングにより成膜する工程;
(c5)前記第3のメタル層上に、前記配線メタル・パターンに対し、シードとして作用する第4のメタル層をスパッタリングにより成膜する工程、
ここで、前記第1のメタル層の厚さは、5nm以上、60nm以下である。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) forming an aluminum-based pad electrode on the multilayer wiring layer on the device surface side of the semiconductor wafer including the multilayer wiring layer;
(B) forming an insulating film having an opening on at least a part of the aluminum-based pad electrode;
(C) forming a seed metal film connected to the aluminum-based pad electrode by sputtering on substantially the entire device surface side of the wafer;
(D) forming a resist film pattern on the seed metal film;
(E) forming a wiring metal pattern on the seed metal film by electrolytic plating in the presence of the resist film pattern;
(F) using the wiring metal pattern as an etching mask, removing the seed metal film in a portion without the wiring metal pattern;
Here, the step (c) includes the following substeps:
(C1) performing a plasma treatment on the device surface side of the semiconductor wafer in a gas phase containing argon gas as a main component;
(C2) After the step (c1), forming a first metal layer containing titanium as a main component by sputtering;
(C3) forming a second metal layer containing titanium nitride as a main component on the first metal layer by sputtering;
(C4) forming a third metal layer containing titanium as a main component on the second metal layer by sputtering;
(C5) forming a fourth metal layer acting as a seed on the third metal layer by sputtering with respect to the wiring metal pattern;
Here, the thickness of the first metal layer is not less than 5 nm and not more than 60 nm.
前記11項の半導体集積回路装置の製造方法において、前記工程(c)は、同一のスパッタリング装置内において、大気に触れさせることなく実行される。     In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the step (c) is performed in the same sputtering apparatus without exposure to the atmosphere. 前記12項の半導体集積回路装置の製造方法において、前記下位工程(c2)から(c4)は、同一のチャンバ内で実行される。     In the method for manufacturing a semiconductor integrated circuit device according to the item 12, the substeps (c2) to (c4) are performed in the same chamber. 前記11項の半導体集積回路装置の製造方法において、前記第1のメタル層の厚さは、50nm以下である。     12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the thickness of the first metal layer is 50 nm or less. 前記11項の半導体集積回路装置の製造方法において、前記第1のメタル層の厚さは、25nm以下である。     12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the thickness of the first metal layer is 25 nm or less. 前記11項の半導体集積回路装置の製造方法において、前記配線メタル・パターンは、以下を含む:
(c1)銅を主要な成分とする第1のメッキ層;
(c2)前記第1のメッキ層上に設けられたニッケルを主要な成分とする第2のメッキ層。
12. The method for manufacturing a semiconductor integrated circuit device according to the item 11, wherein the wiring metal pattern includes:
(C1) a first plating layer containing copper as a main component;
(C2) A second plating layer mainly composed of nickel provided on the first plating layer.
前記11項の半導体集積回路装置の製造方法において、前記絶縁膜は、以下を含む:
(b1)無機系の第1のパッシベーション膜;
(b2)前記第1のパッシベーション膜に設けられ有機系の第2のパッシベーション膜。
12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the insulating film includes:
(B1) an inorganic first passivation film;
(B2) An organic second passivation film provided on the first passivation film.
前記17項の半導体集積回路装置の製造方法において、前記第2のパッシベーション膜は、ポリイミド系の樹脂膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 17, the second passivation film is a polyimide resin film. 前記11項の半導体集積回路装置の製造方法において、前記配線メタル・パターン上には、半田バンプが設けられている。     12. In the method of manufacturing a semiconductor integrated circuit device according to the item 11, solder bumps are provided on the wiring metal pattern. 前記11項の半導体集積回路装置の製造方法において、前記下位工程(c2)を開始する時点では、前記前記アルミニウム系パッド電極上には、酸化アルミニウムを主要な成分とする層が存在する。     In the method for manufacturing a semiconductor integrated circuit device according to the item 11, a layer containing aluminum oxide as a main component exists on the aluminum-based pad electrode when the substep (c2) is started.
JP2008323343A 2008-12-19 2008-12-19 Semiconductor integrated circuit device and method of manufacturing the same Withdrawn JP2010147267A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008323343A JP2010147267A (en) 2008-12-19 2008-12-19 Semiconductor integrated circuit device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008323343A JP2010147267A (en) 2008-12-19 2008-12-19 Semiconductor integrated circuit device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2010147267A true JP2010147267A (en) 2010-07-01

Family

ID=42567368

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008323343A Withdrawn JP2010147267A (en) 2008-12-19 2008-12-19 Semiconductor integrated circuit device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2010147267A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779593B2 (en) 2011-09-08 2014-07-15 Renesas Electronics Corporation Semiconductor integrated circuit device
CN107546206A (en) * 2016-06-27 2018-01-05 瑞萨电子株式会社 Semiconductor devices
CN109417022A (en) * 2016-06-28 2019-03-01 应用材料公司 The more structures of the oxide-metal based on CVD for 3D NAND memory device
KR20190029404A (en) 2017-09-11 2019-03-20 가부시키가이샤 코쿠사이 엘렉트릭 Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
CN111149199A (en) * 2017-10-11 2020-05-12 索尼半导体解决方案公司 Semiconductor device and method for manufacturing the same
WO2021009940A1 (en) * 2019-07-16 2021-01-21 株式会社Fuji Three-dimensional molding machine

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779593B2 (en) 2011-09-08 2014-07-15 Renesas Electronics Corporation Semiconductor integrated circuit device
CN107546206B (en) * 2016-06-27 2022-10-14 瑞萨电子株式会社 Semiconductor device with a plurality of transistors
CN107546206A (en) * 2016-06-27 2018-01-05 瑞萨电子株式会社 Semiconductor devices
CN109417022A (en) * 2016-06-28 2019-03-01 应用材料公司 The more structures of the oxide-metal based on CVD for 3D NAND memory device
US11817320B2 (en) 2016-06-28 2023-11-14 Applied Materials, Inc. CVD based oxide-metal multi structure for 3D NAND memory devices
CN109417022B (en) * 2016-06-28 2023-08-11 应用材料公司 CVD-based oxide-metal multi-structure for 3D NAND memory devices
KR20190029404A (en) 2017-09-11 2019-03-20 가부시키가이샤 코쿠사이 엘렉트릭 Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US10340237B2 (en) 2017-09-11 2019-07-02 Kokusai Electric Corporation Method of manufacturing semiconductor device
JP2019050303A (en) * 2017-09-11 2019-03-28 株式会社Kokusai Electric Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN111149199A (en) * 2017-10-11 2020-05-12 索尼半导体解决方案公司 Semiconductor device and method for manufacturing the same
WO2021009940A1 (en) * 2019-07-16 2021-01-21 株式会社Fuji Three-dimensional molding machine
JPWO2021009940A1 (en) * 2019-07-16 2021-01-21
JP7309878B2 (en) 2019-07-16 2023-07-18 株式会社Fuji 3D modeling machine

Similar Documents

Publication Publication Date Title
US7812457B2 (en) Semiconductor device and semiconductor wafer and a method for manufacturing the same
TWI411079B (en) Semiconductor die and method for forming a conductive feature
US8174129B2 (en) Silicon-based thin substrate and packaging schemes
US20070023919A1 (en) Bonding pad on ic substrate and method for making the same
JP5537197B2 (en) Manufacturing method of semiconductor device
JP2010192867A (en) Semiconductor integrated circuit device and semiconductor integrated circuit device manufacturing method
JP2010171386A (en) Semiconductor device and method of manufacturing the same
TW201140783A (en) Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
JPH11340265A (en) Semiconductor device and its manufacture
JP2010147267A (en) Semiconductor integrated circuit device and method of manufacturing the same
TWI541937B (en) Vias and conductive routing layers in semiconductor substrates
JP2012507163A (en) Semiconductor device including reduced stress structure for metal pillars
US20100052174A1 (en) Copper pad for copper wire bonding
TWI487044B (en) A semiconductor device including a die region designed for aluminum-free solder bump connection and a test structure designed for aluminum-free wire bonding
KR20110128897A (en) A metallization system of a semiconductor device including metal pillars having a reduced diameter at the bottom
US8609555B2 (en) Increased stability of a complex material stack in a semiconductor device by providing fluorine enriched interfaces
US9136234B2 (en) Semiconductor device with improved metal pillar configuration
KR20100055317A (en) Semiconductor device and method of manufacturing semiconductor device
US8828888B2 (en) Protection of reactive metal surfaces of semiconductor devices during shipping by providing an additional protection layer
US8697565B2 (en) Shallow via formation by oxidation
Murugesan et al. Cu lateral interconnects formed between 100-µm-thick self-assembled chips on flexible substrates
US8841140B2 (en) Technique for forming a passivation layer without a terminal metal
JP2010147051A (en) Semiconductor integrated circuit device, and method of manufacturing the same
JP4007317B2 (en) Semiconductor device and manufacturing method thereof
JP2010165970A (en) Semiconductor integrated circuit apparatus and manufacturing method thereof

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100527

A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120306