JP2009517764A - 階層的soc設計でマージするタイミング規制 - Google Patents

階層的soc設計でマージするタイミング規制 Download PDF

Info

Publication number
JP2009517764A
JP2009517764A JP2008542922A JP2008542922A JP2009517764A JP 2009517764 A JP2009517764 A JP 2009517764A JP 2008542922 A JP2008542922 A JP 2008542922A JP 2008542922 A JP2008542922 A JP 2008542922A JP 2009517764 A JP2009517764 A JP 2009517764A
Authority
JP
Japan
Prior art keywords
timing
regulation
design
restriction
constraints
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008542922A
Other languages
English (en)
Inventor
リチャードソン ジュディス
エー プッタッスワミー ニランヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of JP2009517764A publication Critical patent/JP2009517764A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

低レベルの設計ブロックから高レベルの設計ブロックにタイミング規制を伝播する方法であって、複数の設計ブロックを含む回路を設計するステップを含む。複数の設計ブロックの各々が、これらに関連付けられた1セットのタイミング規制を有する。その回路用のタイミング規制の複合セットは、確立した伝播規則セットに従って、複数の設計ブロックの各々に関連付けられたタイミング規制のセットの各々から作成する。

Description

階層的SOC設計でマージするタイミング規制
多くの設計(特にプラットホームベースの論理設計)は、大きな割合で再利用できるインテレクチャル・プロパティ(IP)ブロックがある。これらのIPブロックは、大きめの設計に使用できる予め設計された機能ブロックを形成する。これらのIPブロックを設計統合するとき、これらのIPブロックは、幾つかの異なるタイプの情報を有する。幾つかの異なるタイプの情報の1つには、1セットのタイミング規制がある。
コンピュータによるエレクトリック・デザイン・オートメーション(EDA)ツールは、これらのツール上で動作するエンティティのためにタイミング規制を必要とする。これは、全体設計用のものとするか、又は設計内に組み入れる中間レベルの階層的ブロック(チップレット(chiplet))用とすることができる。これらのエンティティは、通常、単一IPブロックに対応していない。タイミング規制する必要があるEDAツールの例には、物理的な合成、配置、ルーティング、タイミング解析がある。これらのエンティティは、チップレット又は完全なチップのレベルで、即ちこれらが規制を必要とするレベルで全て動作する。規制が、全体設計に対して存在していないが、設計内の個別のIPブロックに対して存在することはよくある。これらの個別の規制を高レベルの規制にするためにマージする効率的なやり方が必要である。
既存のツールは、全体設計の規制を操作して、例えばタイミング割り当てを行うことによって、チップレット、又は設計の階層構造の低レベル用の規制を作成することができる。既存のツールは、低レベルのタイミング規制から、設計の高レベル用の1セットのタイミング規制を取り出すことができない。現在、これは、手動で行わなければならない。これは、タイミング規制の幾つかだけを高レベルへと伝播させる必要があるので、簡単な連結行程ではない。これは、時間を消費し、誤る傾向がある行程であり、完成させ検証するのに、数人で数週間を必要とすることがよくある。設計を変更するときはいつも、この行程を、幾らか異なる入力で繰り返すことになる。
以下に開示される、請求の範囲に係る本発明の一態様は、低レベルの設計ブロックから高レベルの設計ブロックにタイミング規制を伝播する方法を含む。複数の設計ブロックを含む回路を設計し、複数の設計ブロックの各々が、これらに関連付けられた1セットのタイミング規制を有するようにする。この回路用のタイミング規制の複合セットは、確立した伝播規則セットに従って、複数の設計ブロックの各々に関連付けられたタイミング規制のセットの各々から作成する。
本発明の方法及び装置のより完全な理解は、図面を参照して、以下の詳細な説明によって得ることができる。
ここで、図面を参照するに、特に図1は、設計操作システム(Design Manupulation Sistem)内に実装した本発明システムを示している。本発明は、設計操作システム(DMS)102と称されるコンピュータ・プログラムに実装させている。DMSシステム102は、既存のIPブロックの様々な組み合わせを用いてシステム設計することができる。生成される設計は、確立した様々なタイミング規制104に従って動作するものであり、DMSシステム102は、他の設計機能106も利用する。
以下、タイミング規制は、これらの実装の観点から、SDC(Synopsis Design Cnostraint)フォーマットで記述されるものとする。タイミング規制とは4つのカテゴリがある。タイプIのタイミング規制108は、これらが規定されるブロックのインスタンス化(instantiation)に依存している。タイプIのタイミング規制は、限定するものではないが、set_input_delay、set_load又はset_driving_cellなどの規制を含む。タイプIのタイミング規制は、通常、常時ではないが、IPブロックのポートに関して規定されている。IPブロックと階層構造でインスタンス化する場合、これらのタイミング規制は、高レベルの境界に直接マップする時を除いて、このコンテクストから導き出す必要がある。
タイプIIのタイミング規制110は、インスタンス化のコンテクストとは無関係である。これらの規制は、限定するものではないが、set_case_analysis,set_false_path,及びset_multicycle_pathを含む。これらのタイミング規制は、IPブロックのポート、低レベルのIPブロック又はリーフセルのインスタンスピン(instance pin)、ネット又はクロックに関して規定することができる。また、これらのタイミング規制は、このコンテクストから導き出すことができず、高レベルの設計に伝播させる必要がある。
タイプIIIのタイミング規制112は、このコンテクストから導き出すことができないが、create_clock、又はcreate_generated_clockなどの規制と競合しうる。典型的には、IPブロックは、IPブロックが動作することを意図する最大周波数に対応する期間を有する入力ピンから規定されたクロックの規制を有する。或るシステムでは、この入力ピンは、異なる周波数で規定されるクロックに接続することができる。最後に、タイプIVのタイミング規制は、階層的ソースポイントを有していない。これらの規制の例は、限定するものではないが、set_wire_load_model又はset_operating_conditionsを含む。
最初の3つのタイプのタイミング規制は、ブロックのポート、インスタンスピン、又はネットに関して指定される特定の1つ以上のソースポイントを含む。4番目のタイプの規制は、特定のソースポイントを有していない。タイミング規制が目標レベルの境界に適用することができるか否かを決定するために、“被接続クラウド(connected cloud)”を規定する。被接続クラウドは、タイミング規制のソースポイントに直接接続する、ネット、ピン、及びポートを含む。被接続クラウドは、リーフセル(ライブラリ又はブラックボックス)のインスタンスピン、又はトップレベルのポートによる境界がある。被接続クラウドは、中間的階層レベルによる境界がない。
ここで、図2を参照するに、同図は、タイプIのタイミング規制の実装例を示している。ブロックLow204のポートC202は、タイプIのタイミング規制のソースである。被接続クラウドは、Topレベル208のポートA206と、Midレベル212のポートB210と、Midレベル212のポートE214とを含んでいる。被接続クラウドは、Midレベル212のポートD216を含んでいない。これは、被接続クラウドが、バッファ218の入力端で停止するためである。以下、ブロックLow204のために規定されたタイプIのタイミング規制を対処するとともに、これらの低レベルのタイミング規制に基づいてブロックMid212用のタイミング規制を作成する手順を説明する。 被接続クラウドのいずれかの部分が目標レベルの境界に存在している場合に、タイミング規制を伝播させる。被接続クラウドが境界に達しない場合、タイミング規制を廃棄して、上側レベルに通過させないようにする。例えば、Midレベル212が目標レベルであり、set_input_delayの規制(タイプI)がブロックLow204のポートC202のために規定されている場合、set_input_delayの規制を、ブロックMid212のポートB210用の次のレベルに伝播させる。set_output_delayの規制(タイプI)が、インスタンスI2(220)のピンQのために規定されている場合、被接続クラウドがバッファ222で停止して境界に達しないので、この規制を廃棄する。
ここで、図3を参照するに、タイプIIのタイミング規制の実装例を示している。タイプIIのタイミング規制は、全て伝播させる。階層レベルは、必要に応じて追加する(又は、除去する)。低レベルのブロックのポートで規定されたタイプIIのタイミング規制は、目標レベルのためのインスタンスピンにおける規制とすることができる。故障経路(false path)及びマルチサイクル経路(multi−cycle path)は、タイミング規制がどこで目標レベルに入るか、又は出るかを識別するために、ネットリスト(netlist)によってトレースすることができる。このトレースは、組み合わせのロジックにて停止することはない。このトレースは、クロックされる要素、トップレベルのポート、又は同じ故障経路の別の部分に達するまで継続する。図3には、タイプIIの規制を伝播するための処理例をより完全に示している。例えば、規制がMidレベル302のために規定されおり、且つTopレベル304が目標レベルである場合、Midレベル302内のインスタンスピンI1/AからI2/Dまでの規定された故障経路306は、高レベルにおけるI3/I1/AからピンI3/I2/Dまでの故障経路になる。Midレベル302のインスタンスピンI1/BからポートCまでの規定された故障経路308は、I3/I1/BからピンI3/Cまでの故障経路になる。タイプIIの規制がTopレベル304のために規定されており、且つMidレベル302が目標レベルである場合、インスタンスピンI3/I1/AからピンI3/I2/Dまでの規定された故障経路306は、ピンI1/AからI2/Dまでの故障経路になる。インスタンスピンI3/I1/BからピンI4/Eまでの規定された故障経路は、インスタンスピンI1/BからポートCまでの故障経路308になる。
図4を参照するに、タイプIIIの規制の伝播を示すフロー図を示している。調査(inquiry)ステップ400で、タイプIIIのクロックの規制を決定するとき、ステップ402で、ネットワークは、駆動するソースポイントが調査ステップ404で見つけられるまで、元のソースから全てのバッファ又はインバータ(即ち、非分岐の組み合わせのロジック)を経て後方トレースする。これは、トップレベルのポート、クロックされるリーフのインスタンス、又は組み合わせのインスタンスとなりうる。ステップ406で、ネットワークは、この新しいソースポイントから、全ての組み合わせのロジックを経て、制御に係る全てのクロックされるインスタンスまで前方トレースする。ステップ408で、この前方トレースは、組み合わせのロジックに適用される一定値の存在によって変更される。例えば、この組み合わせの要素が、マルチプレクサであり、且つ選択ラインに一定値がある場合に、この選択を従わせる。これらの一定値は、ネットリスト(例えば、Verilogの1’b0からの一定値ゼロ)からか、又は他の規制(例えば、set_case_analysis)からの値となりうる。ネットワークはトレースされるので、ステップ410で、各々の来着したネットは、クロック又は一定値であるとしてマークされる。調査ステップ400にて、一定の規制が規定されている旨を決定する場合、この規制は、ソースへの後方トレースを行わない。ステップ406にて、この規制は、組み合わせのロジックを経て前方トレースを行うのみである。
2つ以上のIPブロックからの規制を伝播させる場合、競合しうる。例えば、各IPブロックは、自己のクロック規定を有することができるが、これらは全て、同じソースによって駆動される。各クロックの規定されたソースは、図5に示すような競合を解決するのに重要になる。 クロックの規定が、ステップ502で読み出されると、ステップ504で、この規定されたソースを、前にトレースしていたクロックと比較する。ステップ506で、規定されたソースが、トレースしていたソースに対応している場合、ステップ508にて、この新たに読み出したクロックが優位なものとしてみなし、このソースまで後方トレースした他のクロックを取り替える。この例は、クロック生成ブロックがある場合であり、このブロックから来るものとして規定されたクロックは、このクロックによって駆動される他のIPブロックにて規定されたクロックよりも優先するものとみなされる。規定されたソースが前にトレースしていたソースではないが、前のクロックがこのソースを経てトレースされていた場合、ステップ510にて、このクロックを廃棄する。このため、規制が読み出されたシーケンスが重要になる。これは、2つ以上のIPブロックの各々が、事実上同じクロックであることに関する自己の規定を有している場合である。
タイプIVのタイミング規制は、高レベルに適用するように変更する必要はない。同じ規制タイプに、異なる駆動条件などの多数の異なる値がある場合、最も制限的な規制を伝播させる。 仮想のクロック、即ち特定のソースなしで規定されたクロックを、常に伝播させる。
幾つかの設計ツールは、クロックに対して、非クロック入力端などを特定する或る規制を有するポートを必要とする。ポートが、規定された規制から伝播することによって見出される規制を有していない場合には、この特定の規制を発生させる。これにより、クロックされる要素まで、(出力から後方に、入力から前方に)トレースを行うようにする。これらの要素の最高周波数のクロックを用いて、この期間の割合として遅延の規制を作成することができる。
設計用のクロックは、外部的に生成して、パッドを介してチップに導くか、又は例えばPLLで内部的に生成することができる。これらのクロック生成ソースのいずれか一方に供給されるタイミング規制は、他のIPブロックからトレースしたクロックの規制よりも優先させる必要がある。これは、IPブロックで供給された規制が、現在の設計のインスタンス化に適用しないシナリオのためである。 例えば、メモリコントローラは、250MHzで動作させることができる場合でも、その設計は225MHzしか必要とされない。この状況は、競合を解決するときにクロックの規定されたソースを考慮に入れるタイプIIIのタイミング規制のための手順でカバーされている。
本方法は、タイミング規定を個別のIPブロックに提供し、且つトップレベル又はチップレットレベルの規制を必要とする任意の階層的設計に用いることができる。このような設計は、ネクスペリア・ホーム(Nexperia Home)又はネクスペリア・モバイル(Nexperia Mobile)設計などのプラットホームベースの設計を含む。
上述した発明及び方法の多くの変形及び実施例が可能である。 本発明及び方法の或る実施例のみを、図面に示して、詳細に説明したが、本発明は、開示した実施例に制限されるものではなく、請求の範囲に記載され、且つ定義される本発明から逸脱することなく、追加の再構成、変更、及び代替が可能であることは明らかである。従って、本発明の範囲は、このような全ての構成を含み、請求の範囲にて唯一制限されることは明らかである。
設計操作システムのブロック図である。 タイプIのタイミング規制の実装例である。 タイプIIのタイミング規制の実装例である。 タイプIIIのタイミング規制の伝播を示すフロー図である。 タイプIIIのタイミング規制の競合の解決を示すフロー図である。

Claims (16)

  1. 低レベルの設計ブロックから高レベルの設計ブロックにタイミング規制を伝播する方法であって、
    複数の設計ブロックの各々が、これらに関連付けられた1セットのタイミング規制を有する、複数の設計ブロックを含む回路を設計する設計ステップと、
    確立した伝播規則セットに従って、前記複数の設計ブロックの各々に関連付けられたタイミング規制のセットの各々から、前記回路用のタイミング規制の複合セットを作成する作成ステップと、
    を含むタイミング規制伝播方法。
  2. 前記作成ステップは、
    前記複数の設計ブロックの各々に関連付けられたタイミング規制のセット間の競合を解決するステップを更に含む、請求項1に記載の方法。
  3. 前記作成ステップは、
    前記タイミング規制に関連付けられたブロックのインスタンス化に依存するタイミング規制に対して、タイミング規制のソースポイント用の被接続クラウドを決定するステップと、
    被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達するか否かを決定するステップと、
    前記被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達する場合に、前記タイミング規制を次の設計ブロックに伝播するステップと、
    前記被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達しない場合に、前記タイミング規制を廃棄するステップと、
    を更に含む、請求項1に記載の方法。
  4. 前記作成ステップは、
    インスタンス化のコンテクストに無関係のタイミング規制に対して、経路に沿ったタイミング規制を、クロックされる要素、設計のトップレベルの一部分又は前記経路の別部分のうちの少なくとも1つに達するまで伝播するステップを更に含む、請求項1に記載の方法。
  5. 前記作成ステップは、
    導き出すことができないタイミング規制に対して、タイミング規制がクロックの規制であるか、又は一定の規制であるかを決定するステップと、
    前記タイミング規制がクロックの規制である場合に、
    元のソースから駆動ソースまでタイミング規制を後方トレースするステップと、
    前記駆動ソースから、前記タイミング規制を前記タイミング規制が制御する全てのクロックされるインスタンスに前方に伝播するステップと、
    前記タイミング規制が一定の規制である場合に、
    前記元のソースから、前記タイミング規制を前記タイミング規制が制御する全てのクロックされるインスタンスに前方伝播するステップと、
    を更に含む、請求項1に記載の方法。
  6. 前記作成ステップは、
    階層的ソースポイントを有していないタイミング規制に対して、タイミング規制のための多数の異なる値があるか否かを決定するステップと、
    前記タイミング規制のための多数の異なる値がある場合に、最も制限的な値を伝播するステップと、
    を含む、請求項1に記載の方法。
  7. 前記作成ステップは、
    規定されるタイミング規制から遅延規制を作成するステップを更に含む、請求項1に記載の方法。
  8. 前記作成ステップは、
    内部又は外部で生成したクロックの規制を、他の設計ブロックからトレースしたクロックの規制よりも優先するステップを更に含む、請求項1に記載の方法。
  9. 低レベルの設計ブロックから高レベルの設計ブロックにタイミング規制を伝播する装置であって、
    汎用コンピュータを構成するためのマシン読み取り可能なコードを格納するコンピュータ読み取り可能なメディアを備え、
    前記マシン読み取り可能なコードは、
    複数の設計ブロックの各々が、これらに関連付けられた1セットのタイミング規制を有する、複数の設計ブロックを含む回路を設計する設計し、
    確立した伝播規則セットに従って、前記複数の設計ブロックの各々に関連付けられたタイミング規制のセットの各々から、前記回路用のタイミング規制の複合セットを作成するように、前記汎用コンピュータを構成することを特徴とする、タイミング規制伝播装置。
  10. 前記マシン読み取り可能なコードは、前記複数の設計ブロックの各々に関連付けられたタイミング規制のセット間の競合を解決するように、前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  11. 前記マシン読み取り可能なコードは、
    前記タイミング規制に関連付けられたブロックのインスタンス化に依存するタイミング規制に対して、タイミング規制のソースポイント用の被接続クラウドを決定し、
    被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達するか否かを決定し、
    前記被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達する場合に、前記タイミング規制を次の設計ブロックに伝播し、
    前記被接続クラウドが前記回路の少なくとも1つの設計ブロックの境界に達しない場合に、前記タイミング規制を廃棄するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  12. 前記マシン読み取り可能なコードは、
    インスタンス化のコンテクストに無関係のタイミング規制に対して、経路に沿ったタイミング規制を、クロックされる要素、設計のトップレベルの一部分又は前記経路の別部分のうちの少なくとも1つに達するまで伝播するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  13. 前記マシン読み取り可能なコードは、
    導き出すことができないタイミング規制に対して、タイミング規制がクロックの規制又は一定の規制であるかを決定し、
    前記タイミング規制がクロックの規制である場合に、
    元のソースから駆動ソースまでタイミング規制を後方トレースし、
    前記駆動ソースから、前記タイミング規制を前記タイミング規制が制御する全てのクロックされるインスタンスに前方に伝播し、
    前記タイミング規制が一定の規制である場合に、
    前記元のソースから、前記タイミング規制を前記タイミング規制が制御する全てのクロックされるインスタンスに前方伝播するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  14. 前記マシン読み取り可能なコードは、
    階層的ソースポイントを有していないタイミング規制に対して、タイミング規制のための多数の異なる値があるか否かを決定し、
    前記タイミング規制のための多数の異なる値がある場合に、最も制限的な値を伝播するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  15. 前記マシン読み取り可能なコードは、
    規定されるタイミング規制から遅延規制を作成するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
  16. 前記マシン読み取り可能なコードは、
    内部又は外部で生成したクロックの規制を、他の設計ブロックからトレースしたクロックの規制よりも優先するように、
    前記汎用コンピュータを更に構成する、請求項9に記載のタイミング規制伝播装置。
JP2008542922A 2005-11-30 2006-11-30 階層的soc設計でマージするタイミング規制 Pending JP2009517764A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US74100305P 2005-11-30 2005-11-30
PCT/IB2006/054520 WO2007063513A1 (en) 2005-11-30 2006-11-30 Timing constraint merging in hierarchical soc designs

Publications (1)

Publication Number Publication Date
JP2009517764A true JP2009517764A (ja) 2009-04-30

Family

ID=37963924

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008542922A Pending JP2009517764A (ja) 2005-11-30 2006-11-30 階層的soc設計でマージするタイミング規制

Country Status (5)

Country Link
US (1) US20090271750A1 (ja)
EP (1) EP1958103A1 (ja)
JP (1) JP2009517764A (ja)
CN (1) CN101317179A (ja)
WO (1) WO2007063513A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8365113B1 (en) * 2007-01-10 2013-01-29 Cadence Design Systems, Inc. Flow methodology for single pass parallel hierarchical timing closure of integrated circuit designs
US8640066B1 (en) 2007-01-10 2014-01-28 Cadence Design Systems, Inc. Multi-phase models for timing closure of integrated circuit designs
US8977995B1 (en) * 2007-01-10 2015-03-10 Cadence Design Systems, Inc. Timing budgeting of nested partitions for hierarchical integrated circuit designs
US7926011B1 (en) * 2007-01-10 2011-04-12 Cadence Design Systems, Inc. System and method of generating hierarchical block-level timing constraints from chip-level timing constraints
US8504978B1 (en) 2009-03-30 2013-08-06 Cadence Design Systems, Inc. User interface for timing budget analysis of integrated circuit designs
WO2011161771A1 (ja) * 2010-06-22 2011-12-29 富士通株式会社 タイミング制約生成支援装置、タイミング制約生成支援プログラム、及び、タイミング制約生成支援方法
US8589835B2 (en) 2012-01-17 2013-11-19 Atrenta, Inc. System and method for inferring higher level descriptions from RTL topology based on naming similarities and dependency
US8656335B2 (en) 2012-04-27 2014-02-18 Atrenta, Inc. System and methods for inferring higher level descriptions from RTL topology based on connectivity propagation
US8549454B1 (en) * 2012-07-20 2013-10-01 Xilinx, Inc. System and method for automated configuration of design constraints
US8782587B2 (en) 2012-07-30 2014-07-15 Atrenta, Inc. Systems and methods for generating a higher level description of a circuit design based on connectivity strengths
US8769455B1 (en) 2012-12-18 2014-07-01 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for synchronous hierarchical implementation of electronic circuit designs
US9542524B2 (en) 2015-01-27 2017-01-10 International Business Machines Corporation Static timing analysis (STA) using derived boundary timing constraints for out-of-context (OOC) hierarchical entity analysis and abstraction
EP3396877A4 (en) * 2016-01-19 2019-01-02 Huawei Technologies Co., Ltd. Clock packet transmission method and device
US10394983B2 (en) * 2017-06-14 2019-08-27 Excellicon Corporation Method to automatically generate and promote timing constraints in a Synopsys Design Constraint format

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996023263A1 (en) * 1995-01-25 1996-08-01 Lsi Logic Corporation Timing shell generation through netlist reduction

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5396435A (en) * 1993-02-10 1995-03-07 Vlsi Technology, Inc. Automated circuit design system and method for reducing critical path delay times
US5956257A (en) * 1993-03-31 1999-09-21 Vlsi Technology, Inc. Automated optimization of hierarchical netlists
US6173435B1 (en) * 1998-02-20 2001-01-09 Lsi Logic Corporation Internal clock handling in synthesis script
US7240303B1 (en) * 1999-11-30 2007-07-03 Synplicity, Inc. Hardware/software co-debugging in a hardware description language
US6857110B1 (en) * 2001-01-30 2005-02-15 Stretch, Inc. Design methodology for merging programmable logic into a custom IC
US6877139B2 (en) * 2002-03-18 2005-04-05 Fishtail Design Automation Inc. Automated approach to constraint generation in IC design
US7194400B2 (en) * 2002-04-04 2007-03-20 International Business Machines Corporation Method and system for reducing storage and transmission requirements for simulation results
US6836874B2 (en) * 2002-06-26 2004-12-28 Agilent Technologies, Inc. Systems and methods for time-budgeting a complex hierarchical integrated circuit
US7308666B1 (en) * 2004-12-16 2007-12-11 Cadence Design Systems, Inc. Method and an apparatus to improve hierarchical design implementation
EP1907957A4 (en) * 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US7694249B2 (en) * 2005-10-07 2010-04-06 Sonics, Inc. Various methods and apparatuses for estimating characteristics of an electronic system's design
US7694253B2 (en) * 2006-05-24 2010-04-06 The Regents Of The University Of California Automatically generating an input sequence for a circuit design using mutant-based verification
US8453083B2 (en) * 2006-07-28 2013-05-28 Synopsys, Inc. Transformation of IC designs for formal verification

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996023263A1 (en) * 1995-01-25 1996-08-01 Lsi Logic Corporation Timing shell generation through netlist reduction

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JPN5008019661, HAKAN YALCIN, et al, "Fast and Accurate Timing Characterization Using Functional Information", IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 200102, Vol. 20, No. 2, pages 315−331, US, IEEE *
JPN5008019662, KUKIMITO Y, et al, "Hierarchical Functional Timing Analysis", DESIGN AUTOMATION CONFERENCE, 19980615, pages 580−585, US, IEEE *

Also Published As

Publication number Publication date
US20090271750A1 (en) 2009-10-29
CN101317179A (zh) 2008-12-03
WO2007063513A1 (en) 2007-06-07
EP1958103A1 (en) 2008-08-20

Similar Documents

Publication Publication Date Title
JP2009517764A (ja) 階層的soc設計でマージするタイミング規制
US9165098B1 (en) Machine readable products for single pass parallel hierarchical timing closure of integrated circuit designs
US8627249B1 (en) Method and system for generating design constraints
US8977994B1 (en) Circuit design system and method of generating hierarchical block-level timing constraints from chip-level timing constraints
US8196075B1 (en) Generation of input/output models
EP3324317B1 (en) Methods for verifying retimed circuits with delayed initialization
US8719752B1 (en) Hierarchical crosstalk noise analysis model generation
US9298872B2 (en) Apportioning synthesis effort for better timing closure
US20170061067A1 (en) Timing window manipulation for noise reduction
US6484292B1 (en) Incremental logic synthesis system for revisions of logic circuit designs
JP4495865B2 (ja) 業者間アプリケーションサービスプロバイダ
US8893068B1 (en) Techniques to generate a more accurate simulation model
US7979262B1 (en) Method for verifying connectivity of electrical circuit components
JP2004102703A (ja) レジスタ転送レベル設計支援装置
JP2009223661A (ja) 検証支援プログラム、該プログラムを記録した記録媒体、検証支援装置、および検証支援方法
US8127264B2 (en) Methods for designing integrated circuits employing context-sensitive and progressive rules and an apparatus employing one of the methods
US9223910B1 (en) Performance and memory efficient modeling of HDL ports for simulation
US9600613B1 (en) Block-level code coverage in simulation of circuit designs
US20170249409A1 (en) Emulation of synchronous pipeline registers in integrated circuits with asynchronous interconnection resources
US9489480B1 (en) Techniques for compiling and generating a performance analysis for an integrated circuit design
US6516453B1 (en) Method for timing analysis during automatic scheduling of operations in the high-level synthesis of digital systems
US6453448B1 (en) Functional level configuration of input-output test circuitry
Robertson et al. Timing verification of dynamically reconfigurable logic for the Xilinx Virtex FPGA series
JP2005165405A (ja) 半導体集積回路の設計装置および半導体集積回路の設計方法
US8443327B2 (en) Reassembling scattered logic blocks in integrated circuits

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110104