JP2008211090A - Method and apparatus for manufacturing semiconductor device - Google Patents

Method and apparatus for manufacturing semiconductor device Download PDF

Info

Publication number
JP2008211090A
JP2008211090A JP2007048065A JP2007048065A JP2008211090A JP 2008211090 A JP2008211090 A JP 2008211090A JP 2007048065 A JP2007048065 A JP 2007048065A JP 2007048065 A JP2007048065 A JP 2007048065A JP 2008211090 A JP2008211090 A JP 2008211090A
Authority
JP
Japan
Prior art keywords
film
metal cap
cap layer
gas
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007048065A
Other languages
Japanese (ja)
Other versions
JP2008211090A5 (en
Inventor
Masanobu Hatanaka
正信 畠中
Kanako Tsumagari
加奈子 津曲
Michio Ishikawa
道夫 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2007048065A priority Critical patent/JP2008211090A/en
Priority to KR1020097020079A priority patent/KR101181389B1/en
Priority to CN2011102702679A priority patent/CN102290372A/en
Priority to KR1020117019031A priority patent/KR20110099064A/en
Priority to US12/528,811 priority patent/US8043963B2/en
Priority to PCT/JP2008/053163 priority patent/WO2008105360A1/en
Priority to CN2008800062867A priority patent/CN101627459B/en
Priority to TW097106539A priority patent/TWI392025B/en
Publication of JP2008211090A publication Critical patent/JP2008211090A/en
Publication of JP2008211090A5 publication Critical patent/JP2008211090A5/ja
Priority to US13/273,612 priority patent/US8367542B2/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device, where reliability and productivity are improved in a metal cap layer, and to provide an apparatus for manufacturing the semiconductor device. <P>SOLUTION: A second interlayer insulating film and first wiring, or a second wiring layer and a hard mask are formed on the surface of a silicon substrate 2, the silicon substrate 2 is conveyed to a reaction chamber S, and N<SB>2</SB>gas excited by microwaves is introduced to the reaction chamber S. Ar gas allows Zr(BH<SB>4</SB>)<SB>4</SB>stored in a supply tank T to bubble, and the Ar gas containing Zr(BH<SB>4</SB>)<SB>4</SB>is introduced to the reaction chamber S as Zr(BH<SB>4</SB>)<SB>4</SB>gas. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置の製造方法及び半導体装置の製造装置に関する。   The present invention relates to a semiconductor device manufacturing method and a semiconductor device manufacturing apparatus.

半導体装置では、微細化や多層化の進展に伴い、電流密度の増加によるエレクトロマイクレーション(EM:Electro migration )が深刻化する。高いEM耐性を有する銅(Cu)の多層配線技術は、半導体装置を高集積化させる上で不可欠である。   In semiconductor devices, with the progress of miniaturization and multilayering, electromigration (EM) due to an increase in current density becomes serious. The multilayer wiring technology of copper (Cu) having high EM resistance is indispensable for highly integrating semiconductor devices.

Cu配線の製造工程には、配線形状に応じたトレンチを予め絶縁層に形成し、該トレンチにCuを充填して配線を形成する、いわゆるダマシン(Damascene )法が利用される。さらに、Cu配線の製造工程には、配線用のトレンチにビアホール(Via-Hole)を予め形成し、トレンチとビアホールの双方にCuを充填して配線とビアコンタクトを同時に形成する、いわゆるデュアルダマシン(Dual-Damascene )法が利用される。   In the manufacturing process of the Cu wiring, a so-called damascene method is used in which a trench corresponding to the wiring shape is formed in an insulating layer in advance and the wiring is formed by filling the trench with Cu. Furthermore, in the manufacturing process of Cu wiring, a via hole (Via-Hole) is formed in a wiring trench in advance, and both the trench and the via hole are filled with Cu to form a wiring and a via contact at the same time. Dual-Damascene) method is used.

ダマシンプロセス後のCu配線には、Cu配線とCu配線上の絶縁層(例えば、底誘電率膜:Low-k 膜)との間にSiCやSiNなどのキャップ層が積層される。キャップ層は、Cu配線表面の酸化防止膜、Cuの拡散防止膜、ビアホールのエッチストップ膜として機能する。一方、これらSiCやSiNなどの絶縁膜からなるキャップ層は、Cu配線との間の密着性が弱いために、Cu配線の信頼性を低下させる。また、ビアホール形成時のエッチング工程を複雑にして、半導体装置の生産性を損なう。   In the Cu wiring after the damascene process, a cap layer such as SiC or SiN is laminated between the Cu wiring and an insulating layer (for example, a bottom dielectric film: Low-k film) on the Cu wiring. The cap layer functions as an antioxidant film on the surface of the Cu wiring, a Cu diffusion preventing film, and an etch stop film for the via hole. On the other hand, since the cap layer made of an insulating film such as SiC or SiN has low adhesion to the Cu wiring, the reliability of the Cu wiring is lowered. In addition, the etching process when forming the via hole is complicated, and the productivity of the semiconductor device is impaired.

そこで、Cu多層配線技術では、上記の問題を解消させるため、従来から、Cu配線上のキャップ層に金属材料を適用する提案がなされている。金属材料からなるキャップ層(以下単に、メタルキャップ層という。)は、Cu配線との間の密着性が高いこと、比抵抗値が低いこと、バリア性が高いこと(Low-k 膜からの水分やCu配線からのCu原子に対するバリア性が高いこと)、Cu配線上にのみ形成される選択性を有すること、が要求される。   Therefore, in the Cu multilayer wiring technology, in order to solve the above-described problem, proposals have conventionally been made to apply a metal material to the cap layer on the Cu wiring. A cap layer made of a metal material (hereinafter simply referred to as a metal cap layer) has high adhesion to the Cu wiring, low specific resistance, and high barrier properties (moisture from the low-k film). And a high barrier property against Cu atoms from the Cu wiring) and a selectivity to be formed only on the Cu wiring.

特許文献1は、無電解メッキ法を利用し、Cu配線表面に選択的にコバルトタングステンリン(CoWP)を析出させ、さらに、CoWP層の表面をサリサイド化してメタルキャップ層を形成させる。これにより、メタルキャップ層としての密着性、導電性、バリア性、成膜選択性を満たすことができ、かつ、メタルキャップ層の耐酸化性を向上させることができる。   Patent Document 1 uses an electroless plating method to selectively deposit cobalt tungsten phosphorus (CoWP) on the surface of a Cu wiring, and further salicide the surface of the CoWP layer to form a metal cap layer. Thereby, the adhesiveness, conductivity, barrier property, and film formation selectivity as the metal cap layer can be satisfied, and the oxidation resistance of the metal cap layer can be improved.

一方、特許文献2は、メタルキャップ層の材料として、窒化ジルコニウムや窒化ジルコニウム化合物などを用い、Cu配線を含む基板の表面全体にメタルキャップ層を形成させ、Cu配線上にのみ選択的に導電性を与える。これによれば、上記の成膜選択性を要することなく、メタルキャップ層としての機能を達成させることができる。
特開2002−43315号公報 特開2003−17496号公報
On the other hand, Patent Document 2 uses zirconium nitride or a zirconium nitride compound as a material for the metal cap layer, and forms a metal cap layer on the entire surface of the substrate including the Cu wiring, so that the metal cap layer is selectively conductive only on the Cu wiring. give. According to this, the function as a metal cap layer can be achieved without requiring the film formation selectivity described above.
JP 2002-43315 A JP 2003-17496 A

しかしながら、特許文献1は、成膜選択性を得るために無電解メッキ法を利用する。無電解メッキ法では、CoWP層の形状や膜厚が、薬液の濃度や酸化還元雰囲気などの影響を大きく受ける。この結果、CoWPの析出状況が、Cu配線の粗密、表面積、形状などに応じて大きく変動し、隣接するCoWP層の短絡やCu配線の被覆不良を招いていた。   However, Patent Document 1 uses an electroless plating method in order to obtain film formation selectivity. In the electroless plating method, the shape and film thickness of the CoWP layer are greatly affected by the concentration of the chemical solution and the oxidation-reduction atmosphere. As a result, the deposition state of CoWP greatly fluctuated depending on the density, surface area, shape, etc. of the Cu wiring, resulting in a short circuit between adjacent CoWP layers and defective coating of the Cu wiring.

また、無電解メッキ法は、成膜選択性を実現させるために、ダマシンプロセス後のCu配線の表面やLow-k 膜の表面など、薬液に浸漬させる表面を極めて清浄な状態にさせる必要がある。そのため、清浄化に伴う表面処理工程の増加を招き、半導体装置の生産性を損なうものであった。   In addition, in order to realize film formation selectivity, the electroless plating method requires that the surfaces immersed in the chemical solution, such as the surface of the Cu wiring after the damascene process and the surface of the low-k film, be in an extremely clean state. . For this reason, an increase in the surface treatment process accompanying the cleaning is caused, and the productivity of the semiconductor device is impaired.

一方、特許文献2は、テトラキスジエチルアミノジルコニウム(TDEAZ)を用いた窒化ジルコニウム(ZrN)に関する製造方法のみを開示し、窒化ジルコニウム化合物に関する製造方法については、その原料や条件などに関し、何ら開示していない。しかも、本願発明者らによる実験によれば、TDEMAを用いたZrNの成膜方法では、パウダー状のZrNや副生成物などを同時に多量に生成し、半導体装置を製造する上で十分なパーティクルレベルを得難いものであった。また、パウダー状のZrNや副生成物が原料ガスの供給系や排気系に堆積し、製造装置の安定稼動を不可能にさせるものであった。   On the other hand, Patent Document 2 discloses only a production method relating to zirconium nitride (ZrN) using tetrakisdiethylaminozirconium (TDEAZ), and does not disclose any production method relating to a zirconium nitride compound with respect to its raw materials and conditions. . Moreover, according to experiments by the inventors of the present application, the ZrN film forming method using TDEMA generates a sufficient amount of powdery ZrN and by-products at the same time to produce a sufficient particle level for manufacturing a semiconductor device. It was hard to get. In addition, powdery ZrN and by-products are deposited in the raw material gas supply system and the exhaust system, making stable operation of the manufacturing apparatus impossible.

本願発明は、上記問題を解決するためになされたものであって、メタルキャップ層の信頼性と生産性を向上させた半導体装置及び半導体装置の製造方法に関するものである。   The present invention has been made to solve the above-described problem, and relates to a semiconductor device and a method for manufacturing the semiconductor device in which the reliability and productivity of a metal cap layer are improved.

本発明者らは、メタルバリア材料の1つとしてZrNを検討する中で、ホウ素(B)を含有したZrB膜が、ZrN膜と同じく、金属配線に対し良好な密着性と高いバリア性を有し、かつ、その導電性に対し高い下地依存性を有することを見出した。 In the study of ZrN as one of the metal barrier materials, the inventors of the present invention used a ZrB x N y film containing boron (B), as with the ZrN film, having good adhesion to metal wiring and a high barrier. And has been found to have a high base dependency on the conductivity.

すなわち、本発明者は、ZrB膜が、メタルキャップ層として良好な密着性、高い導電性、高いバリア性を有し、かつ、金属膜上(例えば、Cu配線上)で高い導電性を有し、絶縁膜上(例えば、Low-k 膜上やハードマスク上)で高い絶縁性を有することを見出した。そして、このZrB膜の原料ガスとして、Zr(BHと、励起された窒素ガス(Nガス)とを用いることにより、パーティクルの生成を回避でき、安定した反応系を構築できることを見出した。 That is, the present inventor has found that the ZrB x N y film has good adhesion, high conductivity, and high barrier properties as a metal cap layer, and has high conductivity on the metal film (for example, on Cu wiring). It has been found that it has a high insulating property on an insulating film (for example, on a low-k film or a hard mask). By using Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) as the source gas for this ZrB x N y film, generation of particles can be avoided and a stable reaction system is constructed. I found out that I can do it.

上記目的を達成するため、請求項1に記載の発明では、素子領域を有する半導体基板に絶縁層を積層する絶縁層工程と、前記絶縁層に凹部を形成する凹部工程と、前記凹部に金属層を埋め込む金属層工程と、前記絶縁層の表面と前記金属層の表面とを略同一面に平坦化する平坦化工程と、前記半導体基板を有した反応室に、Zr(BHと励起された窒素ガスとを供給し、平坦化した前記絶縁層の表面及び前記金属層の表面に、少なくともジルコニウム元素と窒素元素とを含むメタルキャップ層を成膜するメタルキャップ層工程と、を備えたことを要旨とする。 In order to achieve the above object, according to the first aspect of the present invention, an insulating layer step of stacking an insulating layer on a semiconductor substrate having an element region, a concave step of forming a concave portion in the insulating layer, and a metal layer in the concave portion A metal layer step of embedding, a planarization step of planarizing the surface of the insulating layer and the surface of the metal layer in substantially the same plane, and excitation with Zr (BH 4 ) 4 in the reaction chamber having the semiconductor substrate. And a metal cap layer step of forming a metal cap layer containing at least zirconium element and nitrogen element on the planarized surface of the insulating layer and the surface of the metal layer by supplying the nitrogen gas This is the gist.

この構成によれば、ZrB(xは0を含む)からなるメタルキャップ層を、Zr(BHと、励起された窒素ガス(Nガス)とによる反応系で生成させることができる。したがって、メタルキャップ層が、金属層の粗密、表面積、形状などに関わらず、金属層に応じた領域にのみ導電性を発現し、隣接する金属層間の短絡を回避させる。また、メタルキャップ層が、その成膜選択性を必要としない分だけ、複雑な洗浄工程を省くことができる。そして、メタルキャップ層に対し、パーティクルの生成を回避させた反応系を構築させることができる。この結果、メタルキャップ層の信頼性と生産性を向上させることができる。 According to this configuration, a metal cap layer made of ZrB x N y (x includes 0) is generated in a reaction system using Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas). Can do. Therefore, regardless of the density, surface area, shape, etc. of the metal layer, the metal cap layer exhibits conductivity only in the region corresponding to the metal layer, and avoids a short circuit between adjacent metal layers. In addition, a complicated cleaning process can be omitted because the metal cap layer does not require film formation selectivity. And the reaction system which made the metal cap layer avoid the production | generation of a particle can be constructed | assembled. As a result, the reliability and productivity of the metal cap layer can be improved.

請求項2に記載の発明では、前記メタルキャップ層工程が、前記反応室の外側で前記窒素ガスにマイクロ波を照射し、励起された前記窒素ガスを前記反応室に導入することを要旨とする。   The gist of the invention according to claim 2 is that the metal cap layer step irradiates the nitrogen gas with microwaves outside the reaction chamber and introduces the excited nitrogen gas into the reaction chamber. .

この構成によれば、反応室において窒素ガスを励起させる場合に比べ、励起された窒素ガスを、反応に要する量だけ供給させることができる。したがって、Zr(BHと励起された窒素ガスとによる反応系を、より安定させることができる。しかも、窒素ガスの励起に際し、半導体基板の損傷を回避させることができる。 According to this structure, compared with the case where nitrogen gas is excited in the reaction chamber, the excited nitrogen gas can be supplied in an amount required for the reaction. Therefore, the reaction system using Zr (BH 4 ) 4 and the excited nitrogen gas can be further stabilized. In addition, damage to the semiconductor substrate can be avoided when the nitrogen gas is excited.

請求項3に記載の発明では、前記メタルキャップ層工程が、前記Zr(BHと励起された前記窒素ガスとを用いたCVD法により前記メタルキャップ層を成膜することを要旨とする。 The gist of the invention according to claim 3 is that the metal cap layer step forms the metal cap layer by a CVD method using the Zr (BH 4 ) 4 and the excited nitrogen gas. .

請求項4に記載の発明では、請求項1又は2に記載の半導体装置の製造方法であって、前記メタルキャップ層工程は、前記Zr(BHと励起された前記窒素ガスとを用いた原子層蒸着法により前記メタルキャップ層を成膜することを要旨とする。 According to a fourth aspect of the present invention, in the semiconductor device manufacturing method according to the first or second aspect, the metal cap layer process uses the Zr (BH 4 ) 4 and the excited nitrogen gas. The gist is to form the metal cap layer by an atomic layer deposition method.

この構成によれば、ZrB(xは0を含む)からなるメタルキャップ層が、単原子層ずつ積み重ねられるため、メタルキャップ層が、下地の情報(すなわち、下地が導電膜であるか否か)を確実に引き継ぐことができる。この結果、メタルキャップ層の導電性に対し、より確実に下地依存性を与えることができる。 According to this configuration, since the metal cap layers made of ZrB x N y (x includes 0) are stacked on a monoatomic layer basis, the metal cap layer has information on the foundation (that is, whether the foundation is a conductive film). No) can be taken over with certainty. As a result, the base dependency can be more reliably given to the conductivity of the metal cap layer.

請求項5に記載の発明では、請求項1〜4のいずれか1つに記載の半導体装置の製造方法であって、前記メタルキャップ層工程が、前記半導体基板を260℃未満、好ましくは210℃〜250℃に加熱して前記メタルキャップ層を成膜することを要旨とする。   According to a fifth aspect of the present invention, in the method for manufacturing a semiconductor device according to any one of the first to fourth aspects, the metal cap layer step is performed at a temperature of less than 260 ° C., preferably 210 ° C., on the semiconductor substrate. The gist is to form the metal cap layer by heating to ˜250 ° C.

この構成によれば、メタルキャップ層の導電性に対し、より確実に下地依存性を与えることができ、より熱的に安定したメタルキャップ層を提供することができる。
上記目的を達成するため、請求項6に記載の発明では、チャンバ本体と、前記チャンバ本体の反応室に設けられ半導体基板を載置するステージと、前記反応室にZr(BHを供給する第一供給手段と、前記反応室に励起された窒素ガスを供給する第二供給手段と、前記第一供給手段及び前記第二供給手段を駆動制御する制御手段と、を備え、前記制御手段は、前記第一供給手段と前記第二供給手段を駆動制御して、前記Zr(BHと励起された前記窒素ガスとを前記反応室に供給し、前記半導体基板の表面に少なくともジルコニウム元素と窒素元素を含むメタルキャップ層を成膜することを要旨とする。
According to this configuration, the conductivity of the metal cap layer can be more reliably provided with base dependency, and a more thermally stable metal cap layer can be provided.
In order to achieve the above object, according to the invention described in claim 6, Zr (BH 4 ) 4 is supplied to the chamber body, a stage provided in the reaction chamber of the chamber body for mounting the semiconductor substrate, and the reaction chamber. A first supply means for performing the above operation, a second supply means for supplying the excited nitrogen gas to the reaction chamber, and a control means for drivingly controlling the first supply means and the second supply means. Drives and controls the first supply means and the second supply means to supply the Zr (BH 4 ) 4 and the excited nitrogen gas to the reaction chamber, and at least zirconium on the surface of the semiconductor substrate. The gist is to form a metal cap layer containing an element and a nitrogen element.

この構成によれば、ZrB(xは0を含む)からなるメタルキャップ層を、Zr(BHと、励起された窒素ガス(Nガス)とによる反応系で生成させることができる。したがって、メタルキャップ層が、金属層の粗密、表面積、形状などに関わらず、金属層に応じた領域にのみ導電性を発現し、隣接する金属層間の短絡を回避させる。また、メタルキャップ層が、その成膜選択性を必要としない分だけ、複雑な洗浄工程を省くことができる。そして、メタルキャップ層に対し、パーティクルの生成を回避させた反応系を構築させることができる。この結果、メタルキャップ層の信頼性と生産性を向上させることができる。 According to this configuration, a metal cap layer made of ZrB x N y (x includes 0) is generated in a reaction system using Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas). Can do. Therefore, regardless of the density, surface area, shape, etc. of the metal layer, the metal cap layer exhibits conductivity only in the region corresponding to the metal layer, and avoids a short circuit between adjacent metal layers. In addition, a complicated cleaning process can be omitted because the metal cap layer does not require film formation selectivity. And the reaction system which made the metal cap layer avoid the production | generation of a particle can be constructed | assembled. As a result, the reliability and productivity of the metal cap layer can be improved.

請求項7に記載の発明では、請求項6に記載の半導体装置の製造装置であって、前記制御手段が、前記第一供給手段と第二供給手段を駆動制御して、前記Zr(BHと励起した前記窒素ガスとを共に前記反応室に供給し、前記半導体基板の表面に少なくともジルコニウム元素と窒素元素を含むメタルキャップ層を成膜することを要旨とする。 According to a seventh aspect of the present invention, in the semiconductor device manufacturing apparatus according to the sixth aspect, the control means drives and controls the first supply means and the second supply means, so that the Zr (BH 4 ) 4 and the excited nitrogen gas are both supplied to the reaction chamber, and a metal cap layer containing at least zirconium element and nitrogen element is formed on the surface of the semiconductor substrate.

請求項8に記載の発明では、請求項6に記載の半導体装置の製造装置であって、前記制御手段は、前記第一供給手段と前記第二供給手段を駆動制御して、前記Zr(BHと励起した前記窒素ガスとを交互に前記反応室に供給し、前記半導体基板の表面にZr(BHを吸着させた後、励起した前記窒素ガスによって、吸着させた前記Zr(BH
を少なくともジルコニウム元素と窒素元素を含むメタルキャップ層に改質させることを要旨とする。
According to an eighth aspect of the present invention, in the semiconductor device manufacturing apparatus according to the sixth aspect, the control means drives and controls the first supply means and the second supply means, so that the Zr (BH 4 ) 4 and the excited nitrogen gas are alternately supplied to the reaction chamber to adsorb Zr (BH 4 ) 4 on the surface of the semiconductor substrate, and then adsorbed by the excited nitrogen gas. (BH
4 ) The gist is to modify 4 to a metal cap layer containing at least a zirconium element and a nitrogen element.

この構成によれば、ZrB(xは0を含む)からなるメタルキャップ層が、単原子層ずつ積み重ねられるため、メタルキャップ層が、下地の情報(すなわち、下地が導電膜であるか否か)を確実に引き継ぐことができる。この結果、メタルキャップ層の導電性に対し、より確実に下地依存性を与えることができる。また、Zr(BHの吸着反応と改質反応とを交互に行う分だけ、ZrB膜の膜厚や電気的特性の均一性を向上させることができる。 According to this configuration, since the metal cap layers made of ZrB x N y (x includes 0) are stacked on a monoatomic layer basis, the metal cap layer has information on the foundation (that is, whether the foundation is a conductive film). No) can be taken over with certainty. As a result, the base dependency can be more reliably given to the conductivity of the metal cap layer. In addition, the uniformity of the film thickness and electrical characteristics of the ZrB x N y film can be improved by the amount of alternately performing the adsorption reaction and the reforming reaction of Zr (BH 4 ) 4 .

上記したように、本発明によれば、メタルキャップ層の信頼性と生産性を向上させた半導体装置及び半導体装置の製造方法を提供することができる。   As described above, according to the present invention, it is possible to provide a semiconductor device and a semiconductor device manufacturing method in which the reliability and productivity of the metal cap layer are improved.

(第一実施形態)
以下、本発明を具体化した第一実施形態を図面に従って説明する。まず、本発明を利用して製造した半導体装置について説明する。半導体装置は、例えば、各種RAMや各種ROMを含むメモリ、MPUや汎用ロジックを含むロジックなどである。図1は、半導体装置を説明する要部断面図である。
(First embodiment)
Hereinafter, a first embodiment of the present invention will be described with reference to the drawings. First, a semiconductor device manufactured using the present invention will be described. The semiconductor device is, for example, a memory including various RAMs and various ROMs, a logic including an MPU and general-purpose logic. FIG. 1 is a cross-sectional view of a main part illustrating a semiconductor device.

(半導体装置1)
図1において、半導体装置1は、半導体基板を構成するシリコン基板2を備えている。シリコン基板2は、その表面(すなわち、図1に示す上面)に素子分離領域2aと、同素子分離領域2aに囲まれた素子領域2bを有している。素子分離領域2aには、例えば、STI(Shallow Trench Isolation )構造を呈するシリコン酸化膜などの絶縁膜が埋め
込まれている。素子領域2bには、MOSトランジスタ3が形成されている。MOSトランジスタ3は、例えば、素子領域2bに形成されたゲート絶縁膜4と、ゲート絶縁膜4の両側に形成されたソース・ドレイン領域5と、ゲート絶縁膜4に積層されたゲート電極6と、ゲート電極6の外側面を覆うサイドウォール7と、によって構成される。
(Semiconductor device 1)
In FIG. 1, a semiconductor device 1 includes a silicon substrate 2 constituting a semiconductor substrate. The silicon substrate 2 has an element isolation region 2a and an element region 2b surrounded by the element isolation region 2a on the surface (that is, the upper surface shown in FIG. 1). For example, an insulating film such as a silicon oxide film having an STI (Shallow Trench Isolation) structure is buried in the element isolation region 2a. A MOS transistor 3 is formed in the element region 2b. The MOS transistor 3 includes, for example, a gate insulating film 4 formed in the element region 2b, source / drain regions 5 formed on both sides of the gate insulating film 4, a gate electrode 6 stacked on the gate insulating film 4, And a sidewall 7 that covers the outer surface of the gate electrode 6.

シリコン基板2の表面には、MOSトランジスタ3を覆う第1層間絶縁膜8が積層されている。第1層間絶縁膜8は、例えば、リンを添加したシリコン酸化膜(PSG)やリン及びボロンを添加したシリコン酸化膜(BPSG)などにより構成することができる。第1層間絶縁膜8には、ソース・ドレイン領域5までを貫通する凹部(以下単に、コンタクトホール9という。)が形成されている。コンタクトホール9の内側には、それぞれコンタクトプラグ10が形成されている。コンタクトプラグ10は、コンタクト層/バリア層/プラグ層(例えば、チタンシリサイド/窒化チタン/タングステン)からなる積層構造により構成することができる。   A first interlayer insulating film 8 covering the MOS transistor 3 is laminated on the surface of the silicon substrate 2. The first interlayer insulating film 8 can be constituted by, for example, a silicon oxide film (PSG) to which phosphorus is added or a silicon oxide film (BPSG) to which phosphorus and boron are added. In the first interlayer insulating film 8, recesses (hereinafter simply referred to as contact holes 9) penetrating to the source / drain regions 5 are formed. Contact plugs 10 are respectively formed inside the contact holes 9. The contact plug 10 can be configured by a laminated structure including a contact layer / barrier layer / plug layer (for example, titanium silicide / titanium nitride / tungsten).

第1層間絶縁膜8の表面には、第2層間絶縁膜11が積層されている。第2層間絶縁膜11には、例えば、シリコン酸化膜やリンを添加したシリコン酸化膜などを利用することができる。第2層間絶縁膜11には、コンタクトホール9(あるいは、コンタクトプラグ10)にまで貫通する凹部(以下単に、第1トレンチ12という。)が形成されている。第1トレンチ12の内側には、第1配線13が形成されている。第1配線13は、第1バリア層14/第1配線層15(例えば、窒化タンタルや窒化チタン/銅)からなる積層構造により構成することができる。   A second interlayer insulating film 11 is stacked on the surface of the first interlayer insulating film 8. As the second interlayer insulating film 11, for example, a silicon oxide film, a silicon oxide film added with phosphorus, or the like can be used. In the second interlayer insulating film 11, a recess (hereinafter simply referred to as the first trench 12) penetrating to the contact hole 9 (or the contact plug 10) is formed. A first wiring 13 is formed inside the first trench 12. The first wiring 13 can be configured by a laminated structure composed of a first barrier layer 14 / first wiring layer 15 (for example, tantalum nitride or titanium nitride / copper).

第2層間絶縁膜11の表面には、第2層間絶縁膜11と第1配線13(すなわち、第1バリア層14及び第1配線層15)とに共通する第1メタルキャップ層16が積層されて
いる。第1メタルキャップ層16は、高い耐酸化性を有した窒化ジルコニウム(ZrN)もしくは窒化ホウ化ジルコニウム(ZrB)を主成分とする層であり、下地の導電性に応じた導電性を発現する。第1メタルキャップ層16は、例えば、第1配線13の表面に対応する領域(図1において濃いドットで示す領域)に数〜十数[μΩ・cm]の比抵抗値を有する。また、第1メタルキャップ層16は、第2層間絶縁膜11の表面に対応する領域(図1において薄いドットで示す領域)に無限大の比抵抗値を有する。
A first metal cap layer 16 common to the second interlayer insulating film 11 and the first wiring 13 (that is, the first barrier layer 14 and the first wiring layer 15) is laminated on the surface of the second interlayer insulating film 11. ing. The first metal cap layer 16 is a layer mainly composed of zirconium nitride (ZrN) or zirconium nitride boride (ZrB x N y ) having high oxidation resistance, and has conductivity according to the conductivity of the base. To express. For example, the first metal cap layer 16 has a specific resistance value of several to several tens [μΩ · cm] in a region corresponding to the surface of the first wiring 13 (a region indicated by a dark dot in FIG. 1). Further, the first metal cap layer 16 has an infinite specific resistance value in a region corresponding to the surface of the second interlayer insulating film 11 (a region indicated by thin dots in FIG. 1).

ここで、第1配線13の表面に対応する第1メタルキャップ層16の領域を、第1導電領域16aという。また、第2層間絶縁膜11の表面に対応する第1メタルキャップ層16の領域を、第1絶縁領域16bという。   Here, a region of the first metal cap layer 16 corresponding to the surface of the first wiring 13 is referred to as a first conductive region 16a. A region of the first metal cap layer 16 corresponding to the surface of the second interlayer insulating film 11 is referred to as a first insulating region 16b.

第1メタルキャップ層16は、水分に対し高いバリア性を有する。第1メタルキャップ層16は、第1導電領域16aと第1バリア層14とにより第1配線層15を囲い第1配線層15の酸化を阻止する。第1メタルキャップ層16は、第2層間絶縁膜11の表面を覆い、第2層間絶縁膜11の吸湿を阻止する。第1メタルキャップ層16は、第1配線13に対する高い密着性と高いバリア性とにより、第1配線13の金属拡散や第1配線13のマイグレーションを防止する。   The first metal cap layer 16 has a high barrier property against moisture. The first metal cap layer 16 surrounds the first wiring layer 15 by the first conductive region 16 a and the first barrier layer 14 and prevents oxidation of the first wiring layer 15. The first metal cap layer 16 covers the surface of the second interlayer insulating film 11 and prevents the second interlayer insulating film 11 from absorbing moisture. The first metal cap layer 16 prevents metal diffusion of the first wiring 13 and migration of the first wiring 13 due to high adhesion to the first wiring 13 and high barrier properties.

第1メタルキャップ層16は、第1導電領域16aで高い導電性を有し、かつ、第1絶縁領域16bで高い絶縁性を有する。このため、第1メタルキャップ層16は、第1配線13の粗密、表面積、形状などに関わらず、第1配線13に対応する第1導電領域16aのみで導電性を発現し、かつ、第2層間絶縁膜11に対応する第1絶縁領域16bで絶縁性を発現する。   The first metal cap layer 16 has high conductivity in the first conductive region 16a and high insulation in the first insulating region 16b. Therefore, the first metal cap layer 16 exhibits conductivity only in the first conductive region 16a corresponding to the first wiring 13 regardless of the density, surface area, shape, etc. of the first wiring 13, and the second The first insulating region 16b corresponding to the interlayer insulating film 11 exhibits insulation.

これにより、第1メタルキャップ層16は、隣接する第1配線13間の短絡を確実に回避させる。また、第1メタルキャップ層16は、シリコン基板2の表面全体(すなわち、第2層間絶縁膜11の表面及び第1配線13の表面)に形成されるため、第1配線13ごとの膜厚差を抑制させることができ、膜厚のバラツキに起因した第1配線13の被覆不良を回避させる。   Thereby, the first metal cap layer 16 reliably avoids a short circuit between the adjacent first wirings 13. Further, since the first metal cap layer 16 is formed on the entire surface of the silicon substrate 2 (that is, the surface of the second interlayer insulating film 11 and the surface of the first wiring 13), the film thickness difference for each first wiring 13. Can be suppressed, and the coating failure of the first wiring 13 due to the variation in film thickness can be avoided.

第1メタルキャップ層16の表面には、第3層間絶縁膜21とトレンチエッチストッパ22とが積層されている。第3層間絶縁膜21は、有機シリカガラスや多孔質のシリカガラスなどの低誘電率膜(以下単に、Low-k 膜という。)により構成することができる。トレンチエッチストッパ22は、第3層間絶縁膜21に対しエッチングの選択比がとれる膜であり、例えば、シリコン窒化膜やシリコン炭化膜などにより構成することができる。これら第3層間絶縁膜21とトレンチエッチストッパ22とには、第1メタルキャップ層16の第1導電領域16aにまで貫通する共通の凹部(以下単に、ビアホール23という。)が形成されている。   A third interlayer insulating film 21 and a trench etch stopper 22 are stacked on the surface of the first metal cap layer 16. The third interlayer insulating film 21 can be composed of a low dielectric constant film (hereinafter simply referred to as a low-k film) such as organic silica glass or porous silica glass. The trench etch stopper 22 is a film having an etching selection ratio with respect to the third interlayer insulating film 21, and can be formed of, for example, a silicon nitride film or a silicon carbide film. The third interlayer insulating film 21 and the trench etch stopper 22 are formed with a common recess (hereinafter simply referred to as a via hole 23) penetrating to the first conductive region 16a of the first metal cap layer 16.

トレンチエッチストッパ22の表面には、第4層間絶縁膜31とハードマスク32とが積層されている。第4層間絶縁膜31は、第3層間絶縁膜21と同じく、例えば、各種のLow-k 膜などにより構成することができる。ハードマスク32は、第4層間絶縁膜31との間でエッチングの選択比がとれる膜であり、例えば、シリコン窒化膜やシリコン炭化膜などにより構成することができる。これら第4層間絶縁膜31とハードマスク32には、ビアホール23に連結する共通の凹部(以下単に、第2トレンチ33という。)が貫通形成されている。   A fourth interlayer insulating film 31 and a hard mask 32 are stacked on the surface of the trench etch stopper 22. As with the third interlayer insulating film 21, the fourth interlayer insulating film 31 can be composed of various low-k films, for example. The hard mask 32 is a film having an etching selectivity with respect to the fourth interlayer insulating film 31, and can be composed of, for example, a silicon nitride film or a silicon carbide film. The fourth interlayer insulating film 31 and the hard mask 32 are formed with a common recess connected to the via hole 23 (hereinafter simply referred to as a second trench 33).

ビアホール23と第2トレンチ33の内側には、第2配線34が形成されている。第2配線34は、ビアホール23に対応するビアコンタクト34aと、第2トレンチ33に対応する第2配線部34bを有する。第2配線34は、第2バリア層35/第2配線層36
(例えば、窒化タンタルや窒化チタン/銅)からなる積層構造により構成することができる。
A second wiring 34 is formed inside the via hole 23 and the second trench 33. The second wiring 34 has a via contact 34 a corresponding to the via hole 23 and a second wiring portion 34 b corresponding to the second trench 33. The second wiring 34 includes a second barrier layer 35 / second wiring layer 36.
(For example, it can be comprised by the laminated structure which consists of tantalum nitride or titanium nitride / copper).

第2配線34は、第1メタルキャップ層16の第1導電領域16aを介して第1配線13と接続する。第1メタルキャップ層16は、その高い耐酸化性により第1導電領域16aの酸化を防ぎ、第1配線13と第2配線34との間の電気的接続を可能にする。   The second wiring 34 is connected to the first wiring 13 through the first conductive region 16 a of the first metal cap layer 16. The first metal cap layer 16 prevents oxidation of the first conductive region 16 a due to its high oxidation resistance, and enables electrical connection between the first wiring 13 and the second wiring 34.

ハードマスク32の表面には、ハードマスク32と第2配線34(すなわち、第2バリア層35及び第2配線層36)とに共通する第2メタルキャップ層37が積層されている。第2メタルキャップ層37は、第1メタルキャップ層16と同じく、ZrB(xは0を含む)を主成分とする層であり、下地の導電性に応じた導電性を有する。第2メタルキャップ層37は、例えば、第2配線34の表面に対応する領域(図1において濃いドットで示す領域)に数〜十数[μΩ・cm]の比抵抗値を有する。また、第2メタルキャップ層37は、ハードマスク32の表面に対応する領域(図1において薄いドットで示す領域)に無限大の比抵抗値を有する。 A second metal cap layer 37 common to the hard mask 32 and the second wiring 34 (that is, the second barrier layer 35 and the second wiring layer 36) is laminated on the surface of the hard mask 32. Similar to the first metal cap layer 16, the second metal cap layer 37 is a layer mainly composed of ZrB x N y (x includes 0), and has conductivity according to the conductivity of the base. For example, the second metal cap layer 37 has a specific resistance value of several to several tens [μΩ · cm] in a region corresponding to the surface of the second wiring 34 (a region indicated by a dark dot in FIG. 1). The second metal cap layer 37 has an infinite specific resistance value in a region corresponding to the surface of the hard mask 32 (a region indicated by thin dots in FIG. 1).

ここで、第2配線34の表面に対応する第2メタルキャップ層37の領域を、第2導電領域37aという。また、ハードマスク32の表面に対応する第2メタルキャップ層37の領域を、第2絶縁領域37bという。   Here, the region of the second metal cap layer 37 corresponding to the surface of the second wiring 34 is referred to as a second conductive region 37a. A region of the second metal cap layer 37 corresponding to the surface of the hard mask 32 is referred to as a second insulating region 37b.

第2メタルキャップ層37は、水分に対し高いバリア性を有する。第2メタルキャップ層37は、第2導電領域37aと第2バリア層35とにより第2配線層36を囲い第2配線層36の酸化を阻止する。第2メタルキャップ層37は、ハードマスク32の表面を覆い、第4層間絶縁膜31の吸湿を阻止してlow-k 膜の誘電率を安定させる。第2メタルキャップ層37は、第2配線34に対する高い密着性と高いバリア性とにより、第2配線34からの金属拡散や第2配線34のマイグレーションを防止する。   The second metal cap layer 37 has a high barrier property against moisture. The second metal cap layer 37 surrounds the second wiring layer 36 by the second conductive region 37a and the second barrier layer 35 and prevents oxidation of the second wiring layer 36. The second metal cap layer 37 covers the surface of the hard mask 32, prevents moisture absorption of the fourth interlayer insulating film 31, and stabilizes the dielectric constant of the low-k film. The second metal cap layer 37 prevents metal diffusion from the second wiring 34 and migration of the second wiring 34 due to high adhesion to the second wiring 34 and high barrier properties.

第2メタルキャップ層37は、第2導電領域37aで高い導電性を有し、かつ、第2絶縁領域37bで高い絶縁性を有する。このため、第2メタルキャップ層37は、第2配線34の粗密、表面積、形状などに関わらず、第2配線34に対応する第2導電領域37aのみで導電性を発現し、かつ、ハードマスク32に対応する第2絶縁領域37bで絶縁性を発現する。   The second metal cap layer 37 has high conductivity in the second conductive region 37a and high insulation in the second insulating region 37b. Therefore, the second metal cap layer 37 exhibits conductivity only in the second conductive region 37a corresponding to the second wiring 34 regardless of the density, surface area, shape, etc. of the second wiring 34, and is a hard mask. The second insulating region 37b corresponding to 32 exhibits insulation.

これにより、第2メタルキャップ層37は、隣接する第2配線34間の短絡を確実に回避させる。また、第2メタルキャップ層37は、シリコン基板2の表面全体(すなわち、ハードマスク32の表面及び第2配線34の表面)に形成されるため、第2配線34ごとの膜厚差を抑制させることができ、膜厚のバラツキに起因した第2配線34の被覆不良を回避させる。   Thereby, the second metal cap layer 37 reliably avoids a short circuit between the adjacent second wirings 34. In addition, since the second metal cap layer 37 is formed on the entire surface of the silicon substrate 2 (that is, the surface of the hard mask 32 and the surface of the second wiring 34), the difference in film thickness for each second wiring 34 is suppressed. Therefore, it is possible to avoid the coating failure of the second wiring 34 due to the variation in film thickness.

(半導体装置の製造装置)
次に、上記半導体装置の製造装置としての成膜装置40について説明する。
図2において、成膜装置40は、ロードロックチャンバ40Lと、同ロードロックチャンバ40Lに連結されたコアチャンバ40Cと、同コアチャンバ40Cに連結された4つの成膜チャンバ40Dと、を有している。ロードロックチャンバ40Lと、コアチャンバ40Cと、各成膜チャンバ40Dは、それぞれ解除可能に連通して共通する真空系を形成可能にする。
(Semiconductor device manufacturing equipment)
Next, the film forming apparatus 40 as the semiconductor device manufacturing apparatus will be described.
In FIG. 2, the film forming apparatus 40 includes a load lock chamber 40L, a core chamber 40C connected to the load lock chamber 40L, and four film forming chambers 40D connected to the core chamber 40C. Yes. The load lock chamber 40L, the core chamber 40C, and the film forming chambers 40D communicate with each other in a releasable manner to form a common vacuum system.

ロードロックチャンバ40Lは、複数のシリコン基板2を減圧空間に収容し、シリコン基板2の成膜処理を開始するとき、複数のシリコン基板2をそれぞれ成膜装置40の内部に搬入する。ロードロックチャンバ40Lは、シリコン基板2の成膜処理を終了するとき
、成膜処理後のシリコン基板2を収容して大気開放し成膜装置40の外部に搬出する。
The load lock chamber 40L accommodates the plurality of silicon substrates 2 in the decompression space, and carries the plurality of silicon substrates 2 into the film forming apparatus 40 when starting the film forming process of the silicon substrate 2, respectively. When the film formation process of the silicon substrate 2 is completed, the load lock chamber 40L accommodates the silicon substrate 2 after the film formation process, releases it to the atmosphere, and carries it out of the film formation apparatus 40.

コアチャンバ40Cは、シリコン基板2の成膜処理を開始するとき、成膜処理前のシリコン基板2をロードロックチャンバ40Lから搬入し各成膜チャンバ40Dに搬送する。コアチャンバ40Cは、シリコン基板2の成膜処理を終了するとき、成膜処理後のシリコン基板2を各成膜チャンバ40Dから搬入しロードロックチャンバ40Lに搬送する。   When starting the film forming process of the silicon substrate 2, the core chamber 40C carries the silicon substrate 2 before the film forming process from the load lock chamber 40L and transfers it to each film forming chamber 40D. When the film forming process of the silicon substrate 2 is finished, the core chamber 40C carries the silicon substrate 2 after the film forming process from each film forming chamber 40D and transports it to the load lock chamber 40L.

各成膜チャンバ40Dは、それぞれCVD法あるいは原子層蒸着法(ALD:Atomic Layer Deposition )を用いてZrB膜を成膜するチャンバである。各成膜チャンバ40Dは、それぞれシリコン基板2の成膜処理を実行するとき、シリコン基板2をコアチャンバ40Cから搬入し、シリコン基板2の表面にZrB膜、すなわち上記第1及び第2メタルキャップ層16,37を成膜する。 Each film formation chamber 40D is a chamber for forming a ZrB x N y film using a CVD method or an atomic layer deposition (ALD) method. When each film forming chamber 40D performs the film forming process of the silicon substrate 2, the silicon substrate 2 is loaded from the core chamber 40C, and a ZrB x N y film, that is, the first and second films are formed on the surface of the silicon substrate 2. Metal cap layers 16 and 37 are formed.

図3において、成膜チャンバ40Dは、その上部を開口したチャンバ本体41と、同チャンバ本体41の上部に配設されて上部開口を開閉可能にするチャンバリッド42と、を有する。成膜チャンバ40Dは、これらチャンバ本体41とチャンバリッド42とに囲まれた内部空間(以下単に、反応室Sという。)を有する。   In FIG. 3, the film forming chamber 40 </ b> D includes a chamber main body 41 having an upper opening, and a chamber lid 42 disposed on the upper portion of the chamber main body 41 so that the upper opening can be opened and closed. The film forming chamber 40D has an internal space (hereinafter simply referred to as a reaction chamber S) surrounded by the chamber body 41 and the chamber lid 42.

チャンバ本体41には、シリコン基板2を載置する基板ステージ43が配設されている。基板ステージ43は、抵抗加熱ヒータを搭載したステージである。基板ステージ43は、シリコン基板2を載置するとき、シリコン基板2を所定の温度(例えば、200[℃]〜240[℃])に昇温させる。基板ステージ43の下側には、昇降機構44が連結されている。昇降機構44は、基板ステージ43を上下方向に昇降しシリコン基板2の搬入や搬出を可能にする。   The chamber body 41 is provided with a substrate stage 43 on which the silicon substrate 2 is placed. The substrate stage 43 is a stage on which a resistance heater is mounted. When placing the silicon substrate 2, the substrate stage 43 raises the temperature of the silicon substrate 2 to a predetermined temperature (for example, 200 [° C.] to 240 [° C.]). An elevating mechanism 44 is connected to the lower side of the substrate stage 43. The elevating mechanism 44 moves the substrate stage 43 up and down to allow the silicon substrate 2 to be carried in and out.

チャンバ本体41の一側には、排気ポートPDを介し排気ポンプ45が接続されている。排気ポンプ45は、ターボ分子ポンプやドライポンプなどの各種のポンプにより構成され、反応室Sの圧力を所定の圧力(例えば、1[Pa]〜1000[Pa])にまで減圧する。   An exhaust pump 45 is connected to one side of the chamber body 41 through an exhaust port PD. The exhaust pump 45 includes various pumps such as a turbo molecular pump and a dry pump, and reduces the pressure in the reaction chamber S to a predetermined pressure (for example, 1 [Pa] to 1000 [Pa]).

チャンバリッド42の下側には、反応室Sにガスを導入するためのシャワーヘッド46が配設されている。シャワーヘッド46は、複数の第一ガス供給孔H1と、第一ガス供給孔H1から独立する複数の第二ガス供給孔H2と、を備えている。シャワーヘッド46は、各第一ガス供給孔H1から反応室Sに向けてZr(BHガスを導入する。また、シャワーヘッド46は、各第二ガス供給孔H2から反応室Sに窒素ガスを導入する。 A shower head 46 for introducing gas into the reaction chamber S is disposed below the chamber lid 42. The shower head 46 includes a plurality of first gas supply holes H1 and a plurality of second gas supply holes H2 that are independent from the first gas supply holes H1. The shower head 46 introduces Zr (BH 4 ) 4 gas from the first gas supply holes H 1 toward the reaction chamber S. Further, the shower head 46 introduces nitrogen gas into the reaction chamber S from each second gas supply hole H2.

チャンバリッド42の上部一側には、第一ガスポートP1が設けられている。第一ガスポートP1は、チャンバリッド42の内部を通してシャワーヘッド46の各第一ガス供給孔H1と連通している。第一ガスポートP1は、チャンバリッド42の外部において供給配管及び供給バルブを介し供給タンクTに連結されている。供給タンクTは、0℃の保温下でZr(BHを収容するタンクであって、マスフローコントローラMC1に連結されている。 A first gas port P <b> 1 is provided on the upper side of the chamber lid 42. The first gas port P <b> 1 communicates with each first gas supply hole H <b> 1 of the shower head 46 through the inside of the chamber lid 42. The first gas port P <b> 1 is connected to the supply tank T via a supply pipe and a supply valve outside the chamber lid 42. The supply tank T is a tank that stores Zr (BH 4 ) 4 while keeping the temperature at 0 ° C., and is connected to the mass flow controller MC1.

マスフローコントローラMC1は、キャリアガス(例えば、アルゴン(Ar))の供給系に連結され、供給タンクTの内部に所定の流量のArを供給する。マスフローコントローラMC1は、例えば、10[sccm ]〜500[sccm ]の流量範囲でArの供給量を制御する。マスフローコントローラMC1がキャリアガスを供給するとき、供給タンクTは、収容するZr(BHをバブリングさせて、Zr(BHを含むキャリアガス(以下単に、Zr(BHガスという。)を第一ガスポートP1に供給する。Zr(BHガスは、第一ガスポートP1を通して各第一ガス供給孔H1から反応室Sに導
入される。
The mass flow controller MC1 is connected to a carrier gas (for example, argon (Ar)) supply system, and supplies Ar at a predetermined flow rate into the supply tank T. The mass flow controller MC1 controls the supply amount of Ar in a flow rate range of 10 [sccm] to 500 [sccm], for example. When mass flow controller MC1 supplies the carrier gas, supply tank T is bubbling Zr (BH 4) 4 which houses, Zr (BH 4) 4 carrier gas (hereinafter simply containing, Zr (BH 4) 4 gas Is supplied to the first gas port P1. Zr (BH 4 ) 4 gas is introduced into the reaction chamber S from each first gas supply hole H1 through the first gas port P1.

チャンバリッド42の上端部には、第二ガスポートP2が設けられている。第二ガスポートP2は、チャンバリッド42の内部を通してシャワーヘッド46の各第二ガス供給孔H2と連通している。第二ガスポートP2は、チャンバリッド42の外部において供給配管及び供給バルブを介しマスフローコントローラMC2、マスフローコントローラMC3、及びマスフローコントローラMC4と連結している。   A second gas port P <b> 2 is provided at the upper end portion of the chamber lid 42. The second gas port P <b> 2 communicates with each second gas supply hole H <b> 2 of the shower head 46 through the inside of the chamber lid 42. The second gas port P2 is connected to the mass flow controller MC2, the mass flow controller MC3, and the mass flow controller MC4 via a supply pipe and a supply valve outside the chamber lid 42.

各マスフローコントローラMC2,MC3,MC4は、それぞれ水素(H)ガス、アンモニア(NH)ガス、窒素(N)ガスの供給系に連結され、第二ガスポートP2に所定の流量のH、NH、Nを供給する。マスフローコントローラMC2,MC3,MC4は、例えば、それぞれ10[sccm ]〜500[sccm ]の流量範囲でH、NH、Nの供給量を制御する。各マスフローコントローラMC2,MC3,MC4がそれぞれH、NH、Nを供給するとき、H、NH、Nは、それぞれ第二ガスポートP2を通して各第二ガス供給孔H2から反応室Sに導入され、基板ステージ43に載置されたシリコン基板2の表面に到達する。 Each mass flow controller MC2, MC3, MC4 is connected to a supply system of hydrogen (H 2 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas, respectively, and has a predetermined flow rate of H 2 at the second gas port P2. , NH 3 and N 2 are supplied. The mass flow controllers MC2, MC3, and MC4 control the supply amounts of H 2 , NH 3 , and N 2 in a flow rate range of 10 [sccm] to 500 [sccm], for example. Mass flow controllers MC2, MC3, when MC4 supplies the H 2, NH 3, N 2, respectively, H 2, NH 3, N 2, the reaction chamber from the second gas supply holes H2 through the second gas port P2, respectively S is introduced and reaches the surface of the silicon substrate 2 placed on the substrate stage 43.

チャンバリッド42の上部であって、第二ガスポートP2と第二ガス供給孔H2との間の流路には、照射管47が設けられている。照射管47は、石英管あるいはアルミナ管からなる耐熱性の円筒管であって、第二ガスポートP2に供給されたガスを各第二ガス供給孔H2に向けて導出する。   An irradiation tube 47 is provided in the upper part of the chamber lid 42 and between the second gas port P2 and the second gas supply hole H2. The irradiation tube 47 is a heat-resistant cylindrical tube made of a quartz tube or an alumina tube, and guides the gas supplied to the second gas port P2 toward the second gas supply holes H2.

照射管47の外側であって、照射管47の長手方向の途中には、マイクロ波電源FGに駆動されるマイクロ波源48と、マイクロ波源48に連結されて照射管47に向かって延びる導波管49と、が配設されている。   Outside the irradiation tube 47 and in the middle of the irradiation tube 47 in the longitudinal direction, a microwave source 48 driven by a microwave power source FG and a waveguide connected to the microwave source 48 and extending toward the irradiation tube 47. 49 are arranged.

マイクロ波源48は、例えば2.45GHzのマイクロ波を発生するマイクロ波発振器(すなわち、マグネトロン)であって、マイクロ波電源FGの駆動電力を受けて所定の出力範囲(例えば、0.1〜3.0[kW])のマイクロ波を間欠的に出力させる。導波管49は、マイクロ波源48が発振するマイクロ波を導波管49の内部に伝播させて照射管47の内部に導入する。導波管49は、マイクロ波源48がマイクロ波を発振するとき、照射管47を通過するガスにマイクロ波を照射して励起させ、活性化させる(すなわち、プラズマ化させる)。   The microwave source 48 is a microwave oscillator (that is, a magnetron) that generates a microwave of 2.45 GHz, for example, and receives a driving power of the microwave power source FG to have a predetermined output range (for example, 0.1 to 3.. 0 [kW]) microwaves are intermittently output. The waveguide 49 propagates the microwave oscillated by the microwave source 48 into the waveguide 49 and introduces it into the irradiation tube 47. When the microwave source 48 oscillates the microwave, the waveguide 49 is excited by irradiating the gas passing through the irradiation tube 47 with the microwave and activated (that is, converted into plasma).

第二ガスポートP2から照射管47に導入されるガスは、各第二ガス供給孔H2から反応室Sに導入され、マイクロ波源48がマイクロ波を発振するときに、励起した状態で導入される。反応室Sに滞在するZr(BHは、励起されたNガスと反応してシリコン基板2の表面にZrB膜を形成する。 The gas introduced into the irradiation tube 47 from the second gas port P2 is introduced into the reaction chamber S from each second gas supply hole H2, and is introduced in an excited state when the microwave source 48 oscillates microwaves. . Zr (BH 4 ) 4 staying in the reaction chamber S reacts with the excited N 2 gas to form a ZrB x N y film on the surface of the silicon substrate 2.

次に、上記成膜装置40の電気的構成について説明する。
図4において、制御部51は、成膜装置40に各種の処理動作、例えばシリコン基板2の搬送処理動作やシリコン基板2の成膜処理動作などを実行させるものである。制御部51は、各種の演算処理を実行するCPUと、各種のデータや各種の制御プログラムを格納する記憶部51Aと、各種の処理工程ごとにプロセス時間を計時するタイマ51Bと、を有する。制御部51は、例えば、記憶部51Aが格納する成膜処理プログラムを読み出し、タイマ51Bが計時するプロセス時間と、読み出した成膜処理プログラムと、に従って成膜処理動作を実行させる。
Next, the electrical configuration of the film forming apparatus 40 will be described.
In FIG. 4, the control unit 51 causes the film forming apparatus 40 to execute various processing operations, such as a transfer processing operation of the silicon substrate 2 and a film forming processing operation of the silicon substrate 2. The control unit 51 includes a CPU that executes various arithmetic processes, a storage unit 51A that stores various data and various control programs, and a timer 51B that measures a process time for each of various processing steps. For example, the control unit 51 reads the film formation processing program stored in the storage unit 51A, and causes the film formation processing operation to be executed according to the process time measured by the timer 51B and the read film formation processing program.

制御部51には、入出力部52が接続されている。入出力部52は、起動スイッチや停止スイッチなどの各種操作スイッチと、液晶ディスプレイなどの各種表示装置とを有する
。入出力部52は、各処理動作に利用するデータを制御部51に入力し、成膜装置40の処理状況に関するデータを出力する。入出力部52は、例えば、成膜時の各種のパタメータ(プロセス時間、ガス流量、マイクロ波電源FGの出力値など)に関するデータ(以下単に、成膜条件データIdという。)を制御部51に入力する。制御部51は、入出力部52から入力される成膜条件データIdを受信し、成膜条件データIdに対応する各種の駆動制御信号を生成し、成膜条件データIdに対応する成膜条件の下で成膜処理動作を実行させる。
An input / output unit 52 is connected to the control unit 51. The input / output unit 52 includes various operation switches such as a start switch and a stop switch, and various display devices such as a liquid crystal display. The input / output unit 52 inputs data used for each processing operation to the control unit 51 and outputs data regarding the processing status of the film forming apparatus 40. The input / output unit 52 includes, for example, data related to various parameters (process time, gas flow rate, output value of the microwave power source FG, etc.) during film formation (hereinafter simply referred to as film formation condition data Id) to the control unit 51. input. The control unit 51 receives the film formation condition data Id input from the input / output unit 52, generates various drive control signals corresponding to the film formation condition data Id, and forms film formation conditions corresponding to the film formation condition data Id. The film forming operation is performed under

制御部51には、排気系を駆動制御するための排気系駆動回路53が接続されている。制御部51は、排気系駆動回路53に対応する駆動制御信号を排気系駆動回路53に出力する。排気系駆動回路53は、制御部51からの駆動制御信号に応答して、チャンバ内(例えば、反応室S)を所定の圧力に減圧させるための排気系(例えば、排気ポンプ45)を駆動させる。   An exhaust system drive circuit 53 for driving and controlling the exhaust system is connected to the control unit 51. The control unit 51 outputs a drive control signal corresponding to the exhaust system drive circuit 53 to the exhaust system drive circuit 53. In response to a drive control signal from the control unit 51, the exhaust system drive circuit 53 drives an exhaust system (for example, the exhaust pump 45) for reducing the pressure in the chamber (for example, the reaction chamber S) to a predetermined pressure. .

制御部51には、搬送系駆動回路54が接続されている。制御部51は、搬送系駆動回路54に対応する駆動制御信号を搬送系駆動回路54に出力する。搬送系駆動回路54は、制御部51からの駆動制御信号に応答して、シリコン基板2を搬送させるための搬送系(例えば、昇降機構44)を駆動させる。また、搬送系駆動回路54は、制御部51からの駆動制御信号に応答して、シリコン基板2を昇温させるための基板ステージ43のヒータを駆動させる。   A transport system drive circuit 54 is connected to the control unit 51. The control unit 51 outputs a drive control signal corresponding to the transport system drive circuit 54 to the transport system drive circuit 54. In response to the drive control signal from the control unit 51, the transport system drive circuit 54 drives a transport system (for example, the lifting mechanism 44) for transporting the silicon substrate 2. Further, the transfer system drive circuit 54 drives a heater of the substrate stage 43 for raising the temperature of the silicon substrate 2 in response to a drive control signal from the control unit 51.

制御部51には、マスフローコントローラ駆動回路55が接続されている。制御部51は、マスフローコントローラ駆動回路55に対応する駆動制御信号をマスフローコントローラ駆動回路55に出力する。マスフローコントローラ駆動回路55は、制御部51からの駆動制御信号に応答して、各ガスを供給させるための各マスフローコントローラMC1〜MC4をそれぞれ駆動させる。   A mass flow controller drive circuit 55 is connected to the control unit 51. The control unit 51 outputs a drive control signal corresponding to the mass flow controller drive circuit 55 to the mass flow controller drive circuit 55. In response to the drive control signal from the control unit 51, the mass flow controller drive circuit 55 drives each mass flow controller MC1 to MC4 for supplying each gas.

制御部51には、マイクロ波電源駆動回路56が接続されている。制御部51は、マイクロ波電源駆動回路56に対応する駆動制御信号をマイクロ波電源駆動回路56に出力する。マイクロ波電源駆動回路56は、制御部51からの駆動制御信号に応答して、マイクロ波を発振させるためのマイクロ波電源FGを駆動させる。   A microwave power source driving circuit 56 is connected to the control unit 51. The control unit 51 outputs a drive control signal corresponding to the microwave power supply driving circuit 56 to the microwave power supply driving circuit 56. The microwave power supply driving circuit 56 drives the microwave power supply FG for oscillating microwaves in response to the drive control signal from the control unit 51.

(半導体装置の製造方法)
次に、上記成膜装置40を用いた半導体装置1の製造方法について説明する。
まず、図1に示すように、シリコン基板2の表面に、素子分離領域2aと、素子領域2bと、を区画形成する。例えば、公知のSTIプロセスを用いて、素子分離領域2aにシリコン酸化膜を埋め込む。また、公知のMOSプロセスを用いて、ゲート絶縁膜4、ソース・ドレイン領域5、ゲート電極6、サイドウォール7などを形成し、素子領域2bにMOSトランジスタ3を形成する。
(Method for manufacturing semiconductor device)
Next, a method for manufacturing the semiconductor device 1 using the film forming apparatus 40 will be described.
First, as shown in FIG. 1, an element isolation region 2 a and an element region 2 b are partitioned on the surface of the silicon substrate 2. For example, a silicon oxide film is embedded in the element isolation region 2a using a known STI process. Further, a gate insulating film 4, a source / drain region 5, a gate electrode 6, sidewalls 7 and the like are formed using a known MOS process, and a MOS transistor 3 is formed in the element region 2b.

MOSトランジスタ3を形成すると、シリコン基板2の表面に第1層間絶縁膜8を積層し、コンタクトプラグ10を形成する。例えば、CVD技術を用いて、シリコン基板2の表面にMOSトランジスタ3を覆うシリコン酸化膜を積層して第1層間絶縁膜8を形成し、フォトリソグラフィ技術とエッチング技術を用いて、第1層間絶縁膜8にコンタクトホール9を形成する。次いで、スパッタリング技術又はCVD技術を用いて、コンタクトホール9にチタンシリサイド/窒化チタン/タングステンを埋め込み、CMP(Chemical Mechanical Polishing )技術あるいはエッチバック技術を用いて平坦化し、コンタクトプラグ10を形成する。   When the MOS transistor 3 is formed, a first interlayer insulating film 8 is stacked on the surface of the silicon substrate 2 to form a contact plug 10. For example, the first interlayer insulating film 8 is formed by laminating a silicon oxide film covering the MOS transistor 3 on the surface of the silicon substrate 2 using the CVD technique, and the first interlayer insulating film using the photolithography technique and the etching technique. Contact holes 9 are formed in the film 8. Next, titanium silicide / titanium nitride / tungsten is buried in the contact hole 9 using a sputtering technique or a CVD technique, and planarized using a CMP (Chemical Mechanical Polishing) technique or an etch back technique to form the contact plug 10.

コンタクトプラグ10を形成すると、第1層間絶縁膜8の表面に第2層間絶縁膜11を
積層し、第2層間絶縁膜11に第1トレンチ12を形成する。すなわち、絶縁層工程を実行し、続いて凹部工程を実行する。例えば、絶縁層工程は、CVD技術を用いて、第1層間絶縁膜8の表面にシリコン酸化膜を積層して第2層間絶縁膜11を形成し、凹部工程は、フォトリソグラフィ技術とエッチング技術を用いて、第1トレンチ12を形成する。
When the contact plug 10 is formed, a second interlayer insulating film 11 is stacked on the surface of the first interlayer insulating film 8, and a first trench 12 is formed in the second interlayer insulating film 11. That is, an insulating layer process is performed, and then a recess process is performed. For example, the insulating layer process uses a CVD technique to form a second interlayer insulating film 11 by laminating a silicon oxide film on the surface of the first interlayer insulating film 8, and the recess process uses a photolithography technique and an etching technique. In this way, the first trench 12 is formed.

第1トレンチ12を形成すると、第1トレンチ12内を含む第2層間絶縁膜11の表面に第1配線13を積層し、第2層間絶縁膜11の表面と第1配線13の表面を平坦化させる。すなわち、金属層工程を実行し、続いて平坦化工程を実行する。例えば、金属層工程は、スパッタリング技術を用いて、第1トレンチ12の内側面を含むシリコン基板2の全体に窒化チタンを積層して第1バリア層14を形成する。次いで、無電解メッキ技術あるいはCVD技術を用いて、第1バリア層14の表面に銅のメッキシード層を形成し、電解メッキ技術を用いて、第1トレンチ12の内側を含むシリコン基板2の全体に銅を析出させて第1配線層15を形成する。平坦化工程は、CMP技術を用いて、第1バリア層14及び第1配線層15を研磨し、第1バリア層14及び第1配線層15の表面を第2層間絶縁膜11の表面と略面一にして第1配線13を形成する。   When the first trench 12 is formed, the first wiring 13 is stacked on the surface of the second interlayer insulating film 11 including the inside of the first trench 12, and the surface of the second interlayer insulating film 11 and the surface of the first wiring 13 are planarized. Let That is, a metal layer process is performed, and then a planarization process is performed. For example, in the metal layer process, the first barrier layer 14 is formed by stacking titanium nitride on the entire silicon substrate 2 including the inner surface of the first trench 12 by using a sputtering technique. Next, a copper plating seed layer is formed on the surface of the first barrier layer 14 using an electroless plating technique or a CVD technique, and the entire silicon substrate 2 including the inside of the first trench 12 is formed using an electrolytic plating technique. Copper is deposited on the first wiring layer 15 to form the first wiring layer 15. In the planarization step, the first barrier layer 14 and the first wiring layer 15 are polished using CMP technology, and the surfaces of the first barrier layer 14 and the first wiring layer 15 are substantially the same as the surface of the second interlayer insulating film 11. The first wiring 13 is formed so as to be flush with each other.

第1配線13を形成すると、第2層間絶縁膜11と第1配線13を表面に有したシリコン基板2を成膜装置40のロードロックチャンバ40Lにセットし、メタルキャップ層工程を実行する。   When the first wiring 13 is formed, the silicon substrate 2 having the second interlayer insulating film 11 and the first wiring 13 on the surface is set in the load lock chamber 40L of the film forming apparatus 40, and the metal cap layer process is performed.

すなわち、成膜装置40の制御部51は、入出力部52から成膜条件データIdを受信し、排気系駆動回路53を介して排気ポンプ45を駆動し、シリコン基板2を収容するための反応室Sを予め所定の到達圧力(例えば、1[Pa])にまで減圧させる。制御部51は、反応室Sを減圧させると、搬送系駆動回路54を介して搬送系を駆動しロードロックチャンバ40Lのシリコン基板2を成膜チャンバ40Dまで搬送させる。   That is, the control unit 51 of the film forming apparatus 40 receives the film forming condition data Id from the input / output unit 52, drives the exhaust pump 45 via the exhaust system drive circuit 53, and reacts to accommodate the silicon substrate 2. The chamber S is depressurized in advance to a predetermined ultimate pressure (for example, 1 [Pa]). When the pressure in the reaction chamber S is reduced, the control unit 51 drives the transfer system via the transfer system drive circuit 54 to transfer the silicon substrate 2 in the load lock chamber 40L to the film forming chamber 40D.

制御部51は、搬送系駆動回路54を介して昇降機構44を駆動し基板ステージ43にシリコン基板2を載置させ、タイマ51Bを用いたプロセス時間の計時動作を開始し、同シリコン基板2を所定の温度(例えば、240[℃])にまで昇温させる。この際、図5に示すように、制御部51は、マスフローコントローラ駆動回路55を介してマスフローコントローラMC2を駆動させ、反応室Sに所定の流量のHガスを供給させる。また、制御部51は、排気系駆動回路53を介して排気系を駆動させ、反応室Sの圧力を所定の圧力値に維持させる。これによって、シリコン基板2の昇温を促進させることができ、また、シリコン基板2の温度が250[℃]以上であれば、銅膜(第1配線13)の表面を還元させる。また、マイクロ波で励起した水素(H)を用いる場合、シリコン基板2の温度が130[℃]以上であれば、銅膜表面の還元効果を得ることができる。 The control unit 51 drives the elevating mechanism 44 via the transfer system drive circuit 54 to place the silicon substrate 2 on the substrate stage 43, starts a process time counting operation using the timer 51B, The temperature is raised to a predetermined temperature (for example, 240 [° C.]). At this time, as shown in FIG. 5, the controller 51 drives the mass flow controller MC < b > 2 via the mass flow controller drive circuit 55 to supply the reaction chamber S with H 2 gas having a predetermined flow rate. Further, the control unit 51 drives the exhaust system via the exhaust system drive circuit 53 to maintain the pressure in the reaction chamber S at a predetermined pressure value. Thereby, the temperature rise of the silicon substrate 2 can be promoted, and if the temperature of the silicon substrate 2 is 250 [° C.] or higher, the surface of the copper film (first wiring 13) is reduced. In addition, when hydrogen (H 2 ) excited by microwaves is used, if the temperature of the silicon substrate 2 is 130 ° C. or higher, a reduction effect on the copper film surface can be obtained.

制御部51は、タイマ51Bが計時するプロセス時間が所定の時間になると、排気系駆動回路53、マスフローコントローラ駆動回路55及びマイクロ波電源駆動回路56を介して、成膜条件データIdに応じた各処理工程を実行させる。   When the process time counted by the timer 51B reaches a predetermined time, the control unit 51 passes through the exhaust system drive circuit 53, the mass flow controller drive circuit 55, and the microwave power supply drive circuit 56, and sets each process according to the film formation condition data Id. A processing step is executed.

すなわち、制御部51は、マスフローコントローラ駆動回路55を介してマスフローコントローラMC2にHガスの供給を停止させる。次いで、制御部51は、排気系駆動回路53を介して排気系を駆動させ、成膜条件下における反応室Sの圧力が所定の圧力値になるように、予め排気系の排気能力を設定させる。 That is, the control unit 51 causes the mass flow controller MC2 to stop supplying the H 2 gas via the mass flow controller drive circuit 55. Next, the control unit 51 drives the exhaust system via the exhaust system drive circuit 53 and sets the exhaust capacity of the exhaust system in advance so that the pressure of the reaction chamber S under the film forming conditions becomes a predetermined pressure value. .

制御部51は、排気系をセットさせると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC4を駆動させ、反応室Sに所定の流量のNガスを供給させる。また、制御部51は、マイクロ波電源駆動回路56を介してマイクロ波電源FGを駆動させ、反応室Sに励起されたNガスを供給させる。 When the exhaust system is set, the control unit 51 drives the mass flow controller MC4 via the mass flow controller drive circuit 55 to supply the reaction chamber S with N 2 gas having a predetermined flow rate. In addition, the control unit 51 drives the microwave power source FG via the microwave power source driving circuit 56 to supply the excited N 2 gas to the reaction chamber S.

制御部51は、励起されたNガスの供給を開始させると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC1を駆動させ、反応室Sに所定の流量のZr(BHガスを供給させる。これによって、制御部51は、Zr(BHと励起されたNガスとの気相反応を開始させ、シリコン基板2の表面全体にZrB膜を主成分とする第1メタルキャップ層16を堆積させる。 When the controller 51 starts supplying the excited N 2 gas, the controller 51 drives the mass flow controller MC1 via the mass flow controller drive circuit 55 to supply the reaction chamber S with a predetermined flow rate of Zr (BH 4 ) 4 gas. Let As a result, the control unit 51 starts a gas phase reaction between Zr (BH 4 ) 4 and the excited N 2 gas, and the first metal whose main component is the ZrB x N y film on the entire surface of the silicon substrate 2. A cap layer 16 is deposited.

堆積されるZrB膜は、第1配線13の粗密、表面積、形状などに関わらず、第1配線13上の領域にのみ導電性を発現し、隣接する配線間の短絡を回避させる。また、ZrB膜は、高い耐酸化性と高いバリア性を有するため、製造過程におけるZrB膜自身の酸化、第1配線13の酸化、第2層間絶縁膜11の吸湿などを阻止する。また、ZrB膜は、第1配線13との間に高い密着性を有するため、第1メタルキャップ層16の膜剥がれといった機械的損傷を回避させる。しかも、このZrB膜は、上記成膜チャンバ40Dによりシリコン基板2の全体に成膜される。そのため、第1配線13ごとにメタルキャップ層を形成させる場合に比べ、このZrB膜は、第1配線13間の膜厚差を抑制し、膜厚のバラツキに起因した第1配線13の被覆不良を回避させる。 The deposited ZrB x N y film exhibits conductivity only in the region on the first wiring 13 regardless of the density, surface area, shape, and the like of the first wiring 13, and avoids a short circuit between adjacent wirings. In addition, since the ZrB x N y film has high oxidation resistance and high barrier properties, oxidation of the ZrB x N y film itself, oxidation of the first wiring 13, moisture absorption of the second interlayer insulating film 11, etc. in the manufacturing process are performed. Stop. In addition, since the ZrB x N y film has high adhesion with the first wiring 13, mechanical damage such as film peeling of the first metal cap layer 16 is avoided. Moreover, this ZrB x N y film is formed on the entire silicon substrate 2 by the film forming chamber 40D. Therefore, compared to the case where a metal cap layer is formed for each first wiring 13, this ZrB x N y film suppresses a difference in film thickness between the first wirings 13, and the first wiring 13 due to film thickness variation. To avoid poor coating.

そして、ZrB膜の原料ガスとして、Zr(BHと、励起された窒素ガス(Nガス)とを用いることにより安定した反応系を与えることができ、反応室Sの内部、供給配管、排気配管など、反応系の各所にわたりパーティクルの生成を抑制させることができる。しかも、Nガス雰囲気下におけるZr(BHの熱分解反応に比べ、励起させたNガスを用いる分だけ、より多くの窒素元素をZrB膜の膜中に含有させることができる。また、水素元素を含まない窒素系ガス(Nガス)を用いる分だけ、励起されたNガスの寿命を長くさせ、Zr−N結合の生成を促進させることができる。この結果、ZrB膜の抵抗率の下地選択性を、より確実に発現させことができる。 A stable reaction system can be provided by using Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) as a source gas for the ZrB x N y film, and the inside of the reaction chamber S The generation of particles can be suppressed throughout the reaction system such as the supply pipe and the exhaust pipe. Moreover, as compared with the thermal decomposition reaction of Zr (BH 4 ) 4 in an N 2 gas atmosphere, more nitrogen element is contained in the ZrB x N y film as much as the excited N 2 gas is used. Can do. In addition, the life of the excited N 2 gas can be extended by the amount of nitrogen-based gas (N 2 gas) not containing hydrogen element, and the generation of Zr—N bonds can be promoted. As a result, the substrate selectivity of the resistivity of the ZrB x N y film can be expressed more reliably.

制御部51は、タイマ51Bが計時するプロセス時間が所定の時間になると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC1にZr(BHガスの供給を停止させる。次いで、制御部51は、マイクロ波電源駆動回路56及びマスフローコントローラ駆動回路55を介し、マイクロ波の発振を停止させ、Nガスの供給を停止させる。そして、制御部51は、搬送系駆動回路54を介して搬送系を駆動し、第1メタルキャップ層16を有したシリコン基板2をロードロックチャンバ40Lまで搬送し、成膜装置40から搬出させる。 When the process time counted by the timer 51B reaches a predetermined time, the control unit 51 causes the mass flow controller MC1 to stop supplying the Zr (BH 4 ) 4 gas via the mass flow controller drive circuit 55. Next, the control unit 51 stops the microwave oscillation and stops the supply of N 2 gas via the microwave power source driving circuit 56 and the mass flow controller driving circuit 55. Then, the control unit 51 drives the transport system via the transport system drive circuit 54, transports the silicon substrate 2 having the first metal cap layer 16 to the load lock chamber 40 </ b> L, and unloads it from the film forming apparatus 40.

第1メタルキャップ層16を形成すると、第1メタルキャップ層16の表面に第3層間絶縁膜21、トレンチエッチストッパ22、第4層間絶縁膜31、ハードマスク32を順に積層する。すなわち、絶縁層工程を実行する。   When the first metal cap layer 16 is formed, a third interlayer insulating film 21, a trench etch stopper 22, a fourth interlayer insulating film 31, and a hard mask 32 are sequentially stacked on the surface of the first metal cap layer 16. That is, an insulating layer process is performed.

例えば、絶縁層工程は、CVD技術又はスピンコート技術を用いて、第1メタルキャップ層16の表面に有機シリカガラスを積層して第3層間絶縁膜21を形成し、CVD技術を用いて、第3層間絶縁膜21の表面にシリコン炭化膜を積層してトレンチエッチストッパ22を形成する。また、CVD技術あるいはスピンコート技術を用いて、トレンチエッチストッパ22の表面に有機シリカガラスを積層して第4層間絶縁膜31を形成し、CVD技術を用いて、第4層間絶縁膜31の表面にシリコン炭化膜を積層してハードマスク32を形成する。   For example, in the insulating layer process, the third interlayer insulating film 21 is formed by laminating an organic silica glass on the surface of the first metal cap layer 16 using the CVD technique or the spin coat technique, and the first interlayer cap film 21 is formed using the CVD technique. A trench etching stopper 22 is formed by laminating a silicon carbide film on the surface of the three interlayer insulating film 21. Further, the fourth interlayer insulating film 31 is formed by laminating organic silica glass on the surface of the trench etch stopper 22 using the CVD technique or the spin coat technique, and the surface of the fourth interlayer insulating film 31 is formed using the CVD technique. A hard mask 32 is formed by laminating a silicon carbide film.

ハードマスク32を形成すると、第3層間絶縁膜21、トレンチエッチストッパ22、第4層間絶縁膜31、及びハードマスク32に、ビアホール23及び第2トレンチ33を形成する。すなわち、凹部工程を実行する。例えば、凹部工程は、ビアホール23を先行
して形成するビアファースト法を利用し、ビアホール23及び第2トレンチ33を形成する。
When the hard mask 32 is formed, the via hole 23 and the second trench 33 are formed in the third interlayer insulating film 21, the trench etch stopper 22, the fourth interlayer insulating film 31, and the hard mask 32. That is, the concave step is executed. For example, in the recess process, the via hole 23 and the second trench 33 are formed using a via first method in which the via hole 23 is formed in advance.

ビアホール23と第2トレンチ33を形成すると、ビアホール23内及び第2トレンチ33内を含む第4層間絶縁膜31の表面に第2配線34を積層し、第4層間絶縁膜31の表面と第2配線34の表面を平坦化させる。すなわち、金属層工程を実行し、続いて平坦化工程を実行する。例えば、金属層工程は、スパッタリング技術を用いて、ビアホール23と第2トレンチ33の内側面を含むシリコン基板2の全体に窒化チタンを積層して第2バリア層35を形成する。次いで、無電解メッキ技術あるいはCVD技術を用いて、第2バリア層35の表面に銅のメッキシード層を形成し、電解メッキ技術を用いて、ビアホール23及び第2トレンチ33の内側を含むシリコン基板2の全体に銅を析出させて第2配線層36を形成する。平坦化工程は、CMP技術を用いて、第2バリア層35及び第2配線層36を研磨し、第2バリア層35及び第2配線層36の表面をハードマスク32の表面と略面一にして第2配線34を形成する。   When the via hole 23 and the second trench 33 are formed, the second wiring 34 is stacked on the surface of the fourth interlayer insulating film 31 including the inside of the via hole 23 and the second trench 33, and the surface of the fourth interlayer insulating film 31 and the second The surface of the wiring 34 is flattened. That is, a metal layer process is performed, and then a planarization process is performed. For example, in the metal layer process, the second barrier layer 35 is formed by stacking titanium nitride on the entire silicon substrate 2 including the inner surface of the via hole 23 and the second trench 33 by using a sputtering technique. Next, a copper plating seed layer is formed on the surface of the second barrier layer 35 using the electroless plating technique or the CVD technique, and the silicon substrate including the via hole 23 and the inside of the second trench 33 is used using the electrolytic plating technique. Then, copper is deposited on the entire surface 2 to form the second wiring layer 36. In the planarization step, the second barrier layer 35 and the second wiring layer 36 are polished using CMP technology so that the surfaces of the second barrier layer 35 and the second wiring layer 36 are substantially flush with the surface of the hard mask 32. Thus, the second wiring 34 is formed.

第2配線34を形成すると、シリコン基板2を上記成膜装置40に搬送し、ハードマスク32及び第2配線34の表面に第2メタルキャップ層37を形成する。すなわち、上記第1メタルキャップ層16と同じく、シリコン基板2の表面全体(ハードマスク32の表面と第2配線34の表面)に、共通するZrB膜を積層し第2メタルキャップ層37を形成する(メタルキャップ層工程を実行する)。 When the second wiring 34 is formed, the silicon substrate 2 is transferred to the film forming apparatus 40, and a second metal cap layer 37 is formed on the surfaces of the hard mask 32 and the second wiring 34. That is, similar to the first metal cap layer 16, a common ZrB x N y film is laminated on the entire surface of the silicon substrate 2 (the surface of the hard mask 32 and the surface of the second wiring 34) to form the second metal cap layer 37. (A metal cap layer process is performed).

第2メタルキャップ層37は、第1メタルキャップ層16と同じく、高い耐酸化性と高いバリア性を有するため、製造過程におけるZrB膜自身の酸化、第2配線34の酸化、第4層間絶縁膜31の吸湿などを阻止する。また、ZrB膜は、第2配線34との間に高い密着性を有するため、第2メタルキャップ層37の膜剥がれといった機械的損傷を回避させる。しかも、このZrB膜は、上記成膜チャンバ40Dによりシリコン基板2の全体に成膜される。そのため、第2配線34ごとにメタルキャップ層を形成させる場合に比べ、このZrB膜は、第2配線34間の膜厚差を抑制し、膜厚のバラツキに起因した第2配線34の被覆不良を回避させる。 Like the first metal cap layer 16, the second metal cap layer 37 has high oxidation resistance and high barrier properties. Therefore, the oxidation of the ZrB x N y film itself, the oxidation of the second wiring 34, the fourth, The moisture absorption of the interlayer insulating film 31 is prevented. In addition, since the ZrB x N y film has high adhesion with the second wiring 34, mechanical damage such as film peeling of the second metal cap layer 37 is avoided. Moreover, this ZrB x N y film is formed on the entire silicon substrate 2 by the film forming chamber 40D. Therefore, compared with the case of forming a metal cap layer for each second wire 34, the ZrB x N y film, the second wiring to suppress the film thickness difference between the second wire 34, due to variation in the film thickness 34 To avoid poor coating.

そして、第2メタルキャップ層37の原料ガスとして、Zr(BHと、励起された窒素ガス(Nガス)とを用いることにより安定した反応系を与えることができ、反応室Sの内部、供給配管、排気配管など、反応系の各所にわたりパーティクルの生成を抑制させることができる。しかも、Nガス雰囲気下におけるZr(BHの熱分解反応に比べ、励起させたNガスを用いる分だけ、より多くの窒素元素をZrB膜の膜中に含有させることができる。また、水素元素を含まない窒素系ガス(Nガス)を用いる分だけ、励起されたNガスの寿命を長くさせ、Zr−N結合の生成を促進させることができる。この結果、ZrB膜の抵抗率の下地選択性を、より確実に発現させることができる。 A stable reaction system can be provided by using Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) as the source gas of the second metal cap layer 37, and It is possible to suppress the generation of particles throughout the reaction system such as the inside, supply piping, and exhaust piping. Moreover, as compared with the thermal decomposition reaction of Zr (BH 4 ) 4 in an N 2 gas atmosphere, more nitrogen element is contained in the ZrB x N y film as much as the excited N 2 gas is used. Can do. In addition, the life of the excited N 2 gas can be extended by the amount of nitrogen-based gas (N 2 gas) not containing hydrogen element, and the generation of Zr—N bonds can be promoted. As a result, the substrate selectivity of the resistivity of the ZrB x N y film can be expressed more reliably.

(実施例)
次に、実施例を挙げて本発明の効果を説明する。表1〜表4は、それぞれ上記成膜装置40を用いて形成した各種の条件におけるZrB膜の導電性を示す。また、図6〜図9は、それぞれ表1〜表4における実施例及び比較例の元素濃度を示す。なお、実施例の膜厚データは、それぞれシリコン基板の中心付近を碧開し、走査電子顕微鏡(SEM)を利用して計測した値である。
(Example)
Next, the effects of the present invention will be described with reference to examples. Tables 1 to 4 show the conductivity of the ZrB x N y film formed under various conditions using the film forming apparatus 40, respectively. 6 to 9 show the element concentrations of Examples and Comparative Examples in Tables 1 to 4, respectively. The film thickness data in the examples are values measured using a scanning electron microscope (SEM) by cleaving the vicinity of the center of the silicon substrate.

表1においては、銅膜を表面に有したシリコン基板を用い、Zr(BHガスが100[sccm ]、Nガスが100[sccm ]、成膜時間が2[min ]、成膜温度が240[℃]、成膜時圧力が700[Pa ]、マイクロ波出力が500[W]となる条件の下で
成膜を実行し、実施例1のZrB膜を得た。また、シリコン酸化膜を表面に有したシリコン基板を用い、実施例1と同じ条件の下で成膜を実行し、実施例2のZrB膜を得た。この際、ZrB膜の膜中と膜上のパーティクル数を計測し、パーティクルの増加分が数個レベルであることを確認した。また、反応室Sの内壁、供給配管の内部、排気配管の内部を目視確認し、パウダー状の副生成物が生成されていないことを確認した。
In Table 1, a silicon substrate having a copper film on the surface was used, Zr (BH 4 ) 4 gas was 100 [sccm], N 2 gas was 100 [sccm], and the film formation time was 2 [min]. Film formation was performed under the conditions of a temperature of 240 [° C.], a film forming pressure of 700 [Pa], and a microwave output of 500 [W], and the ZrB x N y film of Example 1 was obtained. In addition, using a silicon substrate having a silicon oxide film on the surface, film formation was performed under the same conditions as in Example 1 to obtain a ZrB x N y film in Example 2. At this time, the number of particles in and on the ZrB x N y film was measured, and it was confirmed that the number of particles increased was several. Further, the inner wall of the reaction chamber S, the inside of the supply pipe, and the inside of the exhaust pipe were visually confirmed to confirm that no powdery by-product was generated.

また、表1においては、実施例2のNガスをNHガスに変更し、その他の条件を同じくして比較例1のZrB膜を得た。また、実施例2のNガスをNガスとHガスの混合ガスに変更し、その他の条件を同じくして比較例2のZrB膜を得た。この際、ZrB膜の膜中と膜上のパーティクル数を計測し、パーティクルの増加分が数個レベルであることを確認した。また、反応室Sの内壁、供給配管の内部、排気配管の内部を目視確認し、パウダー状の副生成物が生成されていないことを確認した。 Further, in Table 1, the ZrB x N y film of Comparative Example 1 was obtained by changing the N 2 gas of Example 2 to NH 3 gas and using the same conditions. Moreover, the N 2 gas of Example 2 was changed to a mixed gas of N 2 gas and H 2 gas, and the ZrB x N y film of Comparative Example 2 was obtained under the same conditions. At this time, the number of particles in and on the ZrB x N y film was measured, and it was confirmed that the number of particles increased was several. Further, the inner wall of the reaction chamber S, the inside of the supply pipe, and the inside of the exhaust pipe were visually confirmed to confirm that no powdery by-product was generated.

そして、実施例1、実施例2、比較例1、比較例2のZrB膜に対し、それぞれ膜厚とシート抵抗値を計測した。また、実施例2、比較例1、比較例2のZrB膜に対し、オージェ電子分光分析法(AES:Auger Electron Spectroscopy )を用いて膜中の元素濃度を計測した。膜厚とシート抵抗値の結果を表1に示し、実施例2、比較例1、比較例2の元素濃度の測定結果を、それぞれ図6、図7、図8に示す。なお、図6〜図9において、横軸は、サンプル(ZrB膜/シリコン酸化膜)のスパッタ時間、すなわちZrB膜の膜厚を示し、縦軸は、対象元素の濃度、すなわちホウ素(B)、炭素(C)、窒素(N)、酸素(O),シリコン(Si)、ジルコニウム(Zr)の元素濃度を示す。 And the film thickness and the sheet resistance value were measured for the ZrB x N y films of Example 1, Example 2, Comparative Example 1, and Comparative Example 2, respectively. In addition, the element concentrations in the films were measured for the ZrB x N y films of Example 2, Comparative Example 1, and Comparative Example 2 using Auger Electron Spectroscopy (AES). The results of the film thickness and the sheet resistance value are shown in Table 1, and the measurement results of the element concentrations of Example 2, Comparative Example 1, and Comparative Example 2 are shown in FIGS. 6, 7, and 8, respectively. 6 to 9, the horizontal axis indicates the sputtering time of the sample (ZrB x N y film / silicon oxide film), that is, the film thickness of the ZrB x N y film, and the vertical axis indicates the concentration of the target element, That is, elemental concentrations of boron (B), carbon (C), nitrogen (N), oxygen (O), silicon (Si), and zirconium (Zr) are shown.

Figure 2008211090
表1において、“∞”は、対応するZrB膜のシート抵抗値が計測した49点の全てにおいて検出限界を超えた値(5×10[Ω/□]以上)であることを示す。
Figure 2008211090
In Table 1, “∞” indicates that the sheet resistance value of the corresponding ZrB x N y film exceeded the detection limit at all 49 points measured (5 × 10 6 [Ω / □] or more). Show.

表1において、実施例1のZrB膜は、そのシート抵抗値が約6[Ω/□]を示し、銅膜(導電膜)に積層された状態で、高い導電性を示すことが分かる。実施例2のZrB膜は、そのシート抵抗値が“∞”を示し、シリコン酸化膜(絶縁膜)に積層された状態で、高い絶縁性を示すことが分かる。すなわち、実施例1及び実施例2の成膜条件によって得られるZrB膜は、その抵抗率に対して大きな下地選択性を有することが分かる。 In Table 1, the ZrB x N y film of Example 1 has a sheet resistance value of about 6 [Ω / □], and exhibits high conductivity when laminated on a copper film (conductive film). I understand. It can be seen that the ZrB x N y film of Example 2 has a sheet resistance value of “∞” and exhibits high insulating properties when laminated on the silicon oxide film (insulating film). That is, it can be seen that the ZrB x N y films obtained by the film forming conditions of Example 1 and Example 2 have a large base selectivity with respect to the resistivity.

一方、比較例1と比較例2は、シリコン酸化膜上に成膜されているにも関わらず、それぞれ実施例2よりも低いシート抵抗値を示し、シリコン酸化膜(絶縁膜)に積層された状態で完全な絶縁性を発現していないことが分かる。   On the other hand, although Comparative Example 1 and Comparative Example 2 were formed on the silicon oxide film, each showed a sheet resistance value lower than that of Example 2, and were laminated on the silicon oxide film (insulating film). It turns out that perfect insulation is not expressed in the state.

図6において、実施例2は、そのZrB膜にホウ素元素(B)の濃度よりも高い濃度の窒素元素(N)を含み、そのバルク中に40%を超える窒素元素を含んでいることが分かる。一方、図7と図8において、比較例1と比較例2は、それぞれホウ素元素(B)の濃度よりも明らかに低い濃度の窒素元素(N)を含み、その濃度が20%にも満たないことが分かる。 In FIG. 6, Example 2 contains nitrogen element (N) at a concentration higher than that of boron element (B) in the ZrB x N y film, and contains more than 40% nitrogen element in its bulk. I understand that. On the other hand, in FIGS. 7 and 8, Comparative Example 1 and Comparative Example 2 each contain nitrogen element (N) at a concentration clearly lower than the concentration of boron element (B), and the concentration is less than 20%. I understand that.

これらの結果、比較例1のNHガスや比較例2のHガス、すなわち、プロセスガス中の水素元素は、ZrB膜に取り込まれる窒素元素の濃度を低下させ、ZrB膜に対し、その絶縁性の発現を阻害させる要因となる。そのため、水素元素を含まない窒素添加ガス、すなわち、励起されたNガスとZr(BHの気相反応系は、ZrB膜の抵抗率の下地選択性を、より確実なものにさせることができる。また、Zr(BHと励起された窒素ガス(Nガス)の気相反応系は、そのパーティクルの増加要因が認められないため、ZrB膜の反応系として十分に安定した系を提供させることができる。 As a result, the NH 3 gas of Comparative Example 1 and the H 2 gas of Comparative Example 2, that is, the hydrogen element in the process gas decreases the concentration of the nitrogen element taken into the ZrB x N y film, and ZrB x N y It becomes a factor that inhibits the expression of the insulating properties of the film. Therefore, a nitrogen-added gas that does not contain a hydrogen element, that is, a gas phase reaction system of excited N 2 gas and Zr (BH 4 ) 4 , provides more reliable substrate selectivity for the resistivity of the ZrB x N y film. Can make things. Further, the gas phase reaction system of Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) is sufficiently stable as a reaction system of the ZrB x N y film because no increase factor of the particles is observed. A system can be provided.

表2においては、実施例2の成膜時間を延長し、その他の条件を同じくして比較例3と比較例4のZrB膜を得た。また、表3においては、実施例2の成膜温度を変更し、その他の条件を同じくして比較例5と比較例6と比較例7のZrB膜を得た。また、表4においては、実施例2の成膜圧力、マイクロ波出力を変更し、その他の条件を同じくして比較例8と比較例9と比較例10のZrB膜を得た。この際、各ZrB膜の膜中と膜上のパーティクル数を計測し、パーティクルの増加分が数個レベルであることを確認した。また、反応室Sの内壁、供給配管の内部、排気配管の内部を目視確認し、パウダー状の副生成物が生成されていないことを確認した。 In Table 2, the ZrB x N y films of Comparative Example 3 and Comparative Example 4 were obtained under the same conditions except for extending the film formation time of Example 2. In Table 3, the ZrB x N y films of Comparative Example 5, Comparative Example 6, and Comparative Example 7 were obtained under the same conditions except that the film formation temperature of Example 2 was changed. In Table 4, the ZrB x N y films of Comparative Example 8, Comparative Example 9, and Comparative Example 10 were obtained under the same conditions except that the film formation pressure and microwave output of Example 2 were changed. At this time, the number of particles in and on each ZrB x N y film was measured, and it was confirmed that the number of particles increased was several. Further, the inner wall of the reaction chamber S, the inside of the supply pipe, and the inside of the exhaust pipe were visually confirmed to confirm that no powdery by-product was generated.

そして、比較例3〜10のZrB膜に対し、それぞれ膜厚とシート抵抗値を計測し、また、比較例7のZrB膜に対し、AESを用いて膜中の元素濃度を計測した。比較例3〜10の膜厚とシート抵抗値の結果をそれぞれ表2〜表4に示し、比較例7の元素濃度の測定結果を図9に示す。 Then, the film thickness and the sheet resistance value were measured for each of the ZrB x N y films of Comparative Examples 3 to 10, and the element concentration in the film was measured using AES for the ZrB x N y film of Comparative Example 7 Was measured. The film thickness and sheet resistance values of Comparative Examples 3 to 10 are shown in Tables 2 to 4, respectively, and the element concentration measurement results of Comparative Example 7 are shown in FIG.

Figure 2008211090
Figure 2008211090

Figure 2008211090
Figure 2008211090

Figure 2008211090
表2において、比較例3と比較例4は、実施例2と同じく、ZrB膜のシート抵抗値が“∞”を示し、シリコン酸化膜(絶縁膜)に積層された状態で、高い絶縁性を示すことが分かる。したがって、Zr(BHと励起された窒素ガス(Nガス)の反応系は、その成膜時間に大きく依存することなく、ZrB膜の抵抗率の下地選択性を発現させることができ、その成膜条件の範囲を拡張させることができる。
Figure 2008211090
In Table 2, Comparative Example 3 and Comparative Example 4 have the same sheet resistance value of ZrB x N y film as “∞” as in Example 2, and are high in a state where the film resistance is laminated on the silicon oxide film (insulating film). It can be seen that insulation is exhibited. Therefore, the reaction system of Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) exhibits the substrate selectivity of the resistivity of the ZrB x N y film without greatly depending on the film formation time. And the range of film forming conditions can be expanded.

表3において、比較例5と比較例6は、実施例2と同じく、ZrB膜のシート抵抗値が“∞”を示し、シリコン酸化膜(絶縁膜)に積層された状態で、高い絶縁性を示すことが分かる。一方、比較例7は、シリコン酸化膜上に成膜されているにも関わらず、実施例2よりも低いシート抵抗値を示し、シリコン酸化膜(絶縁膜)に積層された状態で完全な絶縁性を発現していないことが分かる。 In Table 3, Comparative Example 5 and Comparative Example 6 have the same sheet resistance value of ZrB x N y film as “∞” as in Example 2, and are high in a state where the film resistance is laminated on the silicon oxide film (insulating film). It can be seen that insulation is exhibited. On the other hand, Comparative Example 7 shows a sheet resistance value lower than that of Example 2 despite being formed on the silicon oxide film, and is completely insulated in a state of being laminated on the silicon oxide film (insulating film). It turns out that sex is not expressed.

また、図9において、比較例7は、それぞれホウ素元素(B)の濃度よりも低い濃度の窒素元素(N)を含み、その濃度が30%に満たないことが分かる。すなわち、過剰に昇温させた条件下の成膜は、ZrB膜が取り込む窒素元素の濃度を低下させ、ZrB膜の絶縁性の発現を阻害させる要因となる。 Also, in FIG. 9, it can be seen that Comparative Example 7 contains nitrogen element (N) at a concentration lower than the concentration of boron element (B), and the concentration is less than 30%. That is, excess deposition of conditions was raised lowers the concentration of nitrogen element taking the ZrB x N y film, and is a cause of inhibiting the ZrB x N y expression of an insulating film.

したがって、ZrB膜が十分な量の窒素元素を取り込む温度であって、かつ、ZrB膜に含まれる各種の結合が熱エネルギーによって安定化される温度、すなわち、260℃未満の温度範囲、好ましくは180℃〜250℃の温度条件は、ZrB膜の抵抗率の下地選択性を、より確実なものとさせ、かつ、ZrB膜の熱的安定性を確保させることができる。 Therefore, the temperature at which the ZrB x N y film takes in a sufficient amount of nitrogen element and the various bonds contained in the ZrB x N y film are stabilized by thermal energy, that is, less than 260 ° C. The temperature range, preferably 180 ° C. to 250 ° C., makes the substrate selectivity of the resistivity of the ZrB x N y film more reliable and ensures the thermal stability of the ZrB x N y film. Can be made.

表4において、比較例8は、実施例2と同じく、ZrB膜のシート抵抗値が“∞”を示し、シリコン酸化膜(絶縁膜)に積層された状態で、高い絶縁性を示すことが分かる。すなわち、実施例1及び実施例2の成膜条件によって得られるZrB膜は、その成膜圧力が低くなる場合であっても、抵抗率の下地選択性を発現させる。 In Table 4, Comparative Example 8, like Example 2, has a sheet resistance value of “∞” for the ZrB x N y film, and exhibits high insulation properties when laminated on the silicon oxide film (insulating film). I understand that. That is, the ZrB x N y film obtained by the film formation conditions of Example 1 and Example 2 exhibits the resistivity base selectivity even when the film formation pressure is low.

したがって、Zr(BHと励起された窒素ガス(Nガス)の反応系は、下地選択性を有したZrB膜を形成する上で、広い圧力範囲を確保させることができ、その成膜条件の範囲を拡張させることができる。 Therefore, the reaction system of Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas) can ensure a wide pressure range when forming a ZrB x N y film having base selectivity. The range of the film forming conditions can be expanded.

表4において、比較例9は、実施例2と同じく、ZrB膜のシート抵抗値が“∞”を示し、シリコン酸化膜(絶縁膜)に積層された状態で、高い絶縁性を示すことが分かる。一方、比較例10は、シリコン酸化膜上に成膜されているにも関わらず、実施例2よりも低いシート抵抗値を示し、シリコン酸化膜(絶縁膜)に積層された状態で完全な絶縁性を発現していないことが分かる。 In Table 4, Comparative Example 9, like Example 2, has a sheet resistance value of “∞” for the ZrB x N y film, and exhibits high insulation when stacked on the silicon oxide film (insulating film). I understand that. On the other hand, although Comparative Example 10 is formed on the silicon oxide film, the sheet resistance value is lower than that of Example 2 and is completely insulated in a state where it is laminated on the silicon oxide film (insulating film). It turns out that sex is not expressed.

したがって、励起された窒素ガス(Nガス)を用いることにより、ZrB膜に取り込まれる窒素元素の濃度を増加させ、ZrB膜に対し、その絶縁性の発現を誘起させることができる。 Therefore, by using the excited nitrogen gas (N 2 gas), the concentration of nitrogen element taken into the ZrB x N y film is increased, and the ZrB x N y film is induced to exhibit its insulating properties. Can do.

上記実施形態によれば、以下の効果を奏する。
(1)上記実施形態では、第2層間絶縁膜11と第1配線13、あるいは、第2配線層36とハードマスク32をシリコン基板2の表面に形成し、そのシリコン基板2を反応室Sに搬送させ、その反応室Sに、マイクロ波によって励起されたNガスを導入する。そして、供給タンクTに収容されるZr(BHをArガスによってバブリングし、Zr(BHを含むArガスをZr(BHガスとして反応室Sに導入する。
According to the said embodiment, there exist the following effects.
(1) In the above embodiment, the second interlayer insulating film 11 and the first wiring 13, or the second wiring layer 36 and the hard mask 32 are formed on the surface of the silicon substrate 2, and the silicon substrate 2 is placed in the reaction chamber S. The N 2 gas excited by the microwave is introduced into the reaction chamber S. Then, a Zr (BH 4) 4 which is accommodated in the supply tank T bubbled with Ar gas, is introduced into the reaction chamber S and Ar gas containing Zr (BH 4) 4 as Zr (BH 4) 4 gas.

したがって、ZrB(xは0を含む)からなる第1及び第2メタルキャップ層16,37を、Zr(BHと、励起された窒素ガス(Nガス)とによる反応系によって生成させることができる。この結果、第1及び第2メタルキャップ層16,37が、下地の金属層の粗密、表面積、形状などに関わらず、金属層に応じた領域にのみ導電性を発現し、隣接する金属層間の短絡を回避させる。また、第1及び第2メタルキャップ層16,37が、その成膜選択性を必要としない分だけ、複雑な洗浄工程を省くことができる。そして、第1及び第2メタルキャップ層16,37に対し、パーティクルの生成を回避させた反応系を構築させることができる。よって、第1及び第2メタルキャップ層16,37の信頼性と生産性を向上させることができる。 Therefore, the first and second metal cap layers 16 and 37 made of ZrB x N y (x includes 0) are made to react with Zr (BH 4 ) 4 and excited nitrogen gas (N 2 gas). Can be generated. As a result, the first and second metal cap layers 16 and 37 develop conductivity only in the region corresponding to the metal layer regardless of the density, surface area, shape, etc. of the underlying metal layer, and between the adjacent metal layers. Avoid short circuit. Further, since the first and second metal cap layers 16 and 37 do not require film formation selectivity, a complicated cleaning process can be omitted. A reaction system that avoids the generation of particles can be constructed for the first and second metal cap layers 16 and 37. Therefore, the reliability and productivity of the first and second metal cap layers 16 and 37 can be improved.

(2)上記実施形態では、反応室Sの外側でNガスにマイクロ波を照射し、励起されたNガスを反応室Sの内部に導入する。したがって、反応室SにおいてNガスを励起させる場合に比べ、励起されたNガスを、反応に要する量だけ供給させることができる
。この結果、Zr(BHと励起されたNガスとによる反応系を、より安定させることができる。しかも、Nガスの励起に際し、シリコン基板2の損傷を回避させることができる。
(2) In the above embodiment, the N 2 gas is irradiated with microwaves outside the reaction chamber S, and the excited N 2 gas is introduced into the reaction chamber S. Therefore, compared with the case where the N 2 gas is excited in the reaction chamber S, the excited N 2 gas can be supplied in an amount required for the reaction. As a result, the reaction system using Zr (BH 4 ) 4 and the excited N 2 gas can be further stabilized. In addition, damage to the silicon substrate 2 can be avoided when the N 2 gas is excited.

(3)上記実施形態では、ZrBを成膜するときに、シリコン基板2を210℃〜240℃に加熱する。したがって、第1及び第2メタルキャップ層16,37の導電性に対し、より確実に下地依存性を発現させることができ、かつ、熱的に安定したZrB膜を形成させることができる。 (3) In the above embodiment, the silicon substrate 2 is heated to 210 ° C. to 240 ° C. when the ZrB x N y film is formed. Therefore, it is possible to more reliably exhibit the base dependency with respect to the conductivity of the first and second metal cap layers 16 and 37 and to form a thermally stable ZrB x N y film. .

(第二実施形態)
以下、本発明を具体化した第二実施形態を図10〜図12に従って説明する。第二実施形態は、第一実施形態におけるZrB膜の成膜条件をCVD法からALD法に変更したものである。そのため、以下では、ZrB膜の成膜条件について説明する。
(Second embodiment)
Hereinafter, a second embodiment of the present invention will be described with reference to FIGS. In the second embodiment, the film formation condition of the ZrB x N y film in the first embodiment is changed from the CVD method to the ALD method. Therefore, hereinafter, the deposition conditions for the ZrB x N y film will be described.

成膜装置40の制御部51は、第一実施形態と同じく、入出力部52から成膜条件データIdを受信する。制御部51は、排気系駆動回路53を介して排気ポンプ45を駆動し反応室Sを所定の到達圧力(例えば、1[Pa])まで減圧させる。制御部51は、反応室Sを減圧させると、搬送系駆動回路54を介して搬送系を駆動しロードロックチャンバ40Lのシリコン基板2を成膜チャンバ40Dまで搬送させる。   The control unit 51 of the film forming apparatus 40 receives the film forming condition data Id from the input / output unit 52 as in the first embodiment. The control unit 51 drives the exhaust pump 45 via the exhaust system drive circuit 53 to reduce the reaction chamber S to a predetermined ultimate pressure (for example, 1 [Pa]). When the pressure in the reaction chamber S is reduced, the control unit 51 drives the transfer system via the transfer system drive circuit 54 to transfer the silicon substrate 2 in the load lock chamber 40L to the film forming chamber 40D.

制御部51は、シリコン基板2を所定の温度に昇温させると、排気系駆動回路53、マスフローコントローラ駆動回路55及びマイクロ波電源駆動回路56を介して、成膜条件データIdに応じた各処理工程を実行させる。   When the temperature of the silicon substrate 2 is raised to a predetermined temperature, the control unit 51 performs each process according to the film formation condition data Id via the exhaust system drive circuit 53, the mass flow controller drive circuit 55, and the microwave power supply drive circuit 56. The process is executed.

すなわち、図10において、制御部51は、成膜プロセスを開始させると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC1を駆動し、反応室Sに所定の流量のZr(BHガスを導入させ、吸着工程を開始させる。例えば、制御部51は、マスフローコントローラMC1に100[sccm ]のArを供給させ、Zr(B
を含むArガス、すなわちZr(BHガスを反応室S導入させる。
That is, in FIG. 10, when the film forming process is started, the control unit 51 drives the mass flow controller MC1 via the mass flow controller drive circuit 55, and supplies Zr (BH 4 ) 4 gas at a predetermined flow rate to the reaction chamber S. Introduce and start the adsorption process. For example, the control unit 51 causes the mass flow controller MC1 to supply 100 [sccm] of Ar and Zr (B
Ar gas containing H 4 ) 4 , that is, Zr (BH 4 ) 4 gas is introduced into the reaction chamber S.

ここで、反応室SにZr(BHガスを導入している期間を、吸着期間Taという。吸着期間Taは、予め試験等に基づいて設定され、Zr(BH(吸着分子MA)がシリコン基板2の表面の全体にわたり一分子層を形成させる期間(例えば、1秒〜5秒)に設定されている。 Here, a period during which Zr (BH 4 ) 4 gas is introduced into the reaction chamber S is referred to as an adsorption period Ta. The adsorption period Ta is set in advance based on a test or the like, and a period during which Zr (BH 4 ) 4 (adsorbed molecule MA) forms a monomolecular layer over the entire surface of the silicon substrate 2 (for example, 1 to 5 seconds). Is set to

図11において、反応室Sに導入されるZr(BH(吸着分子MA)は、シリコン基板2の表面との間の強い相互作用により同表面に吸着する。すなわち、Zr(BHは、シリコン基板2の表面に対し物理的又は化学的に吸着する吸着分子MAとして機能し、同表面の全体にわたり単分子層を形成する。 In FIG. 11, Zr (BH 4 ) 4 (adsorption molecule MA) introduced into the reaction chamber S is adsorbed on the same surface by a strong interaction with the surface of the silicon substrate 2. That is, Zr (BH 4 ) 4 functions as an adsorbed molecule MA that is physically or chemically adsorbed to the surface of the silicon substrate 2 and forms a monomolecular layer over the entire surface.

図10において、制御部51は、吸着分子MAを導入させてプロセス時間が吸着期間Taだけ経過すると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC1にZr(BHガスの供給を停止させ、吸着工程を終了させる。 In FIG. 10, the control unit 51 causes the mass flow controller MC1 to stop supplying the Zr (BH 4 ) 4 gas via the mass flow controller drive circuit 55 when the process time has elapsed for the adsorption period Ta after introducing the adsorbed molecules MA. The adsorption process is terminated.

制御部51は、吸着工程を終了させると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC4を駆動させ、反応室Sに所定の流量のNガスを供給させる。また、制御部51は、マイクロ波電源駆動回路56を介してマイクロ波電源FGを駆動し、反応室Sに励起されたNガスを供給させ、改質工程を開始させる。 When the controller 51 ends the adsorption process, the controller 51 drives the mass flow controller MC4 via the mass flow controller drive circuit 55 to supply the reaction chamber S with N 2 gas having a predetermined flow rate. Further, the control unit 51 drives the microwave power source FG via the microwave power source driving circuit 56 to supply the excited N 2 gas to the reaction chamber S and start the reforming process.

ここで、マイクロ波源48にマイクロ波を発振させている期間を、改質期間Trという
。改質期間Trは、予め試験等に基づいて設定され、吸着分子MAの単分子層が窒化されてZrBの単分子膜を生成する期間(例えば、1秒〜10秒)に設定されている。
Here, the period during which the microwave source 48 oscillates the microwave is referred to as a reforming period Tr. The modification period Tr is set in advance based on a test or the like, and is set to a period (for example, 1 second to 10 seconds) in which the monomolecular layer of the adsorbed molecule MA is nitrided to generate a monomolecular film of ZrB x N y. ing.

図12において、励起されたNガス(改質ガスR)は、Zr(BH(吸着分子MA)分解反応を促進させ、シリコン基板2の表面に吸着した全ての吸着分子MAを利用して分解生成物と副生成物BP(例えば、ホウ化水素)とを生成し、分解生成物の窒化反応を促進させて窒化物MPを生成する。すなわち、励起されたNガス(改質ガスR)は、シリコン基板2の表面全体にわたりZrBの単分子膜を生成する。 In FIG. 12, the excited N 2 gas (reformed gas R) promotes the decomposition reaction of Zr (BH 4 ) 4 (adsorbed molecule MA) and uses all the adsorbed molecules MA adsorbed on the surface of the silicon substrate 2. Thus, a decomposition product and a by-product BP (for example, borohydride) are generated, and a nitridation reaction of the decomposition product is promoted to generate a nitride MP. That is, the excited N 2 gas (reformed gas R) generates a monomolecular film of ZrB x N y over the entire surface of the silicon substrate 2.

図10において、制御部51は、マイクロ波を発振させてプロセス時間が改質期間Trだけ経過すると、マスフローコントローラ駆動回路55を介してマスフローコントローラMC4にNガスの供給を停止させる。制御部51は、マイクロ波電源駆動回路56を介してマイクロ波の発振を停止させる。すなわち、改質工程を終了させる。 In FIG. 10, the control unit 51 oscillates the microwave and stops the supply of N 2 gas to the mass flow controller MC 4 via the mass flow controller drive circuit 55 when the process time has passed for the reforming period Tr. The control unit 51 stops the microwave oscillation via the microwave power supply driving circuit 56. That is, the reforming process is terminated.

制御部51は、改質工程を終了させると、再び、マスフローコントローラ駆動回路55を介してマスフローコントローラMC1を駆動し、所定の流量の吸着分子MAを反応室Sに導入させる。すなわち、再び、吸着工程を開始させる。以後同様に、制御部51は、上記吸着工程と上記改質工程とを交互に繰り返し、窒化物MPからなる単分子層MLを順に積層させる。   When the reforming step is completed, the controller 51 drives the mass flow controller MC1 again via the mass flow controller drive circuit 55 to introduce the adsorbed molecules MA having a predetermined flow rate into the reaction chamber S. That is, the adsorption process is started again. Thereafter, similarly, the control unit 51 alternately repeats the adsorption step and the modification step, and sequentially deposits the monomolecular layer ML made of the nitride MP.

これによって、成膜装置40は、ZrBを主成分としたメタルキャップ層16,37を単原子層ずつ積み重ねて形成させることができる。そのため、メタルキャップ層16,37が、下地の情報(すなわち、下地が導電膜であるか否か)を確実に引き継ぐことができる。 Thus, the film forming apparatus 40 can form the metal cap layers 16 and 37 mainly composed of ZrB x N y by stacking monoatomic layers. Therefore, the metal cap layers 16 and 37 can reliably inherit the information on the base (that is, whether the base is a conductive film).

上記実施形態によれば、以下の効果を奏する。
(1)上記実施形態では、反応室Sに吸着期間Taの間だけZr(BHガスを導入し、第2層間絶縁膜11の表面と第1配線13の表面、あるいはハードマスク32の表面と第2配線34の表面に、それぞれZr(BHを吸着させ、吸着分子MAからなる単分子層を形成する。そして、吸着期間Taの経過後、改質期間Trの間だけ、反応室Sに励起されたN2ガスを導入し、吸着分子MAを利用してZrBの単分子膜を生成する。
According to the said embodiment, there exist the following effects.
(1) In the above embodiment, Zr (BH 4 ) 4 gas is introduced into the reaction chamber S only during the adsorption period Ta, and the surface of the second interlayer insulating film 11 and the surface of the first wiring 13 or the hard mask 32 Zr (BH 4 ) 4 is adsorbed on the surface and the surface of the second wiring 34 to form a monomolecular layer made of adsorbed molecules MA. Then, after the adsorption period Ta has elapsed, only during the reforming period Tr, N2 gas excited in the reaction chamber S is introduced, and a monomolecular film of ZrB x N y is generated using the adsorbed molecules MA.

したがって、ZrBを主成分とするメタルキャップ層16,37を、単原子層ずつ積み重ねることができ、各メタルキャップ層16,37が、それぞれ対応する下地の情報、すなわち、下地が導電膜であるか否かを確実に引き継ぐことができる。この結果、各メタルキャップ層16,37が、それぞれ第1配線13及び第2配線34に応じた領域にのみ導電性を有し、隣接する第1配線13間及び隣接する第2配線34間の短絡を、より確実に回避させる。 Therefore, the metal cap layers 16 and 37 mainly composed of ZrB x N y can be stacked in monoatomic layers, and each metal cap layer 16 and 37 has information on the corresponding base, that is, the base is a conductive film. It is possible to reliably take over whether or not. As a result, each of the metal cap layers 16 and 37 has conductivity only in a region corresponding to the first wiring 13 and the second wiring 34, and between the adjacent first wirings 13 and between the adjacent second wirings 34. Short circuit can be avoided more reliably.

尚、上記実施形態は、以下の態様で実施してもよい。
・上記第二実施形態では、改質工程の期間だけ、励起されたN2ガスを導入させる構成にした。これに限らず、例えば、図13に示すように、改質工程と吸着工程の双方で、励起されたN2ガスを導入させる構成にしてもよい。すなわち、吸着分子MAを導入するときに、励起されたNガスを導入する構成にしてもよい。この構成によれば、Zr(BHガスと励起されたNガスの気相反応とZr(BHの吸着反応とを同時に行うことができる。この結果、吸着分子MAの未反応分に相等するZr(BH、あるいは、気相反応の未反応分に想到するZr(BHを、より確実にZrBとすることができ、より均一なZr−N結合を形成させることができる。
In addition, you may implement the said embodiment in the following aspects.
In the second embodiment, the excited N2 gas is introduced only during the reforming step. For example, as shown in FIG. 13, an excited N 2 gas may be introduced in both the reforming process and the adsorption process. In other words, when introducing the adsorbed molecule MA, an excited N 2 gas may be introduced. According to this configuration, the gas phase reaction of Zr (BH 4 ) 4 gas and excited N 2 gas and the adsorption reaction of Zr (BH 4 ) 4 can be performed simultaneously. As a result, Zr (BH 4 ) 4 equivalent to the unreacted portion of the adsorbed molecule MA or Zr (BH 4 ) 4 conceived to the unreacted portion of the gas phase reaction is more reliably set to ZrB x N y. And a more uniform Zr—N bond can be formed.

・上記実施形態では、金属層を第1配線13及び第2配線34に具体化した。これに限らず、例えば、金属層を容量素子の電極や誘導素子に具体化してもよい。   In the above embodiment, the metal layer is embodied in the first wiring 13 and the second wiring 34. For example, the metal layer may be embodied as an electrode of a capacitive element or an inductive element.

本発明の半導体装置を示す要部断面図。FIG. 3 is a cross-sectional view of a main part showing a semiconductor device of the present invention. 成膜装置を示す平面図。The top view which shows the film-forming apparatus. 成膜チャンバを示す概略断面図。FIG. 成膜装置の電気的構成を示すブロック回路図。1 is a block circuit diagram showing an electrical configuration of a film forming apparatus. 第一実施形態の製造工程を示すタイムチャート。The time chart which shows the manufacturing process of 1st embodiment. 実施例2の元素分析結果を示す図。The figure which shows the elemental-analysis result of Example 2. FIG. 比較例1の元素分析結果を示す図。The figure which shows the elemental-analysis result of the comparative example 1. 比較例2の元素分析結果を示す図。The figure which shows the elemental-analysis result of the comparative example 2. 比較例7の元素分析結果を示す図。The figure which shows the elemental-analysis result of the comparative example 7. 第二実施形態の製造工程を示すタイムチャート。The time chart which shows the manufacturing process of 2nd embodiment. 第二実施形態の吸着工程を説明する工程図。Process drawing explaining the adsorption | suction process of 2nd embodiment. 第二実施形態の改質工程を説明する工程図。Process drawing explaining the modification | reformation process of 2nd embodiment. 変更例における半導体装置の製造工程を示すタイムチャート。The time chart which shows the manufacturing process of the semiconductor device in the example of a change.

符号の説明Explanation of symbols

MC1…第一供給手段を構成するマスフローコントローラ、MC4…第二供給手段を構成するマスフローコントローラ、S…反応室、T…第一供給手段を構成する供給タンク、1…半導体装置、2…半導体基板としてのシリコン基板、2b…素子領域、11…絶縁層を構成する第2層間絶縁膜、12…凹部を構成する第1トレンチ、13…金属層を構成する第1配線、15…第1配線層、16…第1メタルキャップ層、21…絶縁層を構成する第3層間絶縁膜、23…凹部を構成するビアホール、33…凹部を構成する第2トレンチ、31…絶縁層を構成する第4層間絶縁膜、34…金属層を構成する第2配線、36…第2配線層、37…第2メタルキャップ層、40…半導体装置の製造装置としての成膜装置、41…チャンバ本体、51…制御手段を構成する制御部。   MC1 ... mass flow controller constituting the first supply means, MC4 ... mass flow controller constituting the second supply means, S ... reaction chamber, T ... supply tank constituting the first supply means, 1 ... semiconductor device, 2 ... semiconductor substrate 2b ... element region, 11 ... second interlayer insulating film constituting the insulating layer, 12 ... first trench constituting the recess, 13 ... first wiring constituting the metal layer, 15 ... first wiring layer , 16 ... 1st metal cap layer, 21 ... 3rd interlayer insulation film which comprises an insulating layer, 23 ... Via hole which comprises a recessed part, 33 ... 2nd trench which comprises a recessed part, 31 ... 4th interlayer which comprises an insulating layer Insulating film, 34 ... second wiring constituting metal layer, 36 ... second wiring layer, 37 ... second metal cap layer, 40 ... deposition apparatus as a semiconductor device manufacturing apparatus, 41 ... chamber body, 51 ... Control portion constituting the control means.

Claims (8)

素子領域を有する半導体基板に絶縁層を積層する絶縁層工程と、
前記絶縁層に凹部を形成する凹部工程と、
前記凹部に金属層を埋め込む金属層工程と、
前記絶縁層の表面と前記金属層の表面とを略同一面に平坦化する平坦化工程と、
前記半導体基板を有した反応室に、Zr(BHと励起された窒素ガスとを供給し、平坦化した前記絶縁層の表面及び前記金属層の表面に、少なくともジルコニウム元素と窒素元素とを含むメタルキャップ層を成膜するメタルキャップ層工程と、
を備えたことを特徴とする半導体装置の製造方法。
An insulating layer step of laminating an insulating layer on a semiconductor substrate having an element region;
A recess step for forming a recess in the insulating layer;
A metal layer step of embedding a metal layer in the recess;
A planarization step of planarizing the surface of the insulating layer and the surface of the metal layer in substantially the same plane;
Zr (BH 4 ) 4 and excited nitrogen gas are supplied to the reaction chamber having the semiconductor substrate, and at least a zirconium element and a nitrogen element are provided on the planarized surface of the insulating layer and the surface of the metal layer. A metal cap layer step of forming a metal cap layer containing
A method for manufacturing a semiconductor device, comprising:
請求項1に記載の半導体装置の製造方法であって、
前記メタルキャップ層工程は、
前記反応室の外側で前記窒素ガスにマイクロ波を照射し、励起された前記窒素ガスを前記反応室に導入すること、
を特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The metal cap layer process includes
Irradiating the nitrogen gas with microwaves outside the reaction chamber and introducing the excited nitrogen gas into the reaction chamber;
A method of manufacturing a semiconductor device.
請求項1又は2に記載の半導体装置の製造方法であって、
前記メタルキャップ層工程は、
前記Zr(BHと励起された前記窒素ガスとを用いたCVD法により前記メタルキャップ層を成膜すること、
を特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1 or 2,
The metal cap layer process includes
Forming the metal cap layer by a CVD method using the Zr (BH 4 ) 4 and the excited nitrogen gas;
A method of manufacturing a semiconductor device.
請求項1又は2に記載の半導体装置の製造方法であって、
前記メタルキャップ層工程は、
前記Zr(BHと励起された前記窒素ガスとを用いた原子層蒸着法により前記メタルキャップ層を成膜すること、
を特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1 or 2,
The metal cap layer process includes
Forming the metal cap layer by an atomic layer deposition method using the Zr (BH 4 ) 4 and the excited nitrogen gas;
A method of manufacturing a semiconductor device.
請求項1〜4のいずれか1つに記載の半導体装置の製造方法であって、
前記メタルキャップ層工程は、
前記半導体基板を260℃未満に加熱して前記メタルキャップ層を成膜すること、
を特徴とする半導体装置の製造方法。
It is a manufacturing method of the semiconductor device according to any one of claims 1 to 4,
The metal cap layer process includes
Heating the semiconductor substrate to less than 260 ° C. to form the metal cap layer;
A method of manufacturing a semiconductor device.
チャンバ本体と、
前記チャンバ本体の反応室に設けられ半導体基板を載置するステージと、
前記反応室にZr(BHを供給する第一供給手段と、
前記反応室に励起された窒素ガスを供給する第二供給手段と、
前記第一供給手段及び前記第二供給手段を駆動制御する制御手段と、
を備え、
前記制御手段は、
前記第一供給手段と前記第二供給手段を駆動制御して、前記Zr(BHと励起された前記窒素ガスとを前記反応室に供給し、前記半導体基板の表面に少なくともジルコニウム元素と窒素元素を含むメタルキャップ層を成膜すること、
を備えたことを特徴とする半導体装置の製造装置。
A chamber body;
A stage that is provided in the reaction chamber of the chamber body and on which a semiconductor substrate is placed;
First supply means for supplying Zr (BH 4 ) 4 to the reaction chamber;
Second supply means for supplying excited nitrogen gas to the reaction chamber;
Control means for driving and controlling the first supply means and the second supply means;
With
The control means includes
The first supply unit and the second supply unit are driven and controlled to supply the Zr (BH 4 ) 4 and the excited nitrogen gas to the reaction chamber, and at least zirconium element is formed on the surface of the semiconductor substrate. Forming a metal cap layer containing nitrogen element;
An apparatus for manufacturing a semiconductor device, comprising:
請求項6に記載の半導体装置の製造装置であって、
前記制御手段は、
前記第一供給手段と第二供給手段を駆動制御して、前記Zr(BHと励起した前記窒素ガスとを共に前記反応室に供給し、前記半導体基板の表面に少なくともジルコニウ
ム元素と窒素元素を含むメタルキャップ層を成膜すること、
を備えたことを特徴とする半導体装置の製造装置。
An apparatus for manufacturing a semiconductor device according to claim 6,
The control means includes
The first supply means and the second supply means are driven and controlled to supply both the Zr (BH 4 ) 4 and the excited nitrogen gas to the reaction chamber, and at least zirconium element and nitrogen are formed on the surface of the semiconductor substrate. Forming a metal cap layer containing an element;
An apparatus for manufacturing a semiconductor device, comprising:
請求項6に記載の半導体装置の製造装置であって、
前記制御手段は、
前記第一供給手段と前記第二供給手段を駆動制御して、前記Zr(BHと励起した前記窒素ガスとを交互に前記反応室に供給し、前記半導体基板の表面にZr(BHを吸着させた後、励起した前記窒素ガスによって、吸着させた前記Zr(BHを少なくともジルコニウム元素と窒素元素を含むメタルキャップ層に改質させること、
を特徴とする半導体装置の製造装置。
An apparatus for manufacturing a semiconductor device according to claim 6,
The control means includes
The first supply means and the second supply means are driven and controlled to supply the Zr (BH 4 ) 4 and the excited nitrogen gas alternately to the reaction chamber, and to the surface of the semiconductor substrate with Zr (BH 4) 4 after adsorption and by the excited said nitrogen gas, be reformed to the metal cap layer containing at least zirconium element and nitrogen element the Zr (BH 4) 4 adsorbed,
An apparatus for manufacturing a semiconductor device.
JP2007048065A 2007-02-27 2007-02-27 Method and apparatus for manufacturing semiconductor device Pending JP2008211090A (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2007048065A JP2008211090A (en) 2007-02-27 2007-02-27 Method and apparatus for manufacturing semiconductor device
PCT/JP2008/053163 WO2008105360A1 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
CN2011102702679A CN102290372A (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR1020117019031A KR20110099064A (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US12/528,811 US8043963B2 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR1020097020079A KR101181389B1 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
CN2008800062867A CN101627459B (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
TW097106539A TWI392025B (en) 2007-02-27 2008-02-26 Method and apparatus for manufacturing semiconductor device
US13/273,612 US8367542B2 (en) 2007-02-27 2011-10-14 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007048065A JP2008211090A (en) 2007-02-27 2007-02-27 Method and apparatus for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2008211090A true JP2008211090A (en) 2008-09-11
JP2008211090A5 JP2008211090A5 (en) 2010-04-02

Family

ID=39787121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007048065A Pending JP2008211090A (en) 2007-02-27 2007-02-27 Method and apparatus for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2008211090A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013110142A (en) * 2011-11-17 2013-06-06 Ulvac Japan Ltd Insulation film formation method and insulation film formation device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4239536A (en) * 1977-09-09 1980-12-16 Sumitomo Electric Industries, Ltd. Surface-coated sintered hard body
JP2003017496A (en) * 2001-04-27 2003-01-17 Fujitsu Ltd Semiconductor device and method for manufacturing the same
WO2004055235A1 (en) * 2002-12-13 2004-07-01 Walter Ag Method for chemical vapour deposition (cvd) of zrbxcynz (or x+y+z=1) layers and a cutting tool coated with said layer
JP2004259753A (en) * 2003-02-24 2004-09-16 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006009144A (en) * 2004-05-21 2006-01-12 Ulvac Japan Ltd Vacuum film-forming apparatus
JP2006057162A (en) * 2004-08-23 2006-03-02 Ulvac Japan Ltd Method for forming barrier film
WO2008056742A1 (en) * 2006-11-09 2008-05-15 Ulvac, Inc. Barrier film forming method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4239536A (en) * 1977-09-09 1980-12-16 Sumitomo Electric Industries, Ltd. Surface-coated sintered hard body
JP2003017496A (en) * 2001-04-27 2003-01-17 Fujitsu Ltd Semiconductor device and method for manufacturing the same
WO2004055235A1 (en) * 2002-12-13 2004-07-01 Walter Ag Method for chemical vapour deposition (cvd) of zrbxcynz (or x+y+z=1) layers and a cutting tool coated with said layer
JP2004259753A (en) * 2003-02-24 2004-09-16 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006009144A (en) * 2004-05-21 2006-01-12 Ulvac Japan Ltd Vacuum film-forming apparatus
JP2006057162A (en) * 2004-08-23 2006-03-02 Ulvac Japan Ltd Method for forming barrier film
WO2008056742A1 (en) * 2006-11-09 2008-05-15 Ulvac, Inc. Barrier film forming method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013110142A (en) * 2011-11-17 2013-06-06 Ulvac Japan Ltd Insulation film formation method and insulation film formation device

Similar Documents

Publication Publication Date Title
KR101181389B1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP6962955B2 (en) How to enable seamless cobalt gap filling
US8653665B2 (en) Barrier layer, film forming method, and processing system
JP5702154B2 (en) Recessed Cu filler without bubbles using smooth and non-aggregated Cu seed layer
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
KR101171587B1 (en) Semiconductor device manufacturing method and storage medium
TWI436428B (en) Method for forming ruthenium metal cap layers
KR20140085330A (en) Manganese metal film forming method, processing system, electronic device manufacturing method and electronic device
JP6117588B2 (en) Method for forming Cu wiring
KR20150005533A (en) Method for manufacturing semiconductor device, semiconductor device, and apparatus for producing semiconductor
JP4960193B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP2014236192A (en) Formation method of manganese oxide film
JP5969306B2 (en) Method for forming Cu wiring
JP3208124B2 (en) Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device
JP5234718B2 (en) Manufacturing method of semiconductor device
US7846839B2 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
JP2005260060A (en) Resist removing apparatus and resist removing method, and semiconductor device manufactured by using the method
JP5389386B2 (en) Manufacturing method of semiconductor device
TWI609095B (en) Methods for manganese nitride integration
JP2008211090A (en) Method and apparatus for manufacturing semiconductor device
JP3715975B2 (en) Manufacturing method of multilayer wiring structure
JP2010010624A (en) Manufacturing apparatus of semiconductor device and for manufacturing method of semiconductor device
JP2010010625A (en) Manufacturing apparatus of semiconductor device and manufacturing method of semiconductor device
JP2014175355A (en) Semiconductor device manufacturing method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130226