JP2008153365A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2008153365A
JP2008153365A JP2006338563A JP2006338563A JP2008153365A JP 2008153365 A JP2008153365 A JP 2008153365A JP 2006338563 A JP2006338563 A JP 2006338563A JP 2006338563 A JP2006338563 A JP 2006338563A JP 2008153365 A JP2008153365 A JP 2008153365A
Authority
JP
Japan
Prior art keywords
film
plasma
processing
semiconductor device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006338563A
Other languages
Japanese (ja)
Inventor
Junichi Tanaka
潤一 田中
Kazuya Horie
一也 堀江
Shizuo Kobayashi
志津夫 小林
Yasuharu Iijima
康晴 飯島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2006338563A priority Critical patent/JP2008153365A/en
Publication of JP2008153365A publication Critical patent/JP2008153365A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide an optimum processing method for swiftly recovering a plasma processor to a state that it is available for manufacturing a semiconductor device after maintenance without using a special wafer or the like. <P>SOLUTION: After such the maintenance as to clean a part, a reaction product obtained by etching a part (crude material part) constituting a processing chamber of the plasma processor is attached to another part (coating part) to form a depositional film before a product wafer is processed, and processing of stabilizing the formed depositional film is applied, thereby coating the coating part with a compound film made of a material of the crude material part. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置の製造技術に関し、特に、プラズマ処理装置を用いた半導体装置の製造に適用して有効な技術に関するものである。   The present invention relates to a semiconductor device manufacturing technique, and more particularly to a technique effective when applied to the manufacture of a semiconductor device using a plasma processing apparatus.

特開2004−235349号公報(特許文献1)には、ダミー基板(ダミーウェハ)を用いて実際の製品処理を模擬したエッチング処理(ダミー処理)を繰り返して行い、装置に取り付けたセンサーデータを用いて処理状態がメンテナンス前の状態に復帰したことを診断する方法が開示されている。   In JP 2004-235349 A (Patent Document 1), a dummy substrate (dummy wafer) is used to repeat an etching process (dummy process) that simulates an actual product process, and sensor data attached to the apparatus is used. A method of diagnosing that the processing state has returned to the state before maintenance is disclosed.

特開2004−39935号公報(特許文献2)には、ダミー基板を50枚ほど実際のプロセスと同様なプロセス条件で処理してメンテナンス後のシーズニング処理を行うことが開示されている。   Japanese Patent Laying-Open No. 2004-39935 (Patent Document 2) discloses that seasoning after maintenance is performed by processing about 50 dummy substrates under the same process conditions as the actual process.

特開2004−31380号公報(特許文献3)には、メンテナンス後にアルミニウムウェハをエッチングすることにより石英部品の表面をフッ化アルミニウム(AlF)で被覆してプロセス性能を安定化する方法が開示されている。
特開2004−235349号公報 特開2004−39935号公報 特開2004−31380号公報
Japanese Patent Laid-Open No. 2004-31380 (Patent Document 3) discloses a method of stabilizing the process performance by coating the surface of a quartz part with aluminum fluoride (AlF) by etching an aluminum wafer after maintenance. Yes.
JP 2004-235349 A JP 2004-39935 A JP 2004-31380 A

ウェハ上に半導体装置を形成する半導体製造ラインにおいては、プラズマ処理装置によりウェハを加工するドライ加工プロセスが多用される。プラズマ処理には、例えば、プラズマ中のイオンやラジカル(活性反応種)によりウェハ上の薄膜を削ってパターンを形成するプラズマエッチングがある。プラズマエッチング装置で繰り返しウェハのエッチング処理を行なうと、プラズマ中で解離したガスや、ウェハ上の化学反応により放出される反応生成物などがプラズマ処理室を構成する部品やウェハの搬送経路に付着して堆積膜が成長する。この堆積膜が成長すると堆積膜が剥がれやすくなり、剥がれた堆積膜が異物となる。この異物がウェハ上に落ちると、加工処理を阻害するようになる。   In a semiconductor manufacturing line for forming a semiconductor device on a wafer, a dry processing process for processing a wafer by a plasma processing apparatus is frequently used. Plasma processing includes, for example, plasma etching in which a pattern is formed by scraping a thin film on a wafer with ions or radicals (active reactive species) in the plasma. When wafers are repeatedly etched using a plasma etching system, gas dissociated in the plasma and reaction products released by chemical reactions on the wafer adhere to the components that make up the plasma processing chamber and the wafer transport path. As a result, the deposited film grows. When this deposited film grows, the deposited film easily peels off, and the peeled deposited film becomes a foreign substance. When the foreign matter falls on the wafer, the processing is hindered.

これを防ぐために、堆積膜を除去するような性質を持つプラズマを用いたプラズマクリーニングが行われている。堆積膜がシリコン系やフロロカーボン系などのプラズマクリーニングで除去しやすいものであればプラズマクリーニングにより堆積膜を除去できる。例えば、シリコン系の堆積物はフッ素系のプラズマにより除去することができる。   In order to prevent this, plasma cleaning using plasma having a property of removing a deposited film is performed. If the deposited film can be easily removed by plasma cleaning such as silicon or fluorocarbon, the deposited film can be removed by plasma cleaning. For example, silicon-based deposits can be removed by fluorine-based plasma.

しかし、すべての堆積膜をプラズマクリーニングにより完全に除去できるわけではなく、徐々に堆積膜が成長してしまうことが多い。プラズマクリーニングで取れにくい代表的な例は、フッ化アルミニウム(AlF)やフッ化イットリウム(YF)などである。フッ化アルミニウムやフッ化イットリウムは飽和蒸気圧が低いのと、Al原子やY原子とF原子の結合エネルギーが大きいため、プラズマの化学反応により完全に除去することが困難な難エッチング性の堆積物である。ゲート電極を加工するために用いられるプラズマエッチング装置などにおいて、プラズマ処理室を構成する部品にアルミニウムやイットリウムが含まれる場合は、該当部品がプラズマにより削られてアルミニウムやイットリウムがプラズマ中に放出される。そして、シリコンのプラズマクリーニングのためにフッ素系プラズマを用いたときなどにフッ化アルミニウムやフッ化イットリウムが生成されることになる。アルミニウムやイットリウムを含む部品としては処理室側壁やアース、試料台、ウェハを吸着するための静電チャックなどが挙げられる。   However, not all deposited films can be completely removed by plasma cleaning, and the deposited films often grow gradually. Typical examples that are difficult to remove by plasma cleaning are aluminum fluoride (AlF) and yttrium fluoride (YF). Aluminum fluoride and yttrium fluoride have low saturation vapor pressure and high binding energy between Al atoms, Y atoms, and F atoms, and are difficult to remove due to the chemical reaction of plasma. It is. In a plasma etching apparatus or the like used to process a gate electrode, when aluminum or yttrium is contained in a part constituting the plasma processing chamber, the part is scraped by the plasma and aluminum or yttrium is released into the plasma. . Aluminum fluoride or yttrium fluoride is generated when fluorine plasma is used for plasma cleaning of silicon. Examples of the parts containing aluminum or yttrium include a processing chamber side wall, a ground, a sample stage, and an electrostatic chuck for adsorbing a wafer.

このため、ある時間以上のプラズマ放電を行なったり、ある枚数以上のウェハを処理した後には、プラズマ処理室を開けて処理室を構成する部品を洗浄するためのメンテナンスを行なう。一般的な装置での処理の流れを図8に示す。図8に示すように、メンテナンスのためには低圧下におかれているチャンバ(処理室)を大気開放し(S1001)、部品を取り出して洗浄する(S1002)。メンテナンス時の部品の洗浄は、堆積膜を溶液につけて化学的に除去したり、物理的に拭き取ったりする。部品の洗浄工程では水やアルコール、希フッ酸溶液などの洗浄溶液を用いて堆積膜を徹底的に除去する。部品の洗浄工程が終了するときには、洗浄した部品か清浄な交換部品をプラズマ処理室に戻して処理室を再び組み立てる(S1003)。そして、プラズマを維持するのに適したガス圧力に戻して(S1004)、プラズマ処理が再開できる状態に復帰させる。   For this reason, after performing plasma discharge for a certain period of time or processing a certain number of wafers or more, maintenance is performed to open the plasma processing chamber and clean the components constituting the processing chamber. A flow of processing in a general apparatus is shown in FIG. As shown in FIG. 8, for maintenance, a chamber (processing chamber) placed under a low pressure is opened to the atmosphere (S1001), and parts are taken out and cleaned (S1002). Cleaning of parts at the time of maintenance involves attaching the deposited film to the solution to chemically remove it or physically wiping it off. In the cleaning process of parts, the deposited film is thoroughly removed using a cleaning solution such as water, alcohol or dilute hydrofluoric acid solution. When the component cleaning process is completed, the cleaned component or the clean replacement component is returned to the plasma processing chamber and the processing chamber is reassembled (S1003). And it returns to the gas pressure suitable for maintaining plasma (S1004), and it returns to the state which can restart plasma processing.

ところが、プラズマ処理装置に求められる加工精度が高くなってきた近年では、メンテナンス後にウェハの処理を再開すると、メンテナンス前と同じ処理条件でも同じ処理結果とならないことが問題となっている。特に、ゲート電極を加工するプラズマエッチング装置では、トランジスタのゲート加工寸法を数ナノメートルのばらつきで目標値に合わせなければならなくなっているため、メンテナンス前後の加工寸法の変化は深刻な問題である。   However, in recent years when the processing accuracy required for plasma processing apparatuses has increased, there is a problem that when the wafer processing is resumed after maintenance, the same processing result is not obtained even under the same processing conditions as before maintenance. In particular, in a plasma etching apparatus for processing a gate electrode, the gate processing dimension of a transistor has to be adjusted to a target value with a variation of several nanometers, so that a change in processing dimension before and after maintenance is a serious problem.

このようなメンテナンス前後の処理状態の差を解消するために、メンテナンス後にシーズニング処理と呼ばれるダミー処理を繰り返し行って処理状態をメンテナンス前の状態に復帰させる方法が実施されてきている。図8においても、製品ウェハを加工処理する前にシーズニング処理を行うような手順を示している(S1005)。図9にゲート電極を加工するプラズマエッチング装置における加工寸法の変動のトレンドを示す。図9に示すように、メンテナンス後に加工寸法が大きく変動するために、上記のようなメンテナンス後にシーズニング処理を行う。このシーズニング処理により加工寸法が安定していく。加工寸法を寸法検査により確認しながらシーズニング処理を続行し、その寸法検査値が製品規格値の上限よりも小さくなった時点で製品の処理を開始できるようになる。この時点でシーズニング処理が終了する。例えば、図9においては、メンテナンス開始時点100までは、プラズマエッチング装置の加工寸法が加工寸法規格上限値104と加工寸法規格下限値103の間に入っている。そして、部品の洗浄などを行なうメンテナンス期間105を経たメンテナンス終了時点101の直後には、プラズマエッチング装置の加工寸法は、加工寸法規格上限値104を大幅に超えてしまう。そこで、メンテナンス終了後、シーズニング処理を行なう。このシーズニング処理を実施することにより、加工寸法が規格範囲に近づいてくる。そして、加工寸法が規格範囲内に入るまでシーズニング処理を実施する。図9では、シーズニング処理期間106が示されている。シーズニング処理期間106が経過して加工寸法が規格範囲に入る製品処理開始時点102から製品処理期間107が開始される。すなわち、製品ウェハの加工処理を行ない(S1006)、例えば、1枚のウェハを処理する毎に、あるいは、1ロット分のウェハを処理する毎にプラズマクリーニングを実施する(S1007)。プラズマクリーニングを実施してもウェハ処理を重ねるとチャンバ内が汚れていくのでやがて次のメンテナンスが必要になり、上記の手順が繰り返される(S1008)。メンテナンスを実施する時期は、処理したウェハの枚数、プラズマを放電させている放電時間あるいは異物数のカウントなどによって判断される。   In order to eliminate such a difference in processing state before and after maintenance, a method has been implemented in which dummy processing called seasoning processing is repeatedly performed after maintenance to return the processing state to the state before maintenance. FIG. 8 also shows a procedure for performing seasoning before processing a product wafer (S1005). FIG. 9 shows a trend of variation in processing dimensions in a plasma etching apparatus for processing a gate electrode. As shown in FIG. 9, since the processing dimension largely fluctuates after the maintenance, the seasoning process is performed after the maintenance as described above. This seasoning process stabilizes the processing dimensions. The seasoning process is continued while confirming the processing dimension by the dimension inspection, and the product process can be started when the dimension inspection value becomes smaller than the upper limit of the product standard value. At this point, the seasoning process ends. For example, in FIG. 9, until the maintenance start time 100, the processing dimension of the plasma etching apparatus is between the processing dimension standard upper limit value 104 and the processing dimension standard lower limit value 103. Immediately after the maintenance end point 101 after the maintenance period 105 for cleaning the parts, the processing dimension of the plasma etching apparatus greatly exceeds the processing dimension standard upper limit 104. Therefore, the seasoning process is performed after the maintenance. By performing this seasoning process, the processing dimension approaches the standard range. Then, the seasoning process is performed until the processing dimension falls within the standard range. In FIG. 9, a seasoning processing period 106 is shown. The product processing period 107 is started from the product processing start time point 102 when the seasoning processing period 106 elapses and the machining dimension falls within the standard range. That is, the product wafer is processed (S1006). For example, plasma cleaning is performed every time one wafer is processed or each lot of wafers is processed (S1007). Even if the plasma cleaning is performed, if the wafer processing is repeated, the inside of the chamber becomes dirty, so that the next maintenance is required, and the above procedure is repeated (S1008). The timing for performing maintenance is determined by the number of processed wafers, the discharge time during which plasma is discharged, the count of the number of foreign matters, and the like.

このメンテナンス前後のプラズマ処理結果の変動の原因は、メンテナンスでの洗浄により処理室内の各部品の表面状態が変化したことにある。実際、処理室内壁の表面状態が変わると、それによりラジカルの表面反応が変わってそこで生成される反応生成物が変わり、その結果プラズマ中のラジカルの状態が変化してプラズマ処理に影響を与えることなども知られている。   The cause of the fluctuation in the plasma processing result before and after the maintenance is that the surface state of each component in the processing chamber is changed by the cleaning in the maintenance. In fact, if the surface state of the processing chamber wall changes, the surface reaction of radicals changes, and the reaction products generated there change, resulting in changes in the state of radicals in the plasma, affecting the plasma treatment. Etc. are also known.

シーズニング処理としてダミーウェハを処理する特許文献1や特許文献2に開示されている方法ではプロセス状態がメンテナンス前の状態に戻るまでには数百枚以上のダミーウェハを処理する必要があり、このために非常に長い時間がかかってしまう。このシーズニング処理の間はプラズマ処理装置を製品の生産に使えないため、生産効率が低下する。   In the methods disclosed in Patent Document 1 and Patent Document 2 that process dummy wafers as seasoning processing, it is necessary to process several hundred or more dummy wafers before the process state returns to the state before maintenance. Takes a long time. Since the plasma processing apparatus cannot be used for product production during the seasoning process, production efficiency decreases.

特許文献1では、さらに、酸化膜ウェハ(SiOウェハ)を用いることによりシーズニング処理を短縮する方法が開示されているが、これは金属汚染を低減するのに有効な方法として報告されており、プロセス性能の変動を抑えるための短縮方法は開示されていない。   Patent Document 1 further discloses a method of shortening the seasoning process by using an oxide film wafer (SiO wafer), but this is reported as an effective method for reducing metal contamination. A shortening method for suppressing performance fluctuations is not disclosed.

また、特許文献3ではアルミニウムよりなるウェハをエッチングして非常に短時間でシーズニング処理を完了する方法が開示されている。しかし、通常の半導体量産ラインではアルミニウムは配線層で用いられるため、その電気的特性の最適化のために銅(Cu)が混ざったAlCu膜を用いており、純粋なアルミニウム膜を得ることは基本的にできない。一方、銅の混ざったアルミニウム膜をCMOS(Complementary Metal Oxide Semiconductor)のフロントエンドのゲートエッチング装置でエッチングすると銅汚染によりデバイスの寿命短命化などの大きな障害が生じる。すなわち、メンテナンス毎にアルミニウムよりなるウェハをエッチングするという手法では、シーズニング処理のためだけに純粋なアルミニウム膜を形成したウェハを用意し、それを維持管理しなければならない。これは、製造コストの増加になるため現実的には実施が困難である。   Patent Document 3 discloses a method of completing a seasoning process in a very short time by etching a wafer made of aluminum. However, since aluminum is used in the wiring layer in normal semiconductor mass production lines, an AlCu film mixed with copper (Cu) is used to optimize its electrical characteristics, and it is fundamental to obtain a pure aluminum film. I can't do it. On the other hand, when an aluminum film mixed with copper is etched by a gate etching apparatus at the front end of a complementary metal oxide semiconductor (CMOS), a major obstacle such as shortening the life of the device occurs due to copper contamination. That is, in the method of etching a wafer made of aluminum for each maintenance, a wafer on which a pure aluminum film is formed only for seasoning processing must be prepared and maintained. Since this increases the manufacturing cost, it is practically difficult to implement.

本発明の目的は、特殊なウェハ等を使用することなくプラズマ処理装置をメンテナンス後に速やかに半導体装置の製造に使える状態に回復するために最適な処理方法を提供することにある。   An object of the present invention is to provide an optimum processing method for recovering a plasma processing apparatus to a state usable for manufacturing a semiconductor device immediately after maintenance without using a special wafer or the like.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明による半導体装置の製造方法は、(a)プラズマ処理装置の処理室を構成する部品を洗浄してメンテナンスを実施する工程と、(b)前記(a)工程後に、前記処理室を構成する第1部品をエッチングし、前記第1部品に含まれる材料を有する膜を、前記処理室を構成する第2部品の表面に形成する工程とを備えることを特徴とする。   The method of manufacturing a semiconductor device according to the present invention includes: (a) a step of cleaning a part constituting the processing chamber of the plasma processing apparatus and performing maintenance; and (b) a step of configuring the processing chamber after the step (a). Etching the first part, and forming a film having a material contained in the first part on a surface of the second part constituting the processing chamber.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

メンテナンスでプラズマ処理装置のチャンバを構成する第1部品をエッチングし、そこで発生した反応生成物を第2部品の表面に付着させて安定化することにより、メンテナンスにおける部品洗浄で第2部品の表面状態が露出した結果によるプロセスの変動を防ぐことができる。この処理によってチャンバ内の表面状態を短時間で製造に適した状態にできるようになり、製品処理を早く開始できるようになるためプラズマ処理装置のスループットが向上する。   The first part constituting the chamber of the plasma processing apparatus is etched during maintenance, and the reaction product generated there is adhered to the surface of the second part and stabilized, so that the surface condition of the second part is maintained during part cleaning during maintenance. It is possible to prevent process variations due to the result of exposure. By this process, the surface state in the chamber can be brought into a state suitable for manufacturing in a short time, and the product process can be started early, so that the throughput of the plasma processing apparatus is improved.

以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。   In the following embodiments, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other. There are some or all of the modifications, details, supplementary explanations, and the like.

また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でもよい。   Further, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), especially when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number.

さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。   Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say.

同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうではないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   Similarly, in the following embodiments, when referring to the shape, positional relationship, etc., of components, etc., unless otherwise specified, and in principle, it is considered that this is not clearly the case, it is substantially the same. Including those that are approximate or similar to the shape. The same applies to the above numerical values and ranges.

また、実施の形態を説明するための全図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。なお、図面をわかりやすくするために平面図であってもハッチングを付す場合がある。   In all the drawings for explaining the embodiments, the same members are denoted by the same reference symbols in principle, and the repeated explanation thereof is omitted. In order to make the drawings easy to understand, even a plan view may be hatched.

(実施の形態1)
本実施の形態1における半導体装置の製造方法について図面を参照しながら説明する。本実施の形態1は、プラズマ処理装置に関するものであるが、まず、半導体装置の製造工程の一例としてCMISFET(Complementary Metal Insulator Semiconductor Field Effect Transistor)の製造工程について図1を参照しながら簡単に説明する。図1は、CMISFETの製造工程を示すフローチャートである。
(Embodiment 1)
A method for manufacturing a semiconductor device according to the first embodiment will be described with reference to the drawings. The first embodiment relates to a plasma processing apparatus. First, as an example of a manufacturing process of a semiconductor device, a manufacturing process of a CMISFET (Complementary Metal Insulator Semiconductor Field Effect Transistor) will be briefly described with reference to FIG. . FIG. 1 is a flowchart showing a manufacturing process of a CMISFET.

まず、ホウ素(B)などのp型不純物を導入したシリコン単結晶よりなる半導体基板を用意する。このとき、半導体基板は、略円盤形状をした半導体ウェハの状態になっている。そして、半導体基板のCMISFET形成領域に素子間を分離する素子分離領域を形成する(S101)。素子分離領域は、素子が互いに干渉しないようにするために設けられる。この素子分離領域は、例えばLOCOS(local Oxidation of silicon)法やSTI(shallow trench isolation)法を用いて形成することができる。例えば、STI法では、以下のようにして素子分離領域を形成している。すなわち、半導体基板にフォトリソグラフィ技術およびエッチング技術を使用して素子分離溝を形成する。そして、素子分離溝を埋め込むように半導体基板上に酸化シリコン膜を形成し、その後、化学的機械的研磨法(CMP;chemical mechanical polishing)により、半導体基板上に形成された不要な酸化シリコン膜を除去する。これにより、素子分離溝内にだけ酸化シリコン膜を埋め込んだ素子分離領域を形成することができる。   First, a semiconductor substrate made of a silicon single crystal into which a p-type impurity such as boron (B) is introduced is prepared. At this time, the semiconductor substrate is in a state of a substantially wafer-shaped semiconductor wafer. Then, an element isolation region for isolating elements is formed in the CMISFET formation region of the semiconductor substrate (S101). The element isolation region is provided so that the elements do not interfere with each other. This element isolation region can be formed by using, for example, a LOCOS (local Oxidation of silicon) method or an STI (shallow trench isolation) method. For example, in the STI method, the element isolation region is formed as follows. In other words, the element isolation trench is formed in the semiconductor substrate using the photolithography technique and the etching technique. Then, a silicon oxide film is formed on the semiconductor substrate so as to fill the element isolation trench, and then an unnecessary silicon oxide film formed on the semiconductor substrate is formed by chemical mechanical polishing (CMP). Remove. Thereby, an element isolation region in which the silicon oxide film is embedded only in the element isolation trench can be formed.

次に、素子分離領域で分離された活性領域に不純物を導入してウェルを形成する(S102)。例えば、活性領域のうちnチャネル型MISFET形成領域には、p型ウェルを形成し、pチャネル型MISFET形成領域には、n型ウェルを形成する。p型ウェルは、例えばホウ素などのp型不純物をイオン注入法により半導体基板に導入することで形成される。同様に、n型ウェルは、例えばリン(P)や砒素(As)などのn型不純物をイオン注入法により半導体基板に導入することで形成される。   Next, a well is formed by introducing impurities into the active region isolated in the element isolation region (S102). For example, a p-type well is formed in an n-channel MISFET formation region in the active region, and an n-type well is formed in a p-channel MISFET formation region. The p-type well is formed by introducing a p-type impurity such as boron into the semiconductor substrate by ion implantation. Similarly, the n-type well is formed by introducing an n-type impurity such as phosphorus (P) or arsenic (As) into the semiconductor substrate by ion implantation.

続いて、p型ウェルの表面領域およびn型ウェルの表面領域にチャネル形成用の半導体領域(図示せず)を形成する。このチャネル形成用の半導体領域は、チャネルを形成するしきい値電圧を調整するために形成される。   Subsequently, a semiconductor region for channel formation (not shown) is formed in the surface region of the p-type well and the surface region of the n-type well. This channel forming semiconductor region is formed to adjust the threshold voltage for forming the channel.

次に、半導体基板上にゲート絶縁膜を形成する(S103)。ゲート絶縁膜は、例えば、酸化シリコン膜から形成され、例えば熱酸化法を使用して形成することができる。ただし、ゲート絶縁膜は、酸化シリコン膜に限定されるものではなく種々変更可能であり、例えば、ゲート絶縁膜を酸窒化シリコン膜(SiON)としてもよい。すなわち、ゲート絶縁膜と半導体基板との界面に窒素を偏析させる構造としてもよい。酸窒化シリコン膜は、酸化シリコン膜に比べて膜中における界面準位の発生を抑制したり、電子トラップを低減する効果が高い。したがって、ゲート絶縁膜のホットキャリア耐性を向上でき、絶縁耐性を向上させることができる。また、酸窒化シリコン膜は、酸化シリコン膜に比べて不純物が貫通しにくい。このため、ゲート絶縁膜に酸窒化シリコン膜を用いることにより、ゲート電極中の不純物が半導体基板側に拡散することに起因するしきい値電圧の変動を抑制することができる。酸窒化シリコン膜を形成するのは、例えば、半導体基板をNO、NOまたはNHといった窒素を含む雰囲気中で熱処理すればよい。また、半導体基板の表面に酸化シリコン膜からなるゲート絶縁膜を形成した後、窒素を含む雰囲気中で半導体基板を熱処理し、ゲート絶縁膜と半導体基板との界面に窒素を偏析させることによっても同様の効果を得ることができる。 Next, a gate insulating film is formed on the semiconductor substrate (S103). The gate insulating film is formed of, for example, a silicon oxide film, and can be formed using, for example, a thermal oxidation method. However, the gate insulating film is not limited to the silicon oxide film and can be variously changed. For example, the gate insulating film may be a silicon oxynitride film (SiON). That is, a structure in which nitrogen is segregated at the interface between the gate insulating film and the semiconductor substrate may be employed. The silicon oxynitride film has a higher effect of suppressing generation of interface states in the film and reducing electron traps than the silicon oxide film. Therefore, the hot carrier resistance of the gate insulating film can be improved, and the insulation resistance can be improved. In addition, the silicon oxynitride film is less likely to penetrate impurities than the silicon oxide film. Therefore, by using a silicon oxynitride film for the gate insulating film, variation in threshold voltage due to diffusion of impurities in the gate electrode toward the semiconductor substrate can be suppressed. For example, the silicon oxynitride film may be formed by heat-treating the semiconductor substrate in an atmosphere containing nitrogen such as NO, NO 2, or NH 3 . Alternatively, after forming a gate insulating film made of a silicon oxide film on the surface of the semiconductor substrate, the semiconductor substrate is heat-treated in an atmosphere containing nitrogen, and nitrogen is segregated at the interface between the gate insulating film and the semiconductor substrate. The effect of can be obtained.

また、ゲート絶縁膜は、例えば酸化シリコン膜より誘電率の高い高誘電率膜から形成してもよい。従来、絶縁耐性が高い、シリコン−酸化シリコン界面の電気的・物性的安定性などが優れているとの観点から、ゲート絶縁膜として酸化シリコン膜が使用されている。しかし、素子の微細化に伴い、ゲート絶縁膜の膜厚について、極薄化が要求されるようになってきている。このように薄い酸化シリコン膜をゲート絶縁膜として使用すると、MISFETのチャネルを流れる電子が酸化シリコン膜によって形成される障壁をトンネルしてゲート電極に流れる、いわゆるトンネル電流が発生してしまう。   Further, the gate insulating film may be formed of a high dielectric constant film having a dielectric constant higher than that of a silicon oxide film, for example. Conventionally, a silicon oxide film has been used as a gate insulating film from the viewpoint of high insulation resistance and excellent electrical and physical stability at the silicon-silicon oxide interface. However, with the miniaturization of elements, the thickness of the gate insulating film is required to be extremely thin. When such a thin silicon oxide film is used as the gate insulating film, a so-called tunnel current is generated in which electrons flowing through the channel of the MISFET tunnel through the barrier formed by the silicon oxide film and flow to the gate electrode.

そこで、酸化シリコン膜より誘電率の高い材料を使用することにより、容量が同じでも物理的膜厚を増加させることができる高誘電体膜が使用されるようになってきている。高誘電体膜によれば、容量を同じにしても物理的膜厚を増加させることができるので、リーク電流を低減することができる。   Therefore, by using a material having a dielectric constant higher than that of the silicon oxide film, a high dielectric film capable of increasing the physical film thickness even with the same capacitance has been used. According to the high dielectric film, since the physical film thickness can be increased even if the capacitance is the same, the leakage current can be reduced.

例えば、高誘電体膜として、ハフニウム酸化物の一つである酸化ハフニウム膜(HfO膜)が使用されるが、酸化ハフニウム膜に変えて、ハフニウムアルミネート膜、HfON膜(ハフニウムオキシナイトライド膜)、HfSiO膜(ハフニウムシリケート膜)、HfSiON膜(ハフニウムシリコンオキシナイトライド膜)、HfAlO膜のような他のハフニウム系絶縁膜を使用することもできる。さらに、これらのハフニウム系絶縁膜に酸化タンタル、酸化ニオブ、酸化チタン、酸化ジルコニウム、酸化ランタン、酸化イットリウムなどの酸化物を導入したハフニウム系絶縁膜を使用することもできる。ハフニウム系絶縁膜は、酸化ハフニウム膜と同様、酸化シリコン膜や酸窒化シリコン膜より誘電率が高いので、酸化ハフニウム膜を用いた場合と同様の効果が得られる。 For example, a hafnium oxide film (HfO 2 film), which is one of hafnium oxides, is used as the high dielectric film. Instead of the hafnium oxide film, a hafnium aluminate film, an HfON film (hafnium oxynitride film) is used. ), HfSiO films (hafnium silicate films), HfSiON films (hafnium silicon oxynitride films), HfAlO films, and other hafnium-based insulating films can also be used. Further, a hafnium-based insulating film in which an oxide such as tantalum oxide, niobium oxide, titanium oxide, zirconium oxide, lanthanum oxide, or yttrium oxide is introduced into these hafnium-based insulating films can also be used. Since the hafnium-based insulating film has a dielectric constant higher than that of the silicon oxide film or the silicon oxynitride film, like the hafnium oxide film, the same effect as that obtained when the hafnium oxide film is used can be obtained.

続いて、ゲート絶縁膜上にポリシリコン膜を形成する。ポリシリコン膜は、例えば、CVD法を使用して形成することができる。そして、フォトリソグラフィ技術およびイオン注入法を使用して、nチャネル型MISFET形成領域に形成されているポリシリコン膜中にリンや砒素などのn型不純物を導入する。同様に、pチャネル型MISFET形成領域に形成されているポリシリコン膜中にホウ素などのp型不純物を導入する。   Subsequently, a polysilicon film is formed on the gate insulating film. The polysilicon film can be formed using, for example, a CVD method. Then, n-type impurities such as phosphorus and arsenic are introduced into the polysilicon film formed in the n-channel type MISFET formation region by using a photolithography technique and an ion implantation method. Similarly, a p-type impurity such as boron is introduced into the polysilicon film formed in the p-channel MISFET formation region.

次に、パターニングしたレジスト膜をマスクにしたエッチングによりポリシリコン膜を加工して、nチャネル型MISFET形成領域にゲート電極を形成し、pチャネル型MISFET形成領域にゲート電極を形成する(S104)。   Next, the polysilicon film is processed by etching using the patterned resist film as a mask to form a gate electrode in the n-channel MISFET formation region and a gate electrode in the p-channel MISFET formation region (S104).

ここで、nチャネル型MISFET形成領域のゲート電極には、ポリシリコン膜中にn型不純物が導入されている。このため、ゲート電極の仕事関数値をシリコンの伝導帯近傍(4.15eV)の値にすることができるので、nチャネル型MISFETのしきい値電圧を低減することができる。一方、pチャネル型MISFET形成領域のゲート電極には、ポリシリコン膜中にp型不純物が導入されている。このため、ゲート電極の仕事関数値をシリコンの価電子帯近傍(5.15eV)の値にすることができるので、pチャネル型MISFETのしきい値電圧を低減することができる。このように本実施の形態1では、nチャネル型MISFETとpチャネル型MISFETの両方でしきい値電圧を低減することができる(デュアルゲート構造)。   Here, an n-type impurity is introduced into the polysilicon film at the gate electrode in the n-channel MISFET formation region. Therefore, the work function value of the gate electrode can be set to a value in the vicinity of the conduction band of silicon (4.15 eV), so that the threshold voltage of the n-channel MISFET can be reduced. On the other hand, a p-type impurity is introduced into the polysilicon film at the gate electrode in the p-channel MISFET formation region. Therefore, the work function value of the gate electrode can be set to a value in the vicinity of the valence band of silicon (5.15 eV), so that the threshold voltage of the p-channel MISFET can be reduced. Thus, in the first embodiment, the threshold voltage can be reduced in both the n-channel MISFET and the p-channel MISFET (dual gate structure).

続いて、フォトリソグラフィ技術およびイオン注入法を使用することにより、nチャネル型MISFETのゲート電極に整合した浅いn型不純物拡散領域を形成する。浅いn型不純物拡散領域は、半導体領域である。同様に、pチャネル型MISFET形成領域に浅いp型不純物拡散領域を形成する。浅いp型不純物拡散領域は、pチャネル型MISFETのゲート電極に整合して形成される。この浅いp型不純物拡散領域は、フォトリソグラフィ技術およびイオン注入法を使用することにより形成することができる(S105)。   Subsequently, a shallow n-type impurity diffusion region aligned with the gate electrode of the n-channel MISFET is formed by using a photolithography technique and an ion implantation method. The shallow n-type impurity diffusion region is a semiconductor region. Similarly, a shallow p-type impurity diffusion region is formed in the p-channel type MISFET formation region. The shallow p-type impurity diffusion region is formed in alignment with the gate electrode of the p-channel type MISFET. This shallow p-type impurity diffusion region can be formed by using a photolithography technique and an ion implantation method (S105).

次に、半導体基板上に酸化シリコン膜を形成する。酸化シリコン膜は、例えば、CVD法を使用して形成することができる。そして、酸化シリコン膜を異方性エッチングすることにより、サイドウォールをゲート電極の側壁に形成する(S106)。サイドウォールは、酸化シリコン膜の単層膜から形成するようにしたが、これに限らず、例えば、窒化シリコン膜と酸化シリコン膜の積層膜からなるサイドウォールを形成してもよい。   Next, a silicon oxide film is formed over the semiconductor substrate. The silicon oxide film can be formed using, for example, a CVD method. Then, the silicon oxide film is anisotropically etched to form side walls on the side walls of the gate electrode (S106). The sidewall is formed from a single layer film of a silicon oxide film. However, the present invention is not limited to this. For example, a sidewall formed of a laminated film of a silicon nitride film and a silicon oxide film may be formed.

続いて、フォトリソグラフィ技術およびイオン注入法を使用することにより、nチャネル型MISFET形成領域にサイドウォールに整合した深いn型不純物拡散領域を形成する(S107)。深いn型不純物拡散領域は、半導体領域である。この深いn型不純物拡散領域と浅いn型不純物拡散領域によってソース領域が形成される。同様に、深いn型不純物拡散領域と浅いn型不純物拡散領域によってドレイン領域が形成される。このようにソース領域とドレイン領域を浅いn型不純物拡散領域と深いn型不純物拡散領域で形成することにより、ソース領域およびドレイン領域をLDD(Lightly Doped Drain)構造とすることができる。   Subsequently, by using a photolithography technique and an ion implantation method, a deep n-type impurity diffusion region aligned with the sidewall is formed in the n-channel MISFET formation region (S107). The deep n-type impurity diffusion region is a semiconductor region. A source region is formed by the deep n-type impurity diffusion region and the shallow n-type impurity diffusion region. Similarly, a drain region is formed by a deep n-type impurity diffusion region and a shallow n-type impurity diffusion region. By forming the source region and the drain region with the shallow n-type impurity diffusion region and the deep n-type impurity diffusion region in this way, the source region and the drain region can have an LDD (Lightly Doped Drain) structure.

同様に、pチャネル型MISFET形成領域にサイドウォールに整合した深いp型不純物拡散領域を形成する。この深いp型不純物拡散領域と浅いp型不純物拡散領域によってソース領域およびドレイン領域が形成される。したがって、pチャネル型MISFETにおいてもソース領域およびドレイン領域はLDD構造をしている。   Similarly, a deep p-type impurity diffusion region aligned with the sidewall is formed in the p-channel type MISFET formation region. A source region and a drain region are formed by the deep p-type impurity diffusion region and the shallow p-type impurity diffusion region. Therefore, the source region and the drain region also have an LDD structure in the p-channel type MISFET.

このようにして、深いn型不純物拡散領域および深いp型不純物拡散領域を形成した後、1000℃程度の熱処理を行なう。これにより、導入した不純物の活性化が行なわれる。   After forming the deep n-type impurity diffusion region and the deep p-type impurity diffusion region in this way, a heat treatment at about 1000 ° C. is performed. Thereby, the introduced impurities are activated.

その後、半導体基板上にコバルト膜を形成する。このとき、ゲート電極に直接接するようにコバルト膜が形成される。同様に、深いn型不純物拡散領域および深いp型不純物拡散領域にもコバルト膜が直接接する。   Thereafter, a cobalt film is formed on the semiconductor substrate. At this time, a cobalt film is formed so as to be in direct contact with the gate electrode. Similarly, the cobalt film is also in direct contact with the deep n-type impurity diffusion region and the deep p-type impurity diffusion region.

コバルト膜は、例えば、スパッタリング法を使用して形成することができる。そして、コバルト膜を形成した後、熱処理を施すことにより、ゲート電極を構成するポリシリコン膜とコバルト膜を反応させて、コバルトシリサイド膜を形成する(S108)。これにより、ゲート電極はポリシリコン膜とコバルトシリサイド膜の積層構造となる。コバルトシリサイド膜は、ゲート電極の低抵抗化のために形成される。同様に、上述した熱処理により、深いn型不純物拡散領域および深いp型不純物拡散領域の表面においてもシリコンとコバルト膜が反応してコバルトシリサイド膜が形成される。このため、深いn型不純物拡散領域および深いp型不純物拡散領域においても低抵抗化を図ることができる。   The cobalt film can be formed using, for example, a sputtering method. Then, after the cobalt film is formed, heat treatment is performed to cause the polysilicon film constituting the gate electrode to react with the cobalt film, thereby forming a cobalt silicide film (S108). As a result, the gate electrode has a laminated structure of the polysilicon film and the cobalt silicide film. The cobalt silicide film is formed to reduce the resistance of the gate electrode. Similarly, by the heat treatment described above, the silicon silicide film reacts with the surface of the deep n-type impurity diffusion region and the deep p-type impurity diffusion region to form a cobalt silicide film. Therefore, the resistance can be reduced also in the deep n-type impurity diffusion region and the deep p-type impurity diffusion region.

そして、未反応のコバルト膜は、半導体基板上から除去される。なお、本実施の形態1では、コバルトシリサイド膜を形成するように構成しているが、例えば、コバルトシリサイド膜に代えてニッケルシリサイド膜やチタンシリサイド膜を形成するようにしてもよい。   Then, the unreacted cobalt film is removed from the semiconductor substrate. In the first embodiment, the cobalt silicide film is formed. However, for example, a nickel silicide film or a titanium silicide film may be formed instead of the cobalt silicide film.

次に、半導体基板の主面上に層間絶縁膜となる酸化シリコン膜を形成する(S109)。この酸化シリコン膜は、例えばTEOS(tetra ethyl ortho silicate)を原料としたCVD法を使用して形成することができる。その後、酸化シリコン膜の表面を、例えばCMP(Chemical Mechanical Polishing)法を使用して平坦化する。   Next, a silicon oxide film to be an interlayer insulating film is formed on the main surface of the semiconductor substrate (S109). This silicon oxide film can be formed using, for example, a CVD method using TEOS (tetraethyl orthosilicate) as a raw material. Thereafter, the surface of the silicon oxide film is planarized using, for example, a CMP (Chemical Mechanical Polishing) method.

続いて、フォトリソグラフィ技術およびエッチング技術を使用して、酸化シリコン膜にコンタクトホールを形成する。そして、コンタクトホールの底面および内壁を含む酸化シリコン膜上にチタン/窒化チタン膜を形成する。チタン/窒化チタン膜は、チタン膜と窒化チタン膜の積層膜から構成され、例えばスパッタリング法を使用することにより形成することができる。このチタン/窒化チタン膜は、例えば、後の工程で埋め込む膜の材料であるタングステンがシリコン中へ拡散するのを防止する、いわゆるバリア性を有する。   Subsequently, contact holes are formed in the silicon oxide film by using a photolithography technique and an etching technique. Then, a titanium / titanium nitride film is formed on the silicon oxide film including the bottom surface and inner wall of the contact hole. The titanium / titanium nitride film is composed of a laminated film of a titanium film and a titanium nitride film, and can be formed by using, for example, a sputtering method. This titanium / titanium nitride film has a so-called barrier property that prevents, for example, tungsten, which is a material of a film to be embedded in a later process, from diffusing into silicon.

続いて、コンタクトホールを埋め込むように、半導体基板の主面の全面にタングステン膜を形成する。このタングステン膜は、例えばCVD法を使用して形成することができる。そして、酸化シリコン膜上に形成された不要なチタン/窒化チタン膜およびタングステン膜を例えばCMP法を除去することにより、プラグを形成することができる(S110)。   Subsequently, a tungsten film is formed on the entire main surface of the semiconductor substrate so as to fill the contact hole. This tungsten film can be formed using, for example, a CVD method. Then, by removing the unnecessary titanium / titanium nitride film and tungsten film formed on the silicon oxide film by, for example, CMP, a plug can be formed (S110).

次に、酸化シリコン膜およびプラグ上にチタン/窒化チタン膜、銅を含有するアルミニウム膜、チタン/窒化チタン膜を順次、形成する。これらの膜は、例えばスパッタリング法を使用することにより形成することができる。続いて、フォトリソグラフィ技術およびエッチング技術を使用することにより、これらの膜のパターニングを行い、配線を形成する(S111)。さらに、配線の上層に配線を形成するが、ここでの説明は省略する。このようにして、本実施の形態1における半導体装置を形成することができる。   Next, a titanium / titanium nitride film, an aluminum film containing copper, and a titanium / titanium nitride film are sequentially formed on the silicon oxide film and the plug. These films can be formed by using, for example, a sputtering method. Subsequently, these films are patterned by using a photolithography technique and an etching technique to form wiring (S111). Furthermore, although wiring is formed in the upper layer of wiring, description here is abbreviate | omitted. In this manner, the semiconductor device according to the first embodiment can be formed.

上述した半導体装置の様々な製造工程では、エッチング技術が多用される。このエッチングは、例えば、プラズマエッチング装置を用いて実施される。例えば、ポリシリコン膜をエッチングしてゲート電極を形成する工程では、プラズマエッチング装置が使用される。特に、ゲート電極の形成工程では、トランジスタのゲート加工寸法を数ナノメートルのばらつきで目標値に合わせなければならなくなっているため、プラズマエッチング装置に要求される加工精度は非常に高くなっている。以下に、プラズマエッチング装置の構成について説明する。   Etching techniques are frequently used in various manufacturing processes of the semiconductor device described above. This etching is performed using, for example, a plasma etching apparatus. For example, a plasma etching apparatus is used in the step of forming a gate electrode by etching a polysilicon film. In particular, in the gate electrode formation process, the gate processing dimension of the transistor has to be adjusted to the target value with a variation of several nanometers, so that the processing accuracy required for the plasma etching apparatus is very high. The configuration of the plasma etching apparatus will be described below.

図2は本発明の半導体装置の製造方法を実施するのに適した製造装置の一例として、プラズマエッチング装置の構成を示すものである。図2に示すプラズマエッチング装置では、電磁波導入口1から導入された電磁波は天板6とシャワープレート5を通過して処理室13に入射する。一方、エッチングに用いる処理ガスは処理ガス供給口2から導入されシャワープレート5に設けられたガス噴出し口9から処理室13に流入する。処理室13の内部は図示しない排気ポンプと圧力弁により低圧に維持されている。   FIG. 2 shows the structure of a plasma etching apparatus as an example of a manufacturing apparatus suitable for carrying out the semiconductor device manufacturing method of the present invention. In the plasma etching apparatus shown in FIG. 2, the electromagnetic wave introduced from the electromagnetic wave introduction port 1 passes through the top plate 6 and the shower plate 5 and enters the processing chamber 13. On the other hand, the processing gas used for etching is introduced from the processing gas supply port 2 and flows into the processing chamber 13 from the gas ejection port 9 provided in the shower plate 5. The inside of the processing chamber 13 is maintained at a low pressure by an exhaust pump and a pressure valve (not shown).

試料台10の上には、静電チャック11によってウェハ12が載置される。処理室13に入射した電磁波は処理ガスをプラズマ化し、発生したプラズマ中のイオンやラジカルがウェハに入射してウェハのプラズマ処理が行われる。処理室13内にはメンテナンス時の部品洗浄を容易にするために内筒4が設置されており、また、プラズマを接地するためにアース3も設置されている。電磁波を封じ込めるための筐体8や電磁波シールド7はSUSなどの金属材料で作られている。また、電磁波を通過させる天板6やシャワープレート5は石英などの誘電体で作られていることが多い。内筒4は様々な材料で構成することができ、有機系材料やシリコンカーバイト、石英などが用いられる。アース3は導体材料を用いる必要があるため、アルミ部材にアルマイトコートしたものなどが用いられる。試料台10には半導体装置を加工するために高周波バイアス電力が加えられることが多い。試料台10の材料にはアルミナなどのセラミック部品や石英などが用いられる。静電チャック11にもアルミナなどのセラミック材料が用いられることが多い。   A wafer 12 is placed on the sample table 10 by an electrostatic chuck 11. The electromagnetic waves incident on the processing chamber 13 turn the processing gas into plasma, and ions and radicals in the generated plasma are incident on the wafer to perform plasma processing on the wafer. An inner cylinder 4 is installed in the processing chamber 13 for facilitating component cleaning during maintenance, and an earth 3 is also installed for grounding the plasma. The housing 8 and the electromagnetic wave shield 7 for containing the electromagnetic waves are made of a metal material such as SUS. Further, the top plate 6 and the shower plate 5 through which electromagnetic waves pass are often made of a dielectric such as quartz. The inner cylinder 4 can be made of various materials, and organic materials, silicon carbide, quartz, and the like are used. Since it is necessary to use a conductor material for the ground 3, an aluminum member anodized on an aluminum member or the like is used. In many cases, high-frequency bias power is applied to the sample stage 10 in order to process a semiconductor device. As a material for the sample stage 10, ceramic parts such as alumina, quartz, or the like is used. A ceramic material such as alumina is often used for the electrostatic chuck 11.

このように構成されたプラズマエッチング装置でウェハ(半導体基板)上に形成された膜の加工が行なわれる。すなわち、処理室13に入射した電磁波によって処理ガスをプラズマ化し、発生したプラズマ中のイオンやラジカルがウェハに入射してウェハのエッチング処理が行われる。これにより、例えば、ポリシリコン膜を加工してゲート電極が形成される。   The plasma etching apparatus configured as described above processes the film formed on the wafer (semiconductor substrate). That is, the processing gas is converted into plasma by the electromagnetic wave incident on the processing chamber 13, and ions and radicals in the generated plasma are incident on the wafer and the wafer is etched. Thereby, for example, a polysilicon film is processed to form a gate electrode.

図2に示したようなプラズマエッチング装置でウェハを繰り返し処理すると、処理ガスがプラズマ中で分解した成分や、ウェハから削れた成分等が内筒4やシャワープレート5等の処理室13を構成する部品の表面に付着して堆積膜が形成される。したがって、ウェハ処理後にはこの堆積膜をプラズマクリーニングにより除去し、その後次のウェハ処理を行う。しかし、プラズマクリーニングでは堆積膜が取れにくい場所が存在し、そのような場所ではウェハ処理を繰り返すと堆積膜が成長してしまう。堆積膜が厚くなると堆積膜が剥がれて異物となる。このため、堆積膜による異物が発生する前にメンテナンスを実施する。   When the wafer is repeatedly processed by the plasma etching apparatus as shown in FIG. 2, the components obtained by decomposing the processing gas in the plasma and the components scraped from the wafer constitute the processing chamber 13 such as the inner cylinder 4 and the shower plate 5. A deposited film is formed on the surface of the component. Therefore, after the wafer processing, the deposited film is removed by plasma cleaning, and then the next wafer processing is performed. However, there are places where it is difficult to remove the deposited film by plasma cleaning, and the deposited film grows when wafer processing is repeated in such a place. When the deposited film becomes thick, the deposited film is peeled off and becomes a foreign substance. For this reason, maintenance is carried out before foreign matter is generated due to the deposited film.

以下に、本実施の形態1におけるプラズマエッチング装置のメンテナンス方法について説明する。図3は本実施の形態1におけるプラズマエッチング装置のメンテナンス方法の処理を示すフローチャートである。このフローチャートを参照しながら本実施の形態1におけるメンテナンス方法について説明する。   Hereinafter, a maintenance method for the plasma etching apparatus according to the first embodiment will be described. FIG. 3 is a flowchart showing processing of the maintenance method for the plasma etching apparatus according to the first embodiment. The maintenance method in the first embodiment will be described with reference to this flowchart.

処理したウェハの枚数、プラズマを放電させている放電時間あるいは異物数のカウントなどによってメンテナンスの時期が来たと判断されたら(S208)、処理室13を大気開放する(S201)。そして、処理室13を構成する部品、たとえば内筒4やシャワープレート5などを取り出して洗浄する(S202)。洗浄には色々なやり方があり、希フッ酸溶液に部品を浸けたり、水やアルコール、アセトンなどを用いて部品を拭いたりする。部品の洗浄が終わるとベーキングして部品を乾かすなどした後に部品を戻してプラズマエッチング装置を組み立てる(S203)。その後、処理室13を真空に引いて処理室13内の大気を排気する(S204)。この工程で処理室13を構成する部品に付着した水や洗浄に用いた様々な物質が揮発して取り除かれ、プラズマエッチング装置はプラズマを放電できる状態になる。   If it is determined that the maintenance time has come based on the number of processed wafers, the discharge time during which plasma is discharged, or the number of foreign objects (S208), the processing chamber 13 is opened to the atmosphere (S201). Then, components constituting the processing chamber 13, such as the inner cylinder 4 and the shower plate 5, are taken out and cleaned (S202). There are various ways of cleaning, soak the part in dilute hydrofluoric acid solution, or wipe the part with water, alcohol, acetone, etc. When the cleaning of the part is completed, the part is returned after being baked to dry the part and the plasma etching apparatus is assembled (S203). Thereafter, the processing chamber 13 is evacuated to exhaust the atmosphere in the processing chamber 13 (S204). In this process, water adhering to the parts constituting the processing chamber 13 and various substances used for cleaning are volatilized and removed, and the plasma etching apparatus is ready to discharge plasma.

本発明は、プラズマが放電できるようになった時点で、処理室13内の或る部品(第1部品)Aから別の部品(第2部品)Bに部品Aの材料から構成される化合物を転移させる処理(S205)を設けることを特徴とする。この処理工程を部品間材料転移処理工程と呼ぶことにする。この部品間材料転移処理工程を終了すると、プラズマエッチング装置の加工寸法が規格範囲に入るようになるため、製品ウェハの加工処理(S206)を開始することができる。製品ウェハの加工処理を終了すると、処理室13内のプラズマクリーニングを実施する(S207)。メンテナンス時期が来るまで、製品ウェハの加工処理とプラズマクリーニングが繰り返し行なわれる。その後、プラズマクリーニングを実施してもウェハ処理を重ねるとチャンバ内が汚れていくのでやがて次のメンテナンスが必要になり、上記の手順が繰り返される(S208)。   In the present invention, when the plasma can be discharged, a compound composed of the material of the part A is transferred from one part (first part) A to another part (second part) B in the processing chamber 13. A process of transferring (S205) is provided. This processing step will be referred to as an inter-part material transfer processing step. When the inter-part material transfer processing step is completed, the processing dimension of the plasma etching apparatus comes within the standard range, so that the processing of the product wafer (S206) can be started. When the processing of the product wafer is completed, plasma cleaning in the processing chamber 13 is performed (S207). Until the maintenance time comes, product wafer processing and plasma cleaning are repeated. Thereafter, even if plasma cleaning is performed, if the wafer processing is repeated, the inside of the chamber becomes dirty, so that the next maintenance is necessary, and the above procedure is repeated (S208).

次に、本実施の形態1の特徴の一つである部品間材料転移処理工程について説明する。上述したように、部品Aは部品Bに転移される材料を含む部品であるため、以後、原料部品と呼ぶ。部品Bは原料部品に含まれる材料の化合物で覆われるため被覆部品と呼ぶ。部品間材料転移処理工程では、原料部品をエッチングしやすい条件でのプラズマ放電を行い、このエッチング反応で生成されたエッチング反応生成物を被覆部品の表面に付着させる。このためにプラズマ放電は原料部品を削り易いが被覆部品を削りにくい条件で行うと良い。それには原料部品に近いところのプラズマ密度が高くなるような条件で放電を行うとよい。プラズマ密度の分布を調整するには電力や圧力などを用いてもよいし、プロセスチャンバに磁場を印加できるときにはその磁場条件で調節しても良い。また、原料部品が金属材料であって被覆部品が非金属材料である場合には試料台10に加える高周波バイアス電力を調整して原料部品のエッチングを促進するようにするとよい。原料部品は、例えば図2に示すプラズマエッチング装置でのアース3であり、被覆部品は、例えば、図2の内筒4やシャワープレート5である。最も典型的な材料の構成としては、原料部品がアルミニウムあるいはイットリウムを含有する部品であり、被覆部品が石英である場合である。この場合、部品間材料転移処理工程により被覆部品である石英部品の表面に原料部品から除去されたアルミニウムやイットリウムの化合物からなる堆積膜が形成され、メンテナンスで石英部品の表面がプラズマに露出することにより生じた加工状態の変動が解消される。   Next, the inter-part material transfer processing step that is one of the features of the first embodiment will be described. As described above, since the part A is a part including the material transferred to the part B, it is hereinafter referred to as a raw material part. The part B is called a coated part because it is covered with the compound of the material contained in the raw material part. In the inter-part material transfer process, plasma discharge is performed under conditions that facilitate etching of the raw material parts, and the etching reaction product generated by this etching reaction is adhered to the surface of the coated part. For this reason, the plasma discharge is preferably performed under conditions where the raw material parts are easily cut but the coated parts are hard to cut. For this purpose, it is preferable to perform the discharge under such a condition that the plasma density near the raw material parts becomes high. In order to adjust the distribution of plasma density, electric power, pressure, or the like may be used. When a magnetic field can be applied to the process chamber, it may be adjusted according to the magnetic field conditions. Further, when the raw material component is a metal material and the coated component is a non-metallic material, it is preferable to adjust the high frequency bias power applied to the sample stage 10 to promote the etching of the raw material component. The raw material component is, for example, the ground 3 in the plasma etching apparatus shown in FIG. 2, and the covering component is, for example, the inner cylinder 4 or the shower plate 5 in FIG. The most typical material configuration is when the raw material component is a component containing aluminum or yttrium and the coated component is quartz. In this case, a deposited film made of a compound of aluminum or yttrium removed from the raw material component is formed on the surface of the quartz component that is the coated component by the inter-component material transfer process, and the surface of the quartz component is exposed to plasma during maintenance. Variations in the machining state caused by the above are eliminated.

通常、プラズマエッチング装置の部品洗浄などのメンテナンスを終了すると、メンテナンスの前後でプラズマエッチング装置の加工寸法が大幅に変わることが知られている。このメンテナンス前後における加工寸法の変動原因は、メンテナンスでの洗浄により処理室内の各部品の表面状態が変化したことにある。実際、処理室内壁の表面状態が変わると、それによりラジカルの表面反応が変わってそこで生成される反応生成物が変わり、その結果プラズマ中のラジカルの状態が変化してプラズマ処理に影響を与えることなども知られている。すなわち、異物の発生を防止するため、処理室内の部品に堆積した膜を除去する洗浄が行なわれるが、洗浄を行なうと部品に付着した膜をすべて除去してしまうことになるので、部品の表面状態が変化してしまう。例えば、処理室を構成する部品の材料として石英が使用されているものがあるが、製品ウェハを処理する際には、すでにプラズマエッチング装置の石英部品にある程度の膜が付着した状態で行なわれる。したがって、製品ウェハを処理する段階の石英部品とメンテナンスで洗浄した段階の石英部品とは表面状態が異なる。   Usually, it is known that when maintenance such as cleaning of parts of the plasma etching apparatus is completed, the processing dimensions of the plasma etching apparatus change significantly before and after the maintenance. The cause of the variation of the processing dimension before and after the maintenance is that the surface state of each part in the processing chamber is changed by the cleaning in the maintenance. In fact, if the surface state of the processing chamber wall changes, the surface reaction of radicals changes, and the reaction products generated there change, resulting in changes in the state of radicals in the plasma, affecting the plasma treatment. Etc. are also known. In other words, in order to prevent the generation of foreign substances, cleaning is performed to remove the film deposited on the parts in the processing chamber. However, if cleaning is performed, all the films attached to the parts are removed. The state changes. For example, although quartz is used as a material for parts constituting the processing chamber, when a product wafer is processed, it is performed in a state where a certain amount of film is already attached to the quartz part of the plasma etching apparatus. Accordingly, the surface condition is different between the quartz part at the stage of processing the product wafer and the quartz part at the stage of cleaning by maintenance.

メンテナンスで洗浄した段階の石英部品では表面に石英が露出しているため、プラズマによるエッチングを行なうと石英が削られる。石英は酸化シリコンより形成されているので、石英をプラズマエッチングすると反応生成物として酸素が放出される。酸素はエッチング特性を顕著に変える性質があるので、プラズマエッチング装置の加工寸法が変動しやすくなる。   Since quartz is exposed on the surface of the quartz part that has been cleaned by maintenance, the quartz is removed by etching with plasma. Since quartz is formed of silicon oxide, oxygen is released as a reaction product when the quartz is plasma-etched. Since oxygen has the property of significantly changing the etching characteristics, the processing dimensions of the plasma etching apparatus tend to fluctuate.

以上のことから、例えば、石英部品などの部品に付着した膜がある程度の膜厚以上になると剥がれて異物が発生するため除去する必要がある。一方、メンテナンスによる洗浄によって部品に付着した膜をすべて除去すると表面状態が変わり、プラズマエッチング装置の加工寸法に変動が生じて、加工寸法が規格値の範囲からはずれてしまう。   From the above, for example, when a film attached to a component such as a quartz component exceeds a certain thickness, the film is peeled off and foreign matter is generated. Therefore, it is necessary to remove the film. On the other hand, if all the films attached to the parts are removed by cleaning by maintenance, the surface state changes, the processing dimensions of the plasma etching apparatus vary, and the processing dimensions deviate from the standard value range.

ここで、メンテナンス前後の処理状態の差を解消するために、メンテナンス後にシーズニング処理と呼ばれるダミー処理を繰り返し行って処理状態をメンテナンス前の状態に復帰させる方法が実施されている。しかし、シーズニング処理としてダミーウェハを処理する技術では、プロセス状態がメンテナンス前の状態に戻るまでには数百枚以上のダミーウェハを処理する必要があり、非常に長い時間がかかってしまう。このシーズニング処理の間はプラズマエッチング装置を製品の生産に使えないため、生産効率が低下する問題点がある。また、メンテナンス後にアルミニウムウェハをエッチングすることにより石英部品の表面をフッ化アルミニウム(AlF)で被覆してプロセス性能を安定化する方法がある。しかし、通常の半導体量産ラインではアルミニウムは配線層で用いられるため、その電気的特性の最適化のために銅(Cu)が混ざったAlCu膜を用いており、純粋なアルミニウム膜を得ることは基本的にできない。一方、銅の混ざったアルミニウム膜をゲートエッチング装置でエッチングすると銅汚染によりデバイスの寿命短命化などの大きな障害が生じる。すなわち、メンテナンス毎にアルミニウムよりなるウェハをエッチングするという手法では、シーズニング処理のためだけに純粋なアルミニウム膜を形成したウェハを用意し、それを維持管理しなければならない。これは、製造コストの増加になるため現実的には実施が困難である。   Here, in order to eliminate the difference between the processing states before and after the maintenance, a method is performed in which a dummy process called a seasoning process is repeatedly performed after the maintenance to return the processing state to the state before the maintenance. However, in the technique of processing dummy wafers as seasoning processing, it is necessary to process several hundred or more dummy wafers before the process state returns to the state before maintenance, and it takes a very long time. During this seasoning process, the plasma etching apparatus cannot be used for production of products, and there is a problem that production efficiency is lowered. Further, there is a method of stabilizing the process performance by coating the surface of the quartz part with aluminum fluoride (AlF) by etching the aluminum wafer after maintenance. However, since aluminum is used in the wiring layer in normal semiconductor mass production lines, an AlCu film mixed with copper (Cu) is used to optimize its electrical characteristics, and it is fundamental to obtain a pure aluminum film. I can't do it. On the other hand, when an aluminum film mixed with copper is etched by a gate etching apparatus, a major obstacle such as shortening the life of the device occurs due to copper contamination. That is, in the method of etching a wafer made of aluminum for each maintenance, a wafer on which a pure aluminum film is formed only for seasoning processing must be prepared and maintained. Since this increases the manufacturing cost, it is practically difficult to implement.

そこで、本実施の形態1は、特殊なウェハ等を使用することなくプラズマエッチング装置をメンテナンス後、速やかに半導体装置の製造に使える状態に回復する最適な処理方法を提供するものである。具体的に、本実施の形態1では、部品間材料転移処理工程を設けている。部品間材料転移処理工程とは、洗浄などのメンテナンス後、製品ウェハを処理する前に、プラズマエッチング装置を構成する原料部品(第1部品)をプラズマエッチングする。そして、このエッチング反応で生成されたエッチング反応生成物を意図的に被覆部品(第2部品)の表面に付着させるものである。この部品間材料転移処理工程により、被覆部品の表面はエッチング反応生成物よりなる膜で覆われるため、被覆部品の表面が露出することを防止できる。したがって、シーズニング処理を施す必要なく部品間材料転移処理工程を実施することで、プラズマエッチング装置をメンテナンス後、速やかに製品ウェハを処理できる状態に回復することができる。すなわち、プラズマエッチング装置の加工寸法を規定値の範囲内にすることができる。このため、プラズマエッチング装置のスループットを向上させることができる。   Therefore, the first embodiment provides an optimal processing method for quickly recovering a usable state for manufacturing a semiconductor device after maintenance of the plasma etching apparatus without using a special wafer or the like. Specifically, in the first embodiment, an inter-part material transfer processing step is provided. In the inter-part material transfer process, the raw material parts (first parts) constituting the plasma etching apparatus are plasma etched after maintenance such as cleaning and before processing the product wafer. And the etching reaction product produced | generated by this etching reaction is made to adhere to the surface of a coating | coated component (2nd component) intentionally. By this inter-part material transfer processing step, the surface of the coated part is covered with a film made of an etching reaction product, so that the surface of the coated part can be prevented from being exposed. Therefore, by performing the inter-part material transfer process step without the need for the seasoning process, the product wafer can be quickly recovered after maintenance of the plasma etching apparatus. That is, the processing dimension of the plasma etching apparatus can be set within a specified value range. For this reason, the throughput of the plasma etching apparatus can be improved.

本実施の形態1ではプラズマエッチング装置の構成部品として原料部品と被覆部品がある。原料部品と被覆部品の両方ともメンテナンスにおける洗浄で表面に形成されている膜が除去される。このため、原料部品と被覆部品の両方で表面状態が変化することになる。被覆部品は原料部品をプラズマエッチングすることにより生成されたエッチング反応性生物で覆われるため、被覆部品の表面が露出することによる加工寸法の変動を抑制できる。一方、原料部品の表面が露出するが、原料部品では表面が露出しても加工寸法の変動に影響を及ぼさないのである。つまり、プラズマエッチング装置の構成部品としては、洗浄によって表面状態が変わるとエッチングの加工寸法に影響を与える被覆部品と、洗浄によって表面状態が変わってもエッチングの加工寸法に影響を与えない原料部品があるのである。この原料部品と被覆部品とを区別することにより、部品間材料転移処理工程を効果的に実施できるようになるのである。つまり、被覆部品を原料部品のプラズマエッチングで生成したエッチング反応生成物で被覆することにより、加工寸法の変動を抑制できるのである。   In the first embodiment, there are a raw material part and a covering part as components of the plasma etching apparatus. In both the raw material part and the coated part, the film formed on the surface is removed by cleaning in maintenance. For this reason, a surface state changes in both a raw material component and a covering component. Since the coated part is covered with an etching reactive organism generated by plasma etching the raw material part, it is possible to suppress variation in the processing dimension due to the exposed surface of the coated part. On the other hand, the surface of the raw material part is exposed, but even if the surface of the raw material part is exposed, it does not affect the variation of the processing dimension. In other words, the components of the plasma etching apparatus include coated parts that affect the etching process dimensions when the surface condition changes due to cleaning, and raw material parts that do not affect the etching process dimensions even if the surface condition changes due to cleaning. There is. By distinguishing between the raw material parts and the covered parts, the inter-part material transfer process step can be effectively carried out. That is, by coating the coated part with an etching reaction product generated by plasma etching of the raw material part, it is possible to suppress variation in the processing dimension.

原料部品とは、例えば、図2に示すアース3であり、被覆部品とは、例えば、図2に示す内筒4やシャワープレート5である。具体的に材料で言及すると、原料部品は、例えば、アルミニウムあるいはイットリウムを含有する部品であり、被覆部品は、例えば、石英を含有する部品である。   The raw material component is, for example, the ground 3 shown in FIG. 2, and the covering component is, for example, the inner cylinder 4 and the shower plate 5 shown in FIG. More specifically, the material part is, for example, a part containing aluminum or yttrium, and the coated part is, for example, a part containing quartz.

(実施の形態2)
本実施の形態2では、前記実施の形態1で説明した部品間材料転移処理工程の具体的な一例について説明する。図4は本実施の形態2における部品間材料転移処理工程の流れを示すフローチャートである。プラズマエッチング装置の処理室(プラズマチャンバ)を構成する部品は一般的にプラズマにより削れにくい材料から構成される。このため被覆部品表面に原料部品の材料からなる化合物堆積膜を形成することは容易ではない。このため、部品間材料転移処理工程は原料部品の表面保護膜を除去する原料部品表面保護膜除去工程S301から始めるとよい。例えば原料部品がアルミニウム部材の場合、その表面にはアルマイトと呼ばれる保護膜が形成されていることが多い。アルマイトは柱状の穴のあいた多孔材料であるから、しばらくプラズマ中のイオンで叩いてやると下地のアルミ材が削れて出やすくなる。この原料部品表面保護膜除去工程S301を設けなくても原料部品がエッチングできるときには、原料部品表面保護膜除去工程S301を設けなくてもよい。
(Embodiment 2)
In the second embodiment, a specific example of the inter-part material transfer process described in the first embodiment will be described. FIG. 4 is a flowchart showing the flow of the inter-part material transfer process in the second embodiment. Parts constituting a processing chamber (plasma chamber) of a plasma etching apparatus are generally made of a material that is not easily scraped by plasma. For this reason, it is not easy to form a compound deposition film made of the material of the raw material part on the surface of the coated part. For this reason, the inter-component material transfer processing step may be started from the raw material component surface protective film removing step S301 for removing the surface protective film of the raw material component. For example, when the raw material component is an aluminum member, a protective film called anodized is often formed on the surface. Since anodized is a porous material with columnar holes, if it is struck for a while with ions in the plasma, the underlying aluminum material will be easily scraped off. When the raw material component can be etched without providing the raw material component surface protective film removing step S301, the raw material component surface protective film removing step S301 need not be provided.

次に原料部品をプラズマエッチングする原料部品エッチング工程S302を実施し、このエッチング反応の結果原料部品から放出される反応生成物を被覆部品表面に堆積させる。この被覆部品表面に堆積した膜を付着生成物と呼ぶ。その後、付着生成物を化学反応により安定化して剥がれないようにする付着生成物安定化工程S303を実施すると良い。例えば、原料部品がアルミニウムやイットリウムを含有していて、付着生成物がアルミニウム化合物やイットリウム化合物であった場合には、付着生成物安定化工程S303ではフッ素原子を含むガスを用いたプラズマ放電を実施して付着生成物のフッ化を促す。この処理により、フッ化アルミニウムやフッ化イットリウムにすると非常に強固で安定な膜を被覆部品表面に形成することができる。あるいは、酸素原子を含むガスを用いたプラズマ放電により付着生成物を酸化して酸化アルミニウム膜やイットリア膜を形成しても強固な膜を形成することができる。   Next, a raw material part etching step S302 for plasma etching the raw material parts is performed, and reaction products released from the raw material parts as a result of this etching reaction are deposited on the surface of the coated part. The film deposited on the surface of the coated part is called an adhesion product. Then, it is good to implement adhesion product stabilization process S303 which stabilizes an adhesion product by a chemical reaction, and does not peel. For example, when the raw material component contains aluminum or yttrium and the adhered product is an aluminum compound or yttrium compound, plasma discharge using a gas containing fluorine atoms is performed in the adhered product stabilization step S303. This promotes fluorination of the deposited product. By this treatment, when aluminum fluoride or yttrium fluoride is used, a very strong and stable film can be formed on the surface of the coated component. Alternatively, a strong film can be formed even when an attached product is oxidized by plasma discharge using a gas containing oxygen atoms to form an aluminum oxide film or an yttria film.

なお、原料部品がアルマイトと呼ばれる保護膜で覆われているアルミニウム部材(例えば、アース)の場合は、上述した原料部品表面保護膜除去工程S301と原料部品エッチング工程S302が別工程となる。一方、原料部品がイットリアと呼ばれる保護膜で覆われているアルミニウム部材である場合、イットリアに含まれるイットリウムが原料部品の材料となることから、原料部品表面保護膜除去工程S301が原料部品エッチング工程S302となる。   When the raw material component is an aluminum member (for example, earth) covered with a protective film called anodized, the raw material component surface protective film removal step S301 and the raw material component etching step S302 described above are separate steps. On the other hand, when the raw material component is an aluminum member covered with a protective film called yttria, yttrium contained in yttria becomes the material of the raw material component. Therefore, the raw material component surface protective film removing step S301 is performed in the raw material component etching step S302. It becomes.

被覆部品表面の堆積膜を強固にするには、図4に示すようにこのシーケンスを何度(N回)も繰り返して付着生成物の膜を徐々に成長させるとよい(S304)。あまり大量の反応生成物を付着させてからフッ化や酸化等の付着生成物安定化工程S303を実施すると、付着生成物の内部が充分にフッ化や酸化されないために膜が弱くなってプラズマ放電で削れ易くなる。この繰り返しを数十回から数百回、あるいはそれ以上繰り返すとよい。その繰り返し回数は経験的に一定値に定められていてもよいし、処理寸法が安定するかどうかの検査を挟みながら適宜回数を変更してもよい。   In order to strengthen the deposited film on the surface of the coated part, as shown in FIG. 4, this sequence may be repeated many times (N times) to gradually grow the film of the deposited product (S304). If an adhering product stabilization step S303 such as fluorination or oxidation is carried out after adhering too much reaction product, the inside of the adhering product is not sufficiently fluorinated or oxidized, and the film becomes weak and plasma discharge occurs. It becomes easy to cut with. This repetition may be repeated several tens to several hundreds or more. The number of repetitions may be empirically set to a constant value, or may be changed as appropriate while interposing an inspection as to whether the processing dimensions are stable.

ここで述べたように原料部品をエッチングするためには、原料部品に高周波電力が通過するようにして原料部品に電圧を加え、この電圧によりプラズマ中のイオンを原料部品に向けて加速入射させ、原料部品の表面を叩くような構造になっていると都合がよい。高周波電力が原料部品を通過するためには、原料部品は導体であるか、あるいは導体の表面を薄い絶縁皮膜で覆ったものであるとよい。例えば、原料部品はアルミニウム部品、アルマイトコーティングされたアルミニウム部品あるいはイットリアコーティングされた導体部品であるとエッチングしやすく効果的である。一方、被覆部品は高周波電力が掛かると成長させている付着生成物の膜が取れてしまうため、高周波電力が通過しにくいような構造になっているとよい。   In order to etch the raw material component as described here, a voltage is applied to the raw material component so that high-frequency power passes through the raw material component, and by this voltage, ions in the plasma are accelerated incident on the raw material component, It is convenient to have a structure that strikes the surface of the raw material part. In order for the high-frequency power to pass through the raw material component, the raw material component is preferably a conductor, or the surface of the conductor is covered with a thin insulating film. For example, if the raw material part is an aluminum part, an alumite-coated aluminum part, or a yttria-coated conductor part, etching is easy and effective. On the other hand, the coated component may have a structure in which high-frequency power is difficult to pass through because a film of a grown adhesion product is removed when high-frequency power is applied.

原料部品表面保護膜除去工程S301は例えばハロゲンやハロゲン化水素のプラズマによる放電により実施する。例えば、塩素プラズマや臭化水素(HBr)プラズマや塩化水素(HCl)プラズマやこれらの混合ガスによるプラズマを用いる。この際に試料台10に加えられる高周波バイアス電力を加える。高周波バイアスはプラズマエッチング装置の持つ能力の範囲内で高いほどよい。試料台10上にはウェハを設置しても良いが、ウェハがエッチングされて生じるシリコンが被覆部品の付着生成物に混入して膜を弱くするため、ウェハは設置しないか若しくは削れにくい酸化膜ウェハを設置しておくと良い。   The raw material component surface protective film removing step S301 is performed by, for example, discharge using plasma of halogen or hydrogen halide. For example, chlorine plasma, hydrogen bromide (HBr) plasma, hydrogen chloride (HCl) plasma, or a plasma of a mixed gas thereof is used. At this time, high frequency bias power applied to the sample stage 10 is applied. The higher the high frequency bias is, the better it is within the capability of the plasma etching apparatus. Although a wafer may be placed on the sample stage 10, the silicon film produced by etching the wafer mixes with the adhesion product of the coated component and weakens the film. It is good to install.

また、原料部品エッチング工程S302もハロゲンプラズマあるいはハロゲン化水素に酸素を添加したプラズマで処理される。酸素を添加すると原料部品のエッチングにより放出される反応生成物が被覆部品の表面に定着し易くなるので、酸素を添加すると付着生成物の量が増えて効果があがることが多い。この原料部品エッチング工程S302で生成される付着生成物は、原料部品がアルミニウムの場合、AlClやAlClOなどである。   Further, the raw material component etching step S302 is also processed by halogen plasma or plasma in which oxygen is added to hydrogen halide. When oxygen is added, a reaction product released by etching of the raw material part is easily fixed on the surface of the coated part. Therefore, when oxygen is added, the amount of the adhered product is increased and the effect is often improved. The adhesion product generated in the raw material component etching step S302 is AlCl or AlClO when the raw material component is aluminum.

さらに、原料部品表面保護膜除去工程S301や原料部品エッチング工程S302の原料部品をエッチングする工程では、三塩化ホウ素(BCl)とハロゲンガスの混合ガスによるプラズマを用いてもよい。BClから放出されるホウ素(B)の還元力が原料部品のエッチングを促進するためである。 Furthermore, in the raw material part surface protective film removing step S301 and the raw material part etching step S302, the raw material parts may be etched by using a mixed gas of boron trichloride (BCl 3 ) and a halogen gas. This is because the reducing power of boron (B) released from BCl 3 promotes the etching of the raw material parts.

付着生成物安定化工程S303は例えば六フッ化イオウ(SF)や三フッ化窒素(NF)などの解離してフッ素を放出し易いガスを用いたプラズマを用いるとよい。あるいは酸素プラズマあるいは六フッ化イオウや三フッ化窒素や酸素の混合プラズマなどを用いると良い。この工程では付着生成物のフッ化や酸化を促進するのが目的であるから高周波バイアス電力は加えなくてもよい。特にフッ素を含むプラズマによりフッ化を促進する場合には、高周波バイアス電力を加えると原料部品の表面がフッ化して次のステップで原料部品のエッチングを阻害するようになるため、高周波バイアス電力は加えないほうがよい。この付着生成物安定化工程S303によって、被覆部品の表面を覆う膜は、AlClやAlClOなどから強固な膜であるフッ化アルミニウム(AlF)となる。このようにして、部品間材料転移処理工程を具体的に実施することができる。 In the adhesion product stabilization step S303, for example, plasma using a gas that easily releases fluorine by dissociation, such as sulfur hexafluoride (SF 6 ) or nitrogen trifluoride (NF 3 ), may be used. Alternatively, oxygen plasma, sulfur hexafluoride, nitrogen trifluoride, oxygen mixed plasma, or the like may be used. In this step, since the purpose is to promote the fluorination and oxidation of the deposited product, it is not necessary to apply a high frequency bias power. In particular, when fluorination is promoted by a plasma containing fluorine, if high frequency bias power is applied, the surface of the raw material component is fluorinated and inhibits etching of the raw material component in the next step. It is better not to. By this adhesion product stabilization step S303, the film covering the surface of the coated component becomes aluminum fluoride (AlF), which is a strong film made of AlCl, AlClO, or the like. In this way, the inter-component material transfer processing step can be specifically performed.

(実施の形態3)
本実施の形態3では、部品間材料転移処理工程の終了を光検出器による光量を測定することによって判定できる例について説明する。プラズマエッチング装置は通常、図5に示すようにプラズマの発光状態を観測するための光観測ポート14とプラズマ発光の変化を測定するための光検出器15を備えている。内筒4は石英で形成されているため、プラズマ発光を光検出器15で検出することができる。プラズマ発光が内筒(被覆部品)4を通過して観測される場合、部品間材料転移処理工程を実施中に同一処理条件でのプラズマ発光強度を観測する実験を行った。図6は、透過光の発光強度と部品間材料転移処理工程の経過時間との関係を示すグラフである。図6を見てわかるように、部品間材料転移処理工程を繰り返し実施することにより、発光強度が徐々に減衰することが判明した。これは被覆部品(内筒4)の表面に形成された付着生成物がプラズマ発光を吸収するためであり、この光の吸収量によって被覆部品に形成された付着生成物の厚みを推定できることを見出した。さらに、この発光強度の変動と加工寸法の変動の間に強い相関があることも見出した。すなわち、プラズマ発光を観測することにより、付着生成物の膜厚を推定することができ、製品処理開始状態に至ったかどうかを判定できる。従って、図7に示すように、原料部品表面保護膜除去工程S301、原料部品エッチング工程S302および付着生成物安定化工程S303を実施した後、光検出器15で検出される光量が指定値の範囲に入っているかを実施することにより、製品処理開始状態にまで達したかどうかをプラズマ発光を観測する工程S305により判断できるようになる。これにより最小限の時間でメンテナンス後の部品間材料転移処理工程を終了することができるため、装置のスループットが向上する。
(Embodiment 3)
In the third embodiment, an example will be described in which the end of the inter-component material transfer processing step can be determined by measuring the amount of light by a photodetector. As shown in FIG. 5, the plasma etching apparatus normally includes a light observation port 14 for observing a plasma emission state and a photodetector 15 for measuring a change in plasma emission. Since the inner cylinder 4 is made of quartz, plasma light emission can be detected by the photodetector 15. When the plasma emission was observed through the inner cylinder (covered part) 4, an experiment was conducted to observe the plasma emission intensity under the same processing conditions during the inter-part material transfer processing step. FIG. 6 is a graph showing the relationship between the emission intensity of transmitted light and the elapsed time of the inter-part material transfer process. As can be seen from FIG. 6, it was found that the emission intensity gradually attenuated by repeatedly performing the inter-part material transfer process step. This is because the adhered product formed on the surface of the coated part (inner cylinder 4) absorbs the plasma emission, and it is found that the thickness of the adhered product formed on the coated part can be estimated by the amount of light absorption. It was. Furthermore, it has also been found that there is a strong correlation between the variation in emission intensity and the variation in processing dimensions. That is, by observing the plasma emission, the film thickness of the adhered product can be estimated, and it can be determined whether or not the product processing start state has been reached. Therefore, as shown in FIG. 7, after performing the raw material component surface protective film removal step S301, the raw material component etching step S302, and the adhered product stabilization step S303, the amount of light detected by the photodetector 15 is within the specified value range. Whether or not the product has entered the product processing start state can be determined in step S305 of observing plasma emission. As a result, the inter-part material transfer process after maintenance can be completed in a minimum amount of time, so that the throughput of the apparatus is improved.

以上、前記実施の形態1〜3によれば、メンテナンスでプラズマエッチング装置のチャンバを構成する原料部品をエッチングし、そこで発生した反応生成物を被覆部品の表面に付着させて安定化することにより、メンテナンスにおける部品洗浄で被覆部品の表面状態が露出した結果によるプロセスの変動を防ぐことができる。この処理によってチャンバ内の表面状態を短時間で製造に適した状態にできるようになり、製品処理を早く開始できるようになるためプラズマ処理装置のスループットが向上する。   As described above, according to the first to third embodiments, by etching the raw material components constituting the chamber of the plasma etching apparatus in maintenance, and by stabilizing the generated reaction product on the surface of the coated component, It is possible to prevent process variations due to the result of exposing the surface state of the coated part by cleaning the part in maintenance. By this process, the surface state in the chamber can be brought into a state suitable for manufacturing in a short time, and the product process can be started early, so that the throughput of the plasma processing apparatus is improved.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、プラズマ処理装置に精通した技術者であれば本質的な部分ではなく、かつ、実施の形態に記述していない別の方法でも実施することができる。例えば、原料部品の形状について材料を放出し易いように鋭角部を持つように工夫したり、コーティング方法を工夫したりすることができる。これにより、部品間材料転移処理工程の原料部品表面保護膜除去工程を実施しないような工夫も考えられる。原料部品はアルミとイットリアを含有しているものを例として挙げたが、これら以外にも難エッチング性の化合物を持つ金属材料などを用いることも考えられる。本発明は半導体装置の製造工程のみならず、プラズマを用いた加工装置に広範に適用できる。例えば、プラズマ処理装置として、プラズマエッチング装置を例に挙げて説明したが、例えば、プラズマCVD装置にも適用することができる。   The present invention is not an essential part as long as it is an engineer familiar with the plasma processing apparatus, and can also be implemented by another method not described in the embodiment. For example, the shape of the raw material component can be devised to have an acute angle portion so that the material can be easily discharged, or the coating method can be devised. Thereby, the device which does not implement the raw material component surface protective film removal process of the material transfer process between components is also considered. The raw material parts are exemplified by those containing aluminum and yttria, but it is also possible to use a metal material having a compound that is difficult to etch other than these. The present invention can be widely applied not only to the manufacturing process of a semiconductor device but also to a processing apparatus using plasma. For example, a plasma etching apparatus has been described as an example of the plasma processing apparatus, but the present invention can also be applied to, for example, a plasma CVD apparatus.

また、前記実施の形態では、シーズニング処理の代わりに部品間材料転移処理工程を実施する例について説明したが、部品間材料転移処理工程の他にシーズニング処理を実施してもよい。   In the above-described embodiment, an example in which the inter-part material transfer process is performed instead of the seasoning process has been described. However, in addition to the inter-part material transfer process, the seasoning process may be performed.

本発明は、半導体装置を製造する製造業に幅広く利用することができる。   The present invention can be widely used in the manufacturing industry for manufacturing semiconductor devices.

本発明の実施の形態1における半導体装置の製造工程を示すフローチャートである。4 is a flowchart showing a manufacturing process of the semiconductor device in the first embodiment of the present invention. 実施の形態1におけるプラズマエッチング装置の構成を示す断面図である。1 is a cross-sectional view illustrating a configuration of a plasma etching apparatus in a first embodiment. 実施の形態1におけるプラズマエッチング装置のメンテナンスの流れを示すフローチャートである。4 is a flowchart showing a flow of maintenance of the plasma etching apparatus in the first embodiment. 実施の形態2における部品間材料転移処理工程の流れを示すフローチャートである。10 is a flowchart showing a flow of an inter-part material transfer processing step in the second embodiment. 実施の形態3におけるプラズマエッチング装置の構成を示す断面図である。FIG. 6 is a cross-sectional view showing a configuration of a plasma etching apparatus in a third embodiment. 透過光の発光強度と部品間材料転移処理工程の経過時間との関係を示すグラフである。It is a graph which shows the relationship between the emitted light intensity of transmitted light, and the elapsed time of the material transfer process process between components. 実施の形態3における部品間材料転移処理工程の流れを示すフローチャートである。12 is a flowchart showing a flow of an inter-part material transfer processing step in the third embodiment. 本発明者らが検討したプラズマエッチング装置のメンテナンスの流れを示すフローチャートである。It is a flowchart which shows the flow of the maintenance of the plasma etching apparatus which the present inventors examined. 本発明者らが検討した図であって、メンテナンスと加工寸法の関係を示すグラフである。It is the figure which the present inventors examined, Comprising: It is a graph which shows the relationship between a maintenance and a process dimension.

符号の説明Explanation of symbols

1 電磁波導入口
2 処理ガス供給口
3 アース
4 内筒
5 シャワープレート
6 天板
7 電磁波シールド
8 筐体
9 ガス噴出し口
10 試料台
11 静電チャック
12 ウェハ
13 処理室
14 光観測ポート
15 光検出器
100 メンテナンス開始時点
101 メンテナンス終了時点
102 製品処理開始時点
103 加工寸法規格下限値
104 加工寸法規格上限値
105 メンテナンス期間
106 シーズニング処理期間
107 製品処理期間
DESCRIPTION OF SYMBOLS 1 Electromagnetic wave introduction port 2 Processing gas supply port 3 Ground 4 Inner cylinder 5 Shower plate 6 Top plate 7 Electromagnetic wave shield 8 Case 9 Gas ejection port 10 Sample stand 11 Electrostatic chuck 12 Wafer 13 Processing chamber 14 Light observation port 15 Light detection 100 Maintenance start point 101 Maintenance end point 102 Product processing start point 103 Processing dimension standard lower limit value 104 Processing dimension standard upper limit value 105 Maintenance period 106 Seasoning processing period 107 Product processing period

Claims (10)

(a)プラズマ処理装置の処理室を構成する部品を洗浄してメンテナンスを実施する工程と、
(b)前記(a)工程後に、前記処理室を構成する第1部品をエッチングし、前記第1部品に含まれる材料を有する膜を、前記処理室を構成する第2部品の表面に形成する工程とを備えることを特徴とする半導体装置の製造方法。
(A) a step of cleaning the parts constituting the processing chamber of the plasma processing apparatus and performing maintenance;
(B) After the step (a), the first part constituting the processing chamber is etched to form a film having a material contained in the first part on the surface of the second part constituting the processing chamber. A method of manufacturing a semiconductor device.
請求項1記載の半導体装置の製造方法であって、
前記(b)工程は、プラズマを用いた処理によって実施することを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The method (b) is performed by a process using plasma, and a method for manufacturing a semiconductor device.
請求項1記載の半導体装置の製造方法であって、
前記(b)工程は、
(b1)前記処理室を構成する第1部品をエッチングする工程と、
(b2)前記(b1)工程後に、前記第1部品をエッチングすることにより前記処理室を構成する前記第2部品の表面に形成される前記膜の組成を変えて前記膜の安定化を図る工程を備えることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The step (b)
(B1) etching a first part constituting the processing chamber;
(B2) After the step (b1), the step of stabilizing the film by changing the composition of the film formed on the surface of the second part constituting the processing chamber by etching the first part. A method for manufacturing a semiconductor device, comprising:
請求項3記載の半導体装置の製造方法であって、
前記(b1)工程と前記(b2)工程とを交互に繰り返して実施することを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 3,
The method of manufacturing a semiconductor device, wherein the step (b1) and the step (b2) are alternately repeated.
請求項3記載の半導体装置の製造方法であって、
前記(b1)工程は、ハロゲン原子を含むガスによるプラズマ放電を用いることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 3,
In the step (b1), a plasma discharge using a gas containing a halogen atom is used.
請求項3記載の半導体装置の製造方法であって、
前記(b2)工程は、フッ素原子を含むガスによるプラズマ放電を用いることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 3,
In the step (b2), a plasma discharge using a gas containing fluorine atoms is used.
請求項1記載の半導体装置の製造方法であって、
前記第1部品は、アルミニウムを含む材料で構成されていることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The method for manufacturing a semiconductor device, wherein the first component is made of a material containing aluminum.
請求項1記載の半導体装置の製造方法であって、
前記第1部品は、イットリウムを含む材料で構成されていることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The method for manufacturing a semiconductor device, wherein the first component is made of a material containing yttrium.
請求項2記載の半導体装置の製造方法であって、さらに、
(c)前記プラズマによる発光現象を検出することにより前記膜の膜厚を推定して前記(b)工程の終了を判定する工程を備えることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 2, further comprising:
(C) A method for manufacturing a semiconductor device comprising a step of estimating the film thickness of the film by detecting a light emission phenomenon due to the plasma and determining the end of the step (b).
請求項1記載の半導体装置の製造方法であって、
前記プラズマ処理装置は、プラズマエッチング装置であることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the plasma processing apparatus is a plasma etching apparatus.
JP2006338563A 2006-12-15 2006-12-15 Method for manufacturing semiconductor device Pending JP2008153365A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006338563A JP2008153365A (en) 2006-12-15 2006-12-15 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006338563A JP2008153365A (en) 2006-12-15 2006-12-15 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2008153365A true JP2008153365A (en) 2008-07-03

Family

ID=39655246

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006338563A Pending JP2008153365A (en) 2006-12-15 2006-12-15 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2008153365A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192872A (en) * 2010-03-16 2011-09-29 Hitachi High-Technologies Corp Plasma treatment apparatus and plasma treatment method
JP2015032780A (en) * 2013-08-06 2015-02-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP2015185664A (en) * 2014-03-24 2015-10-22 株式会社日立ハイテクノロジーズ Method for determining processing gas flow rate
WO2023238266A1 (en) * 2022-06-08 2023-12-14 株式会社日立ハイテク Plasma processing method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (en) * 1994-03-24 1996-04-23 Hitachi Ltd Plasma processing method and its device
JP2000159572A (en) * 1998-11-27 2000-06-13 Kyocera Corp Anticorrosive ceramic member
JP2002053963A (en) * 2000-07-22 2002-02-19 Ips Ltd Ald thin film vapor deposition apparatus provided with cleaning apparatus and cleaning method thereof
JP2004031380A (en) * 2002-06-21 2004-01-29 Hitachi High-Technologies Corp Seasoning method of plasma processor
JP2004039935A (en) * 2002-07-04 2004-02-05 Tokyo Electron Ltd Method for removing contaminant in plasma processor
JP2004047500A (en) * 2001-06-01 2004-02-12 Tokyo Electron Ltd Plasma processing apparatus and method of initializing the same
JP2004235349A (en) * 2003-01-29 2004-08-19 Hitachi High-Technologies Corp Method and apparatus for plasma processing
JP2005056925A (en) * 2003-08-06 2005-03-03 Hitachi Ltd Plasma processing apparatus and treatment room internal surface stabilization method
WO2005034181A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method for monitoring status of system components
JP2006210948A (en) * 2006-04-20 2006-08-10 Hitachi High-Technologies Corp Plasma processing apparatus

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (en) * 1994-03-24 1996-04-23 Hitachi Ltd Plasma processing method and its device
JP2000159572A (en) * 1998-11-27 2000-06-13 Kyocera Corp Anticorrosive ceramic member
JP2002053963A (en) * 2000-07-22 2002-02-19 Ips Ltd Ald thin film vapor deposition apparatus provided with cleaning apparatus and cleaning method thereof
JP2004047500A (en) * 2001-06-01 2004-02-12 Tokyo Electron Ltd Plasma processing apparatus and method of initializing the same
JP2004031380A (en) * 2002-06-21 2004-01-29 Hitachi High-Technologies Corp Seasoning method of plasma processor
JP2004039935A (en) * 2002-07-04 2004-02-05 Tokyo Electron Ltd Method for removing contaminant in plasma processor
JP2004235349A (en) * 2003-01-29 2004-08-19 Hitachi High-Technologies Corp Method and apparatus for plasma processing
JP2005056925A (en) * 2003-08-06 2005-03-03 Hitachi Ltd Plasma processing apparatus and treatment room internal surface stabilization method
WO2005034181A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method for monitoring status of system components
JP2006210948A (en) * 2006-04-20 2006-08-10 Hitachi High-Technologies Corp Plasma processing apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192872A (en) * 2010-03-16 2011-09-29 Hitachi High-Technologies Corp Plasma treatment apparatus and plasma treatment method
JP2015032780A (en) * 2013-08-06 2015-02-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP2015185664A (en) * 2014-03-24 2015-10-22 株式会社日立ハイテクノロジーズ Method for determining processing gas flow rate
WO2023238266A1 (en) * 2022-06-08 2023-12-14 株式会社日立ハイテク Plasma processing method

Similar Documents

Publication Publication Date Title
JP4056195B2 (en) Manufacturing method of semiconductor integrated circuit device
US8058181B1 (en) Method for post-etch cleans
US7700494B2 (en) Low-pressure removal of photoresist and etch residue
KR100689916B1 (en) Fabrication method of semiconductor integrated circuit device
KR100702290B1 (en) Method of in-situ ashing and processing photoresist and etch residues
JP4671729B2 (en) Semiconductor device and manufacturing method thereof
US20080050922A1 (en) Chamber recovery after opening barrier over copper
US20060199370A1 (en) Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
WO2006076085A2 (en) Low-pressure removal of photoresist and etch residue
WO2001008209A1 (en) Multiple stage cleaning for plasma etching chambers
KR100676206B1 (en) Cleaning method of processing chamber in semiconductor device manufacturing apparatus
JP2008153365A (en) Method for manufacturing semiconductor device
JP3643580B2 (en) Plasma processing apparatus and semiconductor manufacturing apparatus
US6784109B2 (en) Method for fabricating semiconductor devices including wiring forming with a porous low-k film and copper
US7425510B2 (en) Methods of cleaning processing chamber in semiconductor device fabrication equipment
JP2008060383A (en) Method for manufacturing semiconductor device
US9558955B2 (en) Formation method of semiconductor device that includes performing hydrogen-containing plasma treatment on metal gate stack
US7055532B2 (en) Method to remove fluorine residue from bond pads
KR20010112355A (en) Method for removing residues with reduced etching of oxide
US6756315B1 (en) Method of forming contact openings
KR101133697B1 (en) Method for manufacturing semiconductor device
JP2007234760A (en) Method of manufacturing semiconductor device
JP2007234740A (en) Manufacturing method of semiconductor device
JP2005129946A (en) Post plasma clean process for a hardmask
US20020182852A1 (en) Method for reducing micro-masking defects in trench isolation regions

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091225

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120626