JP2008124484A - Semiconductor device, and method for manufacturing same - Google Patents

Semiconductor device, and method for manufacturing same Download PDF

Info

Publication number
JP2008124484A
JP2008124484A JP2007317602A JP2007317602A JP2008124484A JP 2008124484 A JP2008124484 A JP 2008124484A JP 2007317602 A JP2007317602 A JP 2007317602A JP 2007317602 A JP2007317602 A JP 2007317602A JP 2008124484 A JP2008124484 A JP 2008124484A
Authority
JP
Japan
Prior art keywords
film
metal
mis transistor
type mis
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007317602A
Other languages
Japanese (ja)
Other versions
JP5253797B2 (en
Inventor
Yoshitaka Tsunashima
祥隆 綱島
Kyoichi Suguro
恭一 須黒
Toshihiko Iinuma
俊彦 飯沼
Koji Matsuo
浩司 松尾
Atsushi Murakoshi
篤 村越
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2007317602A priority Critical patent/JP5253797B2/en
Publication of JP2008124484A publication Critical patent/JP2008124484A/en
Application granted granted Critical
Publication of JP5253797B2 publication Critical patent/JP5253797B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To enable miniaturization in a semiconductor in which a gate electrode is manufactured using the damascene gate technology etc. <P>SOLUTION: In the semiconductor device, each gate electrode of an N-type MIS transistor and a P-type MIS transistor is formed via a gate insulating film in a recess formed in a semiconductor substrate, wherein one of the gate electrodes of the N-type MIS transistor and the P-type MIS transistor is configured into a laminated structure of a first metal-containing film F1 and a second metal-containing film F2 on the first metal-containing film and the other gate electrode of the N-type MIS transistor and the P-type MIS transistor is configured into a laminated structure of a third metal-containing film F3 and the second metal-containing film F2 on the third metal-containing film F3. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置及びその製造方法、特にN型MISトランジスタ及びP型MISトランジスタのゲート電極の改良に関するものである。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to improvement of gate electrodes of N-type MIS transistors and P-type MIS transistors.

MISトランジスタの高性能化のためには、素子の微細化が必須である。しかし、ゲート絶縁膜として現在用いられているシリコン酸化膜は、誘電率が低いため、ゲート絶縁膜の容量を大きくできないという問題がある。また、ゲート電極として用いられているポリシリコンは、抵抗率が高いため、低抵抗化を達成できないという問題がある。それぞれの問題に対して、ゲート絶縁膜には高誘電体材料を用い、ゲート電極には金属材料を用いるという提案がなされている。   In order to improve the performance of the MIS transistor, it is essential to miniaturize the element. However, since the silicon oxide film currently used as the gate insulating film has a low dielectric constant, there is a problem that the capacity of the gate insulating film cannot be increased. In addition, the polysilicon used as the gate electrode has a problem that it cannot achieve low resistance because of its high resistivity. For each problem, a proposal has been made to use a high dielectric material for the gate insulating film and a metal material for the gate electrode.

ところが、これらの材料は、現在用いられている材料に比べて耐熱性に劣るという欠点を有している。そこで、高温プロセスを行った後にゲート絶縁膜及びゲート電極を形成することが可能な技術として、ダマシンゲート技術が提案されている。   However, these materials have a drawback that they are inferior in heat resistance as compared to materials currently used. Therefore, a damascene gate technique has been proposed as a technique capable of forming a gate insulating film and a gate electrode after performing a high temperature process.

ダマシンゲート技術は、ゲート形成予定領域に予めダミーとなるゲートを形成しておき、ソース・ドレイン拡散層を形成した後にダミーゲートを除去し、ダミーゲートを除去した領域に電極材料を埋め込んでゲート電極を作製するものである。   In the damascene gate technology, a dummy gate is formed in advance in a region where a gate is to be formed, a dummy gate is removed after forming a source / drain diffusion layer, and an electrode material is embedded in the region from which the dummy gate is removed. Is produced.

ダマシーンゲート技術を用いてゲート電極を作製する場合、N型及びP型MISトランジスタのゲート電極に同一の金属を用いると、両トランジスタのゲート電極の仕事関数を異ならせることができないため、N型及びP型MISトランジスタそれぞれのしきい値を適正化することができない。   When the gate electrode is manufactured using the damascene gate technology, if the same metal is used for the gate electrodes of the N-type and P-type MIS transistors, the work functions of the gate electrodes of both transistors cannot be made different. And the threshold value of each of the P-type MIS transistors cannot be optimized.

したがって、N型MISトランジスタとP型MISトランジスタとで、異なるゲート電極材料を用いる製造プロセスが必要とされる。以下、このような製造プロセスの一例について、図20(a)〜図23(i)を参照して説明する。   Therefore, a manufacturing process using different gate electrode materials is required for the N-type MIS transistor and the P-type MIS transistor. Hereinafter, an example of such a manufacturing process will be described with reference to FIGS. 20 (a) to 23 (i).

まず、シリコン基板501上にSTI構造の素子分離502を形成する。続いて、将来除去されるダミー絶縁膜として、膜厚6nm程度のシリコン酸化膜503を形成する。さらに、将来除去されるダミーゲートとして、膜厚150nm程度のポリシリコン膜504及び膜厚50nm程度のシリコン窒化膜505の積層構造を形成する。これらのダミー絶縁膜及びダミーゲートは、通常の技術(酸化やCVD等の成膜技術、リソグラフィー技術、RIE技術等)を用いて形成する。続いて、ダミーゲート(ポリシリコン膜504及びシリコン窒化膜505)をマスクとして、イオン注入技術により、ソース・ドレイン拡散層506となるエクステンション用の不純物拡散層を形成する。続いて、シリコン窒化膜507からなる幅40nm程度のゲート側壁絶縁膜を、CVD技術とRIE技術によって形成する(図20(a))。   First, an element isolation 502 having an STI structure is formed on a silicon substrate 501. Subsequently, a silicon oxide film 503 having a thickness of about 6 nm is formed as a dummy insulating film to be removed in the future. Further, as a dummy gate to be removed in the future, a laminated structure of a polysilicon film 504 having a thickness of about 150 nm and a silicon nitride film 505 having a thickness of about 50 nm is formed. These dummy insulating films and dummy gates are formed using ordinary techniques (film formation techniques such as oxidation and CVD, lithography techniques, and RIE techniques). Subsequently, an extension impurity diffusion layer to be the source / drain diffusion layer 506 is formed by ion implantation using the dummy gate (polysilicon film 504 and silicon nitride film 505) as a mask. Subsequently, a gate sidewall insulating film having a width of about 40 nm made of the silicon nitride film 507 is formed by the CVD technique and the RIE technique (FIG. 20A).

次に、ダミーゲート(ポリシリコン膜504及びシリコン窒化膜505)及びゲート側壁絶縁膜(シリコン窒化507)をマスクとして、イオン注入技術により、ソース・ドレイン拡散層508となる高濃度不純物拡散層を形成する。さらに、サリサイドプロセス技術により、ダミーゲートをマスクとしてソース・ドレイン領域のみに厚さ40nm程度のシリサイド膜(コバルト或いはチタン等のシリサイド)509を形成する(図20(b))。   Next, using the dummy gate (polysilicon film 504 and silicon nitride film 505) and gate sidewall insulating film (silicon nitride 507) as a mask, a high-concentration impurity diffusion layer to be the source / drain diffusion layer 508 is formed by ion implantation technology. To do. Further, by a salicide process technique, a silicide film (silicide such as cobalt or titanium) 509 having a thickness of about 40 nm is formed only in the source / drain regions using the dummy gate as a mask (FIG. 20B).

次に、層間絶縁膜510として、例えばシリコン酸化膜をCVD法により堆積する。さらに、この層間絶縁膜510をCMP技術によって平坦化することにより、シリコン窒化膜505及び507の表面を露出させる(図20(c))。   Next, for example, a silicon oxide film is deposited as the interlayer insulating film 510 by a CVD method. Further, the surface of the silicon nitride films 505 and 507 is exposed by planarizing the interlayer insulating film 510 by CMP (FIG. 20C).

次に、例えば燐酸を用いて、ダミーゲート上部のシリコン窒化膜505を層間絶縁膜510に対して選択的に除去する。このときに、シリコン窒化膜507もポリシリコン膜504の高さ程度までエッチングされる。続いて、例えばフッ素などのハロゲン原子のラジカルを用いたエッチング技術により、ポリシリコン膜504を層間絶縁膜510及びシリコン窒化膜507に対して選択的に除去する(図21(d))。   Next, the silicon nitride film 505 above the dummy gate is selectively removed from the interlayer insulating film 510 using, for example, phosphoric acid. At this time, the silicon nitride film 507 is also etched to the height of the polysilicon film 504. Subsequently, the polysilicon film 504 is selectively removed with respect to the interlayer insulating film 510 and the silicon nitride film 507 by an etching technique using radicals of halogen atoms such as fluorine (FIG. 21D).

次に、フッ酸等のウエットエッチングによりダミーのシリコン酸化膜503を除去することにより、溝(凹部)が形成される。続いて、ゲート絶縁膜として、高誘電体絶縁膜であるTa2 5 膜512を、例えばCVD法等によって形成する。続いて、ゲート電極として、例えばアルミニウム膜513を堆積する(図21(e))。 Next, the dummy silicon oxide film 503 is removed by wet etching such as hydrofluoric acid to form a groove (concave portion). Subsequently, a Ta 2 O 5 film 512 that is a high dielectric insulating film is formed as a gate insulating film by, for example, a CVD method or the like. Subsequently, for example, an aluminum film 513 is deposited as a gate electrode (FIG. 21E).

次に、CMP技術を用いて、Ta2 5 膜512及びアルミニウム膜513の平坦化を、層間絶縁膜510が露出するまで行う(図21(f))。 Next, the Ta 2 O 5 film 512 and the aluminum film 513 are planarized by CMP until the interlayer insulating film 510 is exposed (FIG. 21F).

以上の図20(a)〜図21(f)の工程は、N型MISトランジスタ形成領域及びP型MISトランジスタ形成領域の双方に対して行われるが、図面上では一方の領域のみを示した。以後の工程からは、N型MISトランジスタ(N型MISFET)形成領域及びP型MISトランジスタ(P型MISFET)形成領域の双方を図面上に示す。   20A to 21F are performed on both the N-type MIS transistor formation region and the P-type MIS transistor formation region, but only one region is shown in the drawing. From the subsequent steps, both the N-type MIS transistor (N-type MISFET) formation region and the P-type MIS transistor (P-type MISFET) formation region are shown in the drawing.

図21(f)の工程の後、リソグラフィー技術を用いて、P型MISトランジスタ形成領域以外をレジスト514で覆う(図22(g))。   After the step of FIG. 21F, the area other than the P-type MIS transistor formation region is covered with a resist 514 using a lithography technique (FIG. 22G).

次に、燐酸によるウエットエッチングを行うことにより、P型領域のみアルミニウム膜513を除去する。この時、シリコン窒化膜507が露出しているが、室温の燐酸ではほとんどエッチングされない(図22(h))。   Next, the aluminum film 513 is removed only in the P-type region by performing wet etching with phosphoric acid. At this time, the silicon nitride film 507 is exposed, but is hardly etched by phosphoric acid at room temperature (FIG. 22H).

次に、レジスト514を除去した後、仕事関数が5eV程度となる金属として、例えばコバルト膜515を全面に堆積する(図23(i))。   Next, after removing the resist 514, a cobalt film 515, for example, is deposited on the entire surface as a metal having a work function of about 5 eV (FIG. 23 (i)).

次に、CMP技術を用いて、コバルト膜515の平坦化を、層間絶縁膜510が露出するまで行う(図23(j))。   Next, planarization of the cobalt film 515 is performed using CMP technology until the interlayer insulating film 510 is exposed (FIG. 23J).

以上の工程により、ゲート電極構造として、N型はアルミニウム膜513からなり、P型はコバルト膜515からなるC−MISトランジスタが完成する。アルミニウム膜513は仕事関数が4.2eV程度、コバルト膜515は仕事関数が5eV程度であるため、N型MISトランジスタとP型MISトランジスタとで、それぞれでゲート電極の仕事関数を最適化することができ、両トランジスタのしきい値電圧を最適化することができる。   Through the above steps, a C-MIS transistor having an N-type aluminum film 513 and a P-type cobalt film 515 as a gate electrode structure is completed. Since the work function of the aluminum film 513 is about 4.2 eV and the work function of the cobalt film 515 is about 5 eV, the work function of the gate electrode can be optimized in each of the N-type MIS transistor and the P-type MIS transistor. And the threshold voltages of both transistors can be optimized.

しかしながら、上述した従来技術では、微細化に対して大きな問題が生じる。以下、この問題について説明する。   However, the above-described prior art has a big problem with respect to miniaturization. Hereinafter, this problem will be described.

図24(a)、図24(b)及び図24(c)は、それぞれ図22(g)、図22(h)及び図23(j)における主要部を模式的に示した平面図である。N型MISトランジスタ及びP型MISトランジスタのそれぞれのソース・ドレイン間の距離、すなわち素子間距離をDとする。   24 (a), 24 (b), and 24 (c) are plan views schematically showing main parts in FIGS. 22 (g), 22 (h), and 23 (j), respectively. . Let D be the distance between the source and drain of the N-type MIS transistor and P-type MIS transistor, that is, the distance between elements.

図22(h)の工程において、レジスト514をマスクにしてP型領域のアルミニウム膜513をウエットエッチングすると、ウエットエッチングは等方的に進行する。そのため、レジスト514でマスクされた領域までエッチングが深く進み、図24(b)に示すように、N型領域までアルミニウム膜513がエッチングされてしまう。   In the step of FIG. 22 (h), when the aluminum film 513 in the P-type region is wet etched using the resist 514 as a mask, the wet etching proceeds isotropically. Therefore, the etching proceeds deeply to the region masked by the resist 514, and the aluminum film 513 is etched to the N-type region as shown in FIG.

したがって、完成されたトランジスタ構造は、図24(c)に示すようになる。すなわち、N型領域では、仕事関数が互いに異なるアルミニウム膜とコバルト膜によってゲート電極が構成されることになる。そのため、N型MISトランジスタでは、しきい値の異なる領域が存在することになり、低いしきい値電圧の設定が望めなくなる。   Therefore, the completed transistor structure is as shown in FIG. That is, in the N-type region, the gate electrode is composed of an aluminum film and a cobalt film having different work functions. Therefore, in the N-type MIS transistor, there are regions having different threshold values, and it is impossible to set a low threshold voltage.

上述した問題についてさらに検討する。ウエットエッチングによる横方向のエッチング量Eは、通常エッチングされるアルミニウム膜の高さH(図22(h)参照)以上となる。上述した例では、アルミニウム膜の高さHは150nm程度であるため、横方向のエッチング量Eは150nm以上となる。したがって、上述した問題を避けるためには、素子間距離Dを横方向のエッチング量Eの2倍以上、すなわち300nm以上にすることが必要となり、微細化を行うことが極めて困難になる。アルミニウム膜の高さHを低くすることである程度の微細化が可能になるが、アルミニウム膜の高さHの減少によってゲート抵抗が増大するため、本質的な解決策とはならない。   Consider further the issues discussed above. The lateral etching amount E by wet etching is equal to or higher than the height H (see FIG. 22H) of the aluminum film that is normally etched. In the above example, since the height H of the aluminum film is about 150 nm, the lateral etching amount E is 150 nm or more. Therefore, in order to avoid the above-described problem, it is necessary to make the inter-element distance D at least twice the lateral etching amount E, that is, 300 nm or more, and it is extremely difficult to miniaturize. Although a certain degree of miniaturization can be achieved by reducing the height H of the aluminum film, the gate resistance increases due to the reduction of the height H of the aluminum film, so this is not an essential solution.

また、上述した従来技術では、ゲート絶縁膜等の信頼性に対しても大きな問題が生じる。以下、この問題について説明する。   Further, the above-described conventional technique causes a serious problem with respect to the reliability of the gate insulating film and the like. Hereinafter, this problem will be described.

上述した従来技術では、図22(h)の工程において、P型領域のアルミニウム膜513をウエットエッチングで除去した後、除去した領域に図23(i)及び(j)の工程でコバルト膜515を形成する。したがって、アルミニウム膜513のエッチング等によってゲート絶縁膜512の表面が劣化し、ゲート絶縁膜の信頼性に対して悪影響が生じることになる。   In the prior art described above, after the aluminum film 513 in the P-type region is removed by wet etching in the step of FIG. 22 (h), the cobalt film 515 is formed in the removed region in the step of FIGS. 23 (i) and (j). Form. Therefore, the surface of the gate insulating film 512 is deteriorated due to etching of the aluminum film 513 and the reliability of the gate insulating film is adversely affected.

以上述べたように、従来のダマシンゲート技術では、ダミーゲートをエッチング除去する際に、エッチングが横方向に深く進行するため、微細化が困難であるという問題があった。また、ダミーゲートをエッチング除去することによって、ゲート絶縁膜等の信頼性に悪影響を与えるという問題もあった。   As described above, the conventional damascene gate technique has a problem that when the dummy gate is removed by etching, the etching proceeds deeply in the lateral direction, which makes it difficult to reduce the size. Moreover, there is a problem in that the reliability of the gate insulating film or the like is adversely affected by removing the dummy gate by etching.

本発明は、上記従来の問題に対してなされたものであり、ダマシンゲート技術等を用いてゲート電極が作製される半導体装置において、半導体装置の微細化を達成することを第1の目的とし、ゲート電極等の信頼性を確保することを第2の目的とする。   The present invention has been made with respect to the above-described conventional problems, and a first object of the present invention is to achieve miniaturization of a semiconductor device in a semiconductor device in which a gate electrode is manufactured using damascene gate technology or the like. A second object is to ensure the reliability of the gate electrode and the like.

本発明(発明A)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極が半導体基板に形成された凹部内にゲート絶縁膜を介して形成されている半導体装置であって、N型MISトランジスタ及びP型MISトランジスタの少なくとも一方のゲート電極は複数の金属含有膜の積層構造によって構成され、かつN型MISトランジスタのゲート絶縁膜に接する金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W1)がP型MISトランジスタのゲート絶縁膜に接する金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W2)よりも小さいことを特徴とする。   The present invention (Invention A) is a semiconductor device in which the gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed in a recess formed in a semiconductor substrate via a gate insulating film, The gate electrode of at least one of the transistor and the P-type MIS transistor is configured by a stacked structure of a plurality of metal-containing films, and the work function of at least a portion of the metal-containing film in contact with the gate insulating film of the N-type MIS transistor is in contact with the gate insulating film (W1) is smaller than the work function (W2) of at least a portion in contact with the gate insulating film of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor.

本発明(発明B)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜を除去する工程と、第1又は第2のゲート形成領域の他方の領域に残置した第1の金属含有膜上及び第1又は第2のゲート形成領域の一方の領域のゲート絶縁膜上に第2の金属含有膜を形成することにより第1及び第2のゲート形成領域の双方の領域の凹部を埋め込む工程とからなり、前記第1及び第2の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W1)がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W2)よりも小さいことを特徴とする。   The present invention (Invention B) is a method for manufacturing a semiconductor device, wherein the gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed in a recess formed in a semiconductor substrate through a gate insulating film. The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. Forming the metal-containing film, removing the first metal-containing film formed in one region of the first or second gate formation region, and the other of the first or second gate formation region Forming a second metal-containing film on the first metal-containing film left in the first region and on the gate insulating film in one of the first or second gate formation regions. Formation Of the first and second metal-containing films, of the metal-containing film in contact with the gate insulating film of the N-type MIS transistor, at least a portion in contact with the gate insulating film of the first and second metal-containing films. The work function (W1) is smaller than the work function (W2) of at least a portion in contact with the gate insulating film of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor.

本発明(発明C)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜を除去する工程と、第1又は第2のゲート形成領域の他方の領域に残置した第1の金属含有膜上及び第1又は第2のゲート形成領域の一方の領域のゲート絶縁膜上に第3の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の他方の領域に形成された第3の金属含有膜を除去する工程と、第1又は第2のゲート形成領域の一方の領域に残置した第3の金属含有膜上及び第1又は第2のゲート形成領域の他方の領域に露出した第1の金属含有膜上に第2の金属含有膜を形成することにより第1及び第2のゲート形成領域の双方の領域の凹部を埋め込む工程とからなり、前記第1及び第2の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W1)がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数(W2)よりも小さいことを特徴とする。   The present invention (Invention C) is a method of manufacturing a semiconductor device, wherein the gate electrodes of the N-type MIS transistor and the P-type MIS transistor are formed in a recess formed in a semiconductor substrate via a gate insulating film, The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. Forming the metal-containing film, removing the first metal-containing film formed in one region of the first or second gate formation region, and the other of the first or second gate formation region Forming a third metal-containing film on the first metal-containing film left in the region and on the gate insulating film in one region of the first or second gate formation region; and the first or second Gate formation region Removing the third metal-containing film formed in the other region; and on the third metal-containing film and the first or second gate left in one region of the first or second gate formation region Forming a second metal-containing film on the first metal-containing film exposed in the other region of the formation region, and filling the recesses in both the first and second gate formation regions, Of the first and second metal-containing films, the work function (W1) of at least the portion of the metal-containing film in contact with the gate insulating film of the N-type MIS transistor that is in contact with the gate insulating film is the gate insulation of the P-type MIS transistor. It is characterized in that it is smaller than the work function (W2) of at least the portion in contact with the gate insulating film of the metal-containing film in contact with the film.

本発明(発明A、B、C)によれば、N型MISトランジスタのゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する部分の仕事関数よりも小さいため、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化することができ、N型及びP型MISトランジスタのしきい値電圧を最適化することが可能である。   According to the present invention (Inventions A, B, and C), the work function of the portion in contact with the gate insulating film of the N-type MIS transistor is smaller than the work function of the portion in contact with the gate insulating film of the P-type MIS transistor. The work function of the gate electrode of each of the P-type MIS transistor and the P-type MIS transistor can be optimized, and the threshold voltage of the N-type and P-type MIS transistors can be optimized.

また、本発明(発明A、B、C)によれば、N型MISトランジスタ及びP型MISトランジスタの少なくとも一方のゲート電極は複数の金属含有膜で形成されているため、ゲート絶縁膜に接する部分の膜の抵抗率が低くなくても、上層側に抵抗率の低い膜を設けることで、ゲート電極全体の抵抗を低くすることができる。   In addition, according to the present invention (Inventions A, B, and C), at least one gate electrode of the N-type MIS transistor and the P-type MIS transistor is formed of a plurality of metal-containing films, so that the portion in contact with the gate insulating film Even if the resistivity of this film is not low, the resistance of the entire gate electrode can be lowered by providing a low resistivity film on the upper layer side.

また、本発明(発明B、C)によれば、第1、第3の金属含有膜上に第2の金属含有膜を形成するので、第1、第3の金属含有膜の膜厚を薄くすることができる。したがって、第1又は第2のゲート形成領域の一方の領域に形成された金属含有膜(第1、第3の金属含有膜)を除去する際に、第1又は第2のゲート形成領域の他方の領域まで深くエッチングが進行することを防止でき、半導体装置の微細化を達成することが可能となる。   According to the present invention (Inventions B and C), since the second metal-containing film is formed on the first and third metal-containing films, the thickness of the first and third metal-containing films is reduced. can do. Therefore, when removing the metal-containing film (first or third metal-containing film) formed in one region of the first or second gate formation region, the other of the first or second gate formation region is removed. It is possible to prevent the etching from proceeding deeply to this region, and to achieve miniaturization of the semiconductor device.

なお、本発明(発明A、B、C)では、仕事関数W1が半導体基板に用いる半導体のバンドギャップの中央(バンドギャップの1/2の位置)よりも伝導帯に近い側にあり、仕事関数W2がバンドギャップの中央よりも荷電子帯に近い側にあることが好ましい。また、MISトランジスタのしきい値を決めるゲート絶縁膜に接する領域の厚さは、所望のしきい値が得られる厚さ以上であればよいが、好ましくは10原子層程度以上となるようにする。   In the present invention (Invention A, B, C), the work function W1 is closer to the conduction band than the center of the band gap of the semiconductor used for the semiconductor substrate (position of 1/2 of the band gap). W2 is preferably closer to the valence band than the center of the band gap. In addition, the thickness of the region in contact with the gate insulating film that determines the threshold value of the MIS transistor may be equal to or greater than a thickness at which a desired threshold value is obtained, but is preferably about 10 atomic layers or more. .

また、本発明(発明A、B、C)では、N型及びP型MISトランジスタのゲート絶縁膜に接するそれぞれの部分は、必ずしも異種の材料である必要はなく、同種の材料であっても両者間で組成或いは結晶構造を異ならせることにより、両者の仕事関数を異ならせることができるものであればよい。   In the present invention (Inventions A, B, and C), the respective portions in contact with the gate insulating film of the N-type and P-type MIS transistors do not necessarily need to be made of different materials. What is necessary is just to make the work functions of both different by making the composition or the crystal structure different between them.

本発明(発明D)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜に含まれる物質と該物質以外の物質とを反応させることにより第1の金属含有膜を第2の金属含有膜に変換する工程とからなり、前記第1及び第2の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする。   The present invention (Invention D) is a method for manufacturing a semiconductor device, wherein the gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed in a recess formed in a semiconductor substrate via a gate insulating film. The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. Forming a metal-containing film, and reacting a substance contained in the first metal-containing film formed in one region of the first or second gate formation region with a substance other than the substance. A step of converting one metal-containing film into a second metal-containing film, and of the first and second metal-containing films, the metal-containing film in contact with the gate insulating film of the N-type MIS transistor The work function of the portion in contact with at least the gate insulating film is equal to or smaller than the work function of the portion in contact with at least the gate insulating film in the direction of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor.

本発明(発明E)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜に含まれる物質と該物質以外の物質とを反応させることにより第1の金属含有膜を第2の金属含有膜に変換する工程と、第1又は第2のゲート形成領域の他方の領域に形成された第1の金属含有膜に含まれる物質と該物質以外の物質とを反応させることにより第1の金属含有膜を第3の金属含有膜に変換する工程とからなり、前記第2及び第3の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする。   The present invention (Invention E) is a method for manufacturing a semiconductor device, wherein the gate electrodes of the N-type MIS transistor and the P-type MIS transistor are formed in a recess formed in a semiconductor substrate via a gate insulating film, The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. Forming a metal-containing film, and reacting a substance contained in the first metal-containing film formed in one region of the first or second gate formation region with a substance other than the substance. A step of converting one metal-containing film into a second metal-containing film, a substance contained in the first metal-containing film formed in the other region of the first or second gate formation region, and other than the substance Substance and A step of converting the first metal-containing film into a third metal-containing film by reacting, and of the second and third metal-containing films, the one in contact with the gate insulating film of the N-type MIS transistor The work function of at least a portion of the metal-containing film in contact with the gate insulating film is smaller than the work function of at least a portion of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor.

本発明(発明F)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜中を該第1の金属含有膜に含まれる物質以外の物質を拡散させてゲート絶縁膜界面に析出させることにより第2の金属含有膜を形成する工程とからなり、前記第1及び第2の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする。   The present invention (Invention F) is a method for manufacturing a semiconductor device, wherein the gate electrodes of the N-type MIS transistor and the P-type MIS transistor are formed in a recess formed in a semiconductor substrate through a gate insulating film. The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. A step of forming the metal-containing film, and a substance other than the substance contained in the first metal-containing film in the first metal-containing film formed in one of the first or second gate formation regions. A step of forming a second metal-containing film by diffusing and precipitating at the interface of the gate insulating film, and of the first and second metal-containing films, the gate insulating film of the N-type MIS transistor The work function of at least the portion in contact with the gate insulating film of the metal-containing film in contact is smaller than the work function of at least the portion in contact with the gate insulating film of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor. And

本発明(発明G)は、N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜中を該第1の金属含有膜に含まれる物質以外の物質を拡散させてゲート絶縁膜界面に析出させることにより第2の金属含有膜を形成する工程と、第1又は第2のゲート形成領域の他方の領域に形成された第1の金属含有膜中を該第1の金属含有膜に含まれる物質以外の物質を拡散させてゲート絶縁膜界面に析出させることにより第3の金属含有膜を形成する工程とからなり、前記第2及び第3の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする。   The present invention (Invention G) is a method for manufacturing a semiconductor device, wherein the gate electrodes of the N-type MIS transistor and the P-type MIS transistor are formed in a recess formed in a semiconductor substrate via a gate insulating film, The step of forming an electrode includes a first insulating film formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. A step of forming the metal-containing film, and a substance other than the substance contained in the first metal-containing film in the first metal-containing film formed in one of the first or second gate formation regions. A step of forming a second metal-containing film by diffusing and precipitating at the interface of the gate insulating film, and a step of forming the first metal-containing film formed in the other region of the first or second gate formation region First A step of forming a third metal-containing film by diffusing a substance other than the substance contained in the metal-containing film and precipitating it at the interface of the gate insulating film, and among the second and third metal-containing films, The portion of the metal-containing film that is in contact with the gate insulating film of the N-type MIS transistor is at least the portion of the metal-containing film that is in contact with the gate insulating film of the P-type MIS transistor is in contact with the gate insulating film. It is characterized by being smaller than the work function of.

本発明(発明D、E、F、G)によれば、N型MISトランジスタのゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する部分の仕事関数よりも小さいため、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化することができ、N型及びP型MISトランジスタのしきい値電圧を最適化することが可能である。   According to the present invention (Invention D, E, F, G), the work function of the portion in contact with the gate insulating film of the N-type MIS transistor is smaller than the work function of the portion in contact with the gate insulating film of the P-type MIS transistor. The work functions of the gate electrodes of the N-type and P-type MIS transistors can be optimized, and the threshold voltage of the N-type and P-type MIS transistors can be optimized.

また、本発明(発明D、E、F、G)によれば、第1の金属含有膜に含まれる物質と該物質以外の物質とを反応させることにより第1の金属含有膜を第2、第3の金属含有膜に変換する、或いは、第1の金属含有膜中を第1の金属含有膜に含まれる物質以外の物質を拡散させてゲート絶縁膜界面に析出させることにより第2、第3の金属含有膜を形成するので、凹部内のゲート絶縁膜上に形成された金属含有膜をエッチングしないでゲート電極を作製することができ、ゲート絶縁膜の信頼性の低下を防止することが可能である。   Further, according to the present invention (Invention D, E, F, G), the first metal-containing film is made second by reacting a substance contained in the first metal-containing film with a substance other than the substance. Converting to a third metal-containing film, or diffusing a substance other than a substance contained in the first metal-containing film in the first metal-containing film and depositing it on the gate insulating film interface, the second and second Since the metal-containing film 3 is formed, the gate electrode can be produced without etching the metal-containing film formed on the gate insulating film in the recess, and the deterioration of the reliability of the gate insulating film can be prevented. Is possible.

なお、本発明(発明D、E、F、G)では、仕事関数W1が半導体基板に用いる半導体のバンドギャップの中央(バンドギャップの1/2の位置)よりも伝導帯に近い側にあり、仕事関数W2がバンドギャップの中央よりも荷電子帯に近い側にあることが好ましい。また、MISトランジスタのしきい値を決めるゲート絶縁膜に接する領域の厚さは、所望のしきい値が得られる厚さ以上であればよいが、好ましくは10原子層程度以上となるようにする。   In the present invention (Invention D, E, F, G), the work function W1 is closer to the conduction band than the center of the semiconductor band gap used for the semiconductor substrate (position of 1/2 of the band gap). The work function W2 is preferably closer to the valence band than the center of the band gap. In addition, the thickness of the region in contact with the gate insulating film that determines the threshold value of the MIS transistor may be equal to or greater than a thickness at which a desired threshold value is obtained, but is preferably about 10 atomic layers or more. .

本発明によれば、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化することにより、N型及びP型MISトランジスタのしきい値電圧を最適化することが可能である。また、半導体装置の微細化、低抵抗化を達成することが可能であり、さらにゲート電極等の信頼性を向上させることが可能となる。   According to the present invention, it is possible to optimize the threshold voltages of the N-type and P-type MIS transistors by optimizing the work functions of the gate electrodes of the N-type and P-type MIS transistors. Further, miniaturization and low resistance of the semiconductor device can be achieved, and the reliability of the gate electrode and the like can be further improved.

以下、本発明の実施形態を図面を参照して説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(実施形態1)
以下、本発明の第1の実施形態に係る製造工程の一例について、図1(a)〜図3(i)を参照して説明する。
(Embodiment 1)
Hereinafter, an example of the manufacturing process according to the first embodiment of the present invention will be described with reference to FIGS. 1 (a) to 3 (i).

まず、シリコン基板101上にSTI構造の素子分離102を形成する。続いて、将来除去されるダミー絶縁膜として、膜厚2〜6nm程度のシリコン酸化膜103を形成する。さらに、将来除去されるダミーゲートとして、膜厚150nm程度のポリシリコン膜104及び膜厚50nm程度のシリコン窒化膜105の積層構造を形成する。これらのダミー絶縁膜及びダミーゲートは、通常の技術(酸化やCVD等の成膜技術、リソグラフィー技術、RIE技術等)を用いて形成する。続いて、ダミーゲート(ポリシリコン膜104及びシリコン窒化膜105)をマスクとして、イオン注入技術により、ソース・ドレイン拡散層106となるエクステンション用の不純物拡散層を形成する。続いて、シリコン窒化膜107からなる幅20〜40nm程度のゲート側壁絶縁膜を、CVD技術とRIE技術によって形成する(図1(a))。   First, an element isolation 102 having an STI structure is formed on a silicon substrate 101. Subsequently, a silicon oxide film 103 having a thickness of about 2 to 6 nm is formed as a dummy insulating film to be removed in the future. Further, as a dummy gate to be removed in the future, a laminated structure of a polysilicon film 104 with a thickness of about 150 nm and a silicon nitride film 105 with a thickness of about 50 nm is formed. These dummy insulating films and dummy gates are formed using ordinary techniques (film formation techniques such as oxidation and CVD, lithography techniques, and RIE techniques). Subsequently, an extension impurity diffusion layer to be the source / drain diffusion layer 106 is formed by ion implantation using the dummy gate (polysilicon film 104 and silicon nitride film 105) as a mask. Subsequently, a gate sidewall insulating film having a width of about 20 to 40 nm made of the silicon nitride film 107 is formed by the CVD technique and the RIE technique (FIG. 1A).

次に、ダミーゲート(ポリシリコン膜104及びシリコン窒化膜105)及びゲート側壁絶縁膜(シリコン窒化107)をマスクとして、イオン注入技術により、ソース・ドレイン拡散層108となる高濃度不純物拡散層を形成する。さらに、サリサイドプロセス技術により、ダミーゲートをマスクとしてソース・ドレイン領域のみに厚さ40nm程度のシリサイド膜(コバルト或いはチタン等のシリサイド)109を形成する(図1(b))。   Next, using the dummy gate (polysilicon film 104 and silicon nitride film 105) and the gate sidewall insulating film (silicon nitride 107) as a mask, a high-concentration impurity diffusion layer to be the source / drain diffusion layer 108 is formed by ion implantation technology. To do. Furthermore, a silicide film (silicide such as cobalt or titanium) 109 having a thickness of about 40 nm is formed only in the source / drain regions by using the salicide process technique with the dummy gate as a mask (FIG. 1B).

次に、層間絶縁膜110として、例えばシリコン酸化膜をCVD法により堆積する。さらに、この層間絶縁膜110をCMP技術によって平坦化することにより、シリコン窒化膜105及び107の表面を露出させる(図1(c))。   Next, for example, a silicon oxide film is deposited as the interlayer insulating film 110 by a CVD method. Further, the surface of the silicon nitride films 105 and 107 is exposed by planarizing the interlayer insulating film 110 by CMP technique (FIG. 1C).

次に、例えば燐酸を用いて、ダミーゲート上部のシリコン窒化膜105を層間絶縁膜110に対して選択的に除去する。このときに、シリコン窒化膜107もポリシリコン膜104の高さ程度までエッチングされる。続いて、例えばフッ素などのハロゲン原子のラジカルを用いたエッチング技術により、ポリシリコン膜104を層間絶縁膜110及びシリコン窒化膜107に対して選択的に除去する(図2(d))。   Next, the silicon nitride film 105 above the dummy gate is selectively removed from the interlayer insulating film 110 using phosphoric acid, for example. At this time, the silicon nitride film 107 is also etched to the height of the polysilicon film 104. Subsequently, the polysilicon film 104 is selectively removed with respect to the interlayer insulating film 110 and the silicon nitride film 107 by an etching technique using radicals of halogen atoms such as fluorine (FIG. 2D).

次に、希フッ酸等のウエットエッチングによりダミーのシリコン酸化膜103を除去することにより、溝(凹部)111が形成される。続いて、ゲート絶縁膜として、高誘電体絶縁膜であるハフニウム酸化膜(HfO2 膜)を全面に形成する。このハフニウム酸化膜は、例えば、HfCl4 とNH3 を用いたCVD法、或いはハフニウム窒化物(HfN)又はハフニウムのターゲットを用いたスパッタ法により、ハフニウム窒化膜(HfN膜)を成膜した後、成膜したハフニウム窒化膜を酸化することにより得られる(図2(e))。 Next, by removing the dummy silicon oxide film 103 by wet etching such as dilute hydrofluoric acid, a groove (recess) 111 is formed. Subsequently, a hafnium oxide film (HfO 2 film), which is a high dielectric insulating film, is formed on the entire surface as a gate insulating film. This hafnium oxide film is formed by, for example, forming a hafnium nitride film (HfN film) by a CVD method using HfCl 4 and NH 3 or a sputtering method using a hafnium nitride (HfN) or a hafnium target. It is obtained by oxidizing the formed hafnium nitride film (FIG. 2 (e)).

次に、CVD法或いはスパッタ法を用いて、仕事関数が4eV程度であるハフニウム窒化膜113を厚さ10nm程度、望ましくは10nm以下で全面に成膜する(図2(f))。   Next, a hafnium nitride film 113 having a work function of about 4 eV is formed on the entire surface with a thickness of about 10 nm, preferably 10 nm or less, using CVD or sputtering (FIG. 2F).

以上の図1(a)〜図2(f)の工程は、N型MISトランジスタ形成領域及びP型MISトランジスタ形成領域の双方に対して行われるが、図面上では一方の領域のみを示した。以後の工程からは、N型MISトランジスタ(N型MISFET)形成領域及びP型MISトランジスタ(P型MISFET)形成領域の双方を図面上に示す。   The steps shown in FIGS. 1A to 2F are performed on both the N-type MIS transistor formation region and the P-type MIS transistor formation region, but only one region is shown in the drawing. From the subsequent steps, both the N-type MIS transistor (N-type MISFET) formation region and the P-type MIS transistor (P-type MISFET) formation region are shown in the drawing.

図2(f)の工程の後、リソグラフィー技術を用いて、P型MISトランジスタ形成領域以外をレジスト114で覆う。このときの主要部の平面図を図4(a)に模式的に示す(図3(g))。   After the step of FIG. 2F, the region other than the P-type MIS transistor formation region is covered with a resist 114 using a lithography technique. A plan view of the main part at this time is schematically shown in FIG. 4A (FIG. 3G).

次に、過酸化水素水によるウエットエッチングを行うことにより、P型領域のみハフニウム窒化膜113を除去する。このときの主要部の平面図を図4(b)に模式的に示す。ゲート絶縁膜のハフニウム酸化膜112は過酸化水素水に不溶であるため、エッチングされることはない。また、ハフニウム窒化膜113が非常に薄い(10nm程度)ため、従来技術の場合とは異なり、N型領域までハフニウム窒化膜113が深くエッチングされることはない。つまり、本例ではハフニウム窒化膜113の厚さが10nm程度であるで、横方向のエッチング量Eも10nm程度となる。したがって、素子間距離Dが20nm程度以上であれば、従来技術の問題点を解消することができ、大幅な微細化を行うことが可能となる(図3(h))。   Next, the hafnium nitride film 113 is removed only in the P-type region by performing wet etching with hydrogen peroxide solution. A plan view of the main part at this time is schematically shown in FIG. Since the hafnium oxide film 112 of the gate insulating film is insoluble in the hydrogen peroxide solution, it is not etched. Further, since the hafnium nitride film 113 is very thin (about 10 nm), unlike the prior art, the hafnium nitride film 113 is not deeply etched up to the N-type region. That is, in this example, the thickness of the hafnium nitride film 113 is about 10 nm, and the lateral etching amount E is also about 10 nm. Therefore, if the inter-element distance D is about 20 nm or more, the problems of the prior art can be solved, and a significant miniaturization can be performed (FIG. 3 (h)).

次に、レジスト114除去した後、仕事関数が5eV程度の貴金属膜として、例えばコバルト膜115を全面に堆積する。コバルトの成膜は、スパッタ法を用いて行うか、或いは、Co(CO)4 、Co2 (CO)8 、CoF2 、CoCl2 又はCoBr2 をガスソースとしたCVD法を用いて行う。その後、コバルト膜115、ハフニウム窒化膜113及びハフニウム酸化膜112の平坦化を、CMP技術により、層間絶縁膜110が露出するまで行う。このときの主要部の平面図を図4(c)に模式的に示す(図3(i))。 Next, after removing the resist 114, for example, a cobalt film 115 is deposited on the entire surface as a noble metal film having a work function of about 5 eV. The cobalt film is formed by sputtering or CVD using Co (CO) 4 , Co 2 (CO) 8 , CoF 2 , CoCl 2 or CoBr 2 as a gas source. Thereafter, the cobalt film 115, the hafnium nitride film 113, and the hafnium oxide film 112 are planarized by CMP until the interlayer insulating film 110 is exposed. A plan view of the main part at this time is schematically shown in FIG. 4C (FIG. 3I).

以上の工程により、ゲート電極構造として、N型はハフニウム窒化膜113とコバルト膜115の積層構造からなり、P型はコバルト膜115の単層構造からなるC−MISトランジスタが完成する。   Through the above steps, a C-MIS transistor having a gate electrode structure having a stacked structure of the hafnium nitride film 113 and the cobalt film 115 for the N type and a single layer structure of the cobalt film 115 for the P type is completed.

本実施形態によれば、N型MISトランジスタのゲート絶縁膜に接する部分の仕事関数をP型MISトランジスタのゲート絶縁膜に接する部分の仕事関数よりも小さくすることができるため(上述した例では、ハフニウム窒化膜113は仕事関数が4eV程度、コバルト膜115は仕事関数が5eV程度)、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化して、両トランジスタのしきい値電圧を最適化することが可能である。   According to the present embodiment, the work function of the portion in contact with the gate insulating film of the N-type MIS transistor can be made smaller than the work function of the portion in contact with the gate insulating film of the P-type MIS transistor (in the above example, The work function of the hafnium nitride film 113 is about 4 eV, and the work function of the cobalt film 115 is about 5 eV.) The work functions of the gate electrodes of the N-type and P-type MIS transistors are optimized to optimize the threshold voltage of both transistors. It is possible to

また、本実施形態では、P型領域のハフニウム窒化膜113を除去する際に、ハフニウム窒化膜113の膜厚が極めて薄いため、N型領域までハフニウム窒化膜113が深くエッチングされることを避けることができ、大幅な微細化を行うことが可能となる。さらに、本実施形態では、N型MISトランジスタのゲート電極は、ハフニウム窒化膜113上に低抵抗のコバルト膜115が形成されているため、仕事関数の最適化と低抵抗化を両立させることができる。   In the present embodiment, when removing the hafnium nitride film 113 in the P-type region, the hafnium nitride film 113 is extremely thin, so that the hafnium nitride film 113 is prevented from being etched deeply to the N-type region. Thus, it is possible to perform a significant miniaturization. Furthermore, in this embodiment, since the low-resistance cobalt film 115 is formed on the hafnium nitride film 113 for the gate electrode of the N-type MIS transistor, it is possible to achieve both optimization of work function and low resistance. .

図5は、本実施形態及び従来技術によるN型及びP型MISトランジスタについて、しきい値(しきい電圧)の素子分離距離(素子間距離、図4に示した距離D)依存性を示したものである。   FIG. 5 shows the dependency of the threshold value (threshold voltage) on the element separation distance (distance between elements, distance D shown in FIG. 4) for the N-type and P-type MIS transistors according to this embodiment and the prior art. Is.

P型MISトランジスタについては、本実施形態及び従来技術ともに、素子分離距離Dが400nm程度まで、しきい値が一定かつ低電圧(−0.2V程度)となっている。これに対して、N型MISトランジスタについては、従来技術では、素子間距離Dが300nm以下でしきい値が上昇し始めている。これは、N型MISトランジスタの一部が仕事関数5.0eV程度の金属で構成されているためである。これに対して本実施形態では、素子間距離Dを40nmまで微細化しても、しきい値は一定であることがわかる。   As for the P-type MIS transistor, in both the present embodiment and the prior art, the threshold is constant and the voltage is low (about −0.2 V) until the element separation distance D is about 400 nm. On the other hand, with respect to the N-type MIS transistor, in the conventional technique, the threshold value starts to increase when the inter-element distance D is 300 nm or less. This is because a part of the N-type MIS transistor is made of a metal having a work function of about 5.0 eV. On the other hand, in the present embodiment, it is understood that the threshold value is constant even when the inter-element distance D is reduced to 40 nm.

上述した例では、N型MISトランジスタのゲート電極がハフニウム窒化膜とコバルト膜の積層構造で、P型MISトランジスタのゲート電極がコバルト膜の単層構造の場合について説明した。本実施形態は、このようなゲート電極構造に限らず、種々の変形が可能である。そこで、いくつかの変形例について、以下説明する。   In the above-described example, the case where the gate electrode of the N-type MIS transistor has a stacked structure of a hafnium nitride film and a cobalt film and the gate electrode of the P-type MIS transistor has a single-layer structure of a cobalt film has been described. The present embodiment is not limited to such a gate electrode structure, and various modifications are possible. Therefore, some modifications will be described below.

本実施形態における基本的なゲート構造は、構造A、構造B及び構造Cの3種類ある。構造Aについては図6が、構造Bについては図7が、構造Cについては図8が、それぞれ対応している。これらの構造A、構造B及び構造Cについては、これらの構造のバリエーションとして、例えば図9に示したような構造(構造Dとする)も含まれる。なお、図6〜図9では、ゲート絶縁膜及びゲート電極についてのみ模式的に示している。   There are three basic gate structures in this embodiment: structure A, structure B, and structure C. FIG. 6 corresponds to the structure A, FIG. 7 corresponds to the structure B, and FIG. 8 corresponds to the structure C. Regarding these structures A, B, and C, variations of these structures include, for example, the structure shown in FIG. 9 (referred to as structure D). 6 to 9 schematically show only the gate insulating film and the gate electrode.

構造A(図6参照)は、N型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第1の金属含有膜F1及び第2の金属含有膜F2からなり、P型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第2の金属含有膜F2からなり、第1の金属含有膜F1の仕事関数が第2の金属含有膜F2の仕事関数よりも小さい。   Structure A (see FIG. 6) is composed of a first metal-containing film F1 and a second metal-containing film F2 in which the gate electrode of the N-type MIS transistor is formed on the gate insulating film F0, and the gate of the P-type MIS transistor. The electrode is composed of the second metal-containing film F2 formed on the gate insulating film F0, and the work function of the first metal-containing film F1 is smaller than the work function of the second metal-containing film F2.

構造Aの製造方法は、N型及びP型MISトランジスタ用の双方のゲート形成領域のゲート絶縁膜F0上に第1の金属含有膜F1を形成する工程と、P型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1を除去する工程と、N型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1上及びP型MISトランジスタ用のゲート形成領域のゲート絶縁膜F0上に第2の金属含有膜F2を形成することにより、N型及びP型MISトランジスタの双方のゲート形成領域の凹部を埋め込む工程とからなる。   The manufacturing method of the structure A includes a step of forming a first metal-containing film F1 on the gate insulating film F0 in both gate formation regions for N-type and P-type MIS transistors, and a gate formation region for P-type MIS transistors. And removing the first metal-containing film F1 on the first metal-containing film F1 in the gate formation region for the N-type MIS transistor and on the gate insulating film F0 in the gate formation region for the P-type MIS transistor. Forming the second metal-containing film F2 to fill the recesses in the gate formation regions of both the N-type and P-type MIS transistors.

構造はB(図7参照)は、P型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第1の金属含有膜F1及び第2の金属含有膜F2からなり、N型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第2の金属含有膜F2からなり、第1の金属含有膜F1の仕事関数が第2の金属含有膜F2の仕事関数よりも小さい。   The structure B (see FIG. 7) is composed of a first metal-containing film F1 and a second metal-containing film F2 in which the gate electrode of the P-type MIS transistor is formed on the gate insulating film F0. The gate electrode is composed of the second metal-containing film F2 formed on the gate insulating film F0, and the work function of the first metal-containing film F1 is smaller than the work function of the second metal-containing film F2.

構造Bの製造方法は、N型及びP型MISトランジスタ用の双方のゲート形成領域のゲート絶縁膜F0上に第1の金属含有膜F1を形成する工程と、N型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1を除去する工程と、P型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1上及びN型MISトランジスタ用のゲート形成領域のゲート絶縁膜F0上に第2の金属含有膜F2を形成することにより、N型及びP型MISトランジスタの双方のゲート形成領域の凹部を埋め込む工程とからなる。   The manufacturing method of the structure B includes a step of forming a first metal-containing film F1 on the gate insulating film F0 in both gate formation regions for N-type and P-type MIS transistors, and a gate formation region for N-type MIS transistors. And removing the first metal-containing film F1 on the first metal-containing film F1 in the gate formation region for the P-type MIS transistor and on the gate insulating film F0 in the gate formation region for the N-type MIS transistor. Forming the second metal-containing film F2 to fill the recesses in the gate formation regions of both the N-type and P-type MIS transistors.

構造Aの具体的な例については、図1〜図3で示した通りである。また、構造Bについては、図1〜図3に示した製造方法の大部分を流用することができる(各構成材料には構造Bに適したものを用いる)。主要な変更点は、図3(g)の工程において、N型MISトランジスタ領域の代わりにP型MISトランジスタ領域をレジストでマスクする点である。   A specific example of the structure A is as shown in FIGS. For the structure B, most of the manufacturing method shown in FIGS. 1 to 3 can be used (the materials suitable for the structure B are used for each constituent material). The main change is that, in the step of FIG. 3G, the P-type MIS transistor region is masked with a resist instead of the N-type MIS transistor region.

構造C(図8参照)は、N型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第1の金属含有膜F1及び第2の金属含有膜F2からなり、P型MISトランジスタのゲート電極がゲート絶縁膜F0上に形成された第3の金属含有膜F3及び第2の金属含有膜F2からなり、第1の金属含有膜F1の仕事関数が第3の金属含有膜F3の仕事関数よりも小さい。   Structure C (see FIG. 8) is composed of a first metal-containing film F1 and a second metal-containing film F2 in which the gate electrode of the N-type MIS transistor is formed on the gate insulating film F0, and the gate of the P-type MIS transistor. The electrode includes a third metal-containing film F3 and a second metal-containing film F2 formed on the gate insulating film F0, and the work function of the first metal-containing film F1 is the work function of the third metal-containing film F3. Smaller than.

構造Cの製造方法は(図10参照)、N型及びP型MISトランジスタ用の双方のゲート形成領域のゲート絶縁膜F0上に第1の金属含有膜F1を形成する工程と、P型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1を除去する工程と、N型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1上及びP型MISトランジスタ用のゲート形成領域のゲート絶縁膜F0上に第3の金属含有膜F3を形成する工程と、N型MISトランジスタ用のゲート形成領域の第3の金属含有膜F3を除去する工程と、N型MISトランジスタ用のゲート形成領域の第1の金属含有膜F1上及びP型MISトランジスタ用のゲート形成領域の第3の金属含有膜F3上に第2の金属含有膜F2を形成することにより、N型及びP型MISトランジスタの双方のゲート形成領域の凹部を埋め込む工程とからなる。   The manufacturing method of the structure C (see FIG. 10) includes a step of forming a first metal-containing film F1 on the gate insulating film F0 in both gate formation regions for N-type and P-type MIS transistors, and a P-type MIS transistor. Removing the first metal-containing film F1 in the gate formation region for the gate, and insulating the gate formation region on the first metal-containing film F1 in the gate formation region for the N-type MIS transistor and the gate formation region for the P-type MIS transistor A step of forming a third metal-containing film F3 on the film F0, a step of removing the third metal-containing film F3 in the gate formation region for the N-type MIS transistor, and a step of forming a gate formation region for the N-type MIS transistor. By forming the second metal-containing film F2 on the first metal-containing film F1 and on the third metal-containing film F3 in the gate formation region for the P-type MIS transistor, N-type and P-type M And a step of embedding the recesses of both the gate formation region of the S transistor.

なお、構造A、構造B及び構造Cには、第2の金属含有膜F2が2種類以上の積層膜である構造(構造D)も含まれる。図9の例では、図6の例に対応して、N型及びP型MISトランジスタの第2の金属含有膜F2が、金属含有膜F2a及びF2bの積層膜によって構成されている。   The structure A, the structure B, and the structure C include a structure (structure D) in which the second metal-containing film F2 is a laminated film of two or more types. In the example of FIG. 9, corresponding to the example of FIG. 6, the second metal-containing film F2 of the N-type and P-type MIS transistors is configured by a laminated film of metal-containing films F2a and F2b.

以下、上述した構造A〜構造Dについて、さらに説明する。   Hereinafter, Structure A to Structure D described above will be further described.

(1)構造A(図6参照)において、第1の金属含有膜F1は、N型MISトランジスタのしきい値を決めるバリアメタルとして、第2の金属含有膜F2は、P型MISトランジスタのしきい値を決めるバリアメタルとして、それぞれ用いられる。   (1) In the structure A (see FIG. 6), the first metal-containing film F1 is a barrier metal that determines the threshold value of the N-type MIS transistor, and the second metal-containing film F2 is a P-type MIS transistor. Each is used as a barrier metal to determine the threshold.

第1の金属含有膜F1には、N型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以下、望ましくは4eV程度)を有し、かつダメージのないエッチング(ウエットエッチング或いはラジカル原子やラジカル分子によるドライエッチング)を行うことが可能なものを用いるようにする。代表的な材料には、HfN及びZrNがあげられる。これらは、仕事関数が4eV程度であると予想され、N型のバリアメタルとして適している。   The first metal-containing film F1 has a work function (4.6 eV or less, preferably about 4 eV) that can optimize the threshold value of the N-type MIS transistor, and has no damage (wet etching or radical atom). And those capable of performing dry etching using radical molecules). Representative materials include HfN and ZrN. These are expected to have a work function of about 4 eV, and are suitable as an N-type barrier metal.

第2の金属含有膜F2には、P型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以上、望ましくは5eV程度)を有し、かつゲート電極を低抵抗化できる抵抗率の低いものを用いる。貴金属系の材料は、仕事関数が5eV程度のものが多く、第2の金属含有膜に適している。抵抗率の観点からは、Coが約5μΩ・cm、Niが約6μΩ・cm、Ptが約10μΩ・cmである。現在ゲート電極として用いられているW、CoSi2 の抵抗率は、それぞれ約5μΩ・cm、約20μΩ・cmであり、Co、Ni及びPt、特にCoは第2の金属含有膜の材料として適している。 The second metal-containing film F2 has a work function (4.6 eV or more, preferably about 5 eV) that can optimize the threshold value of the P-type MIS transistor, and has a resistivity that can reduce the resistance of the gate electrode. Use a low one. Many noble metal materials have a work function of about 5 eV and are suitable for the second metal-containing film. From the viewpoint of resistivity, Co is about 5 μΩ · cm, Ni is about 6 μΩ · cm, and Pt is about 10 μΩ · cm. The resistivity of W and CoSi 2 currently used as gate electrodes is about 5 μΩ · cm and about 20 μΩ · cm, respectively, and Co, Ni, and Pt, especially Co, are suitable as materials for the second metal-containing film. Yes.

ゲート絶縁膜F0については、特に限定されないが、バリアメタルがHfNである場合には、HfO2 を用いることが望ましい。HfNとHfO2 との界面では熱反応が起こり難いからである。 The gate insulating film F0 is not particularly limited, but it is desirable to use HfO 2 when the barrier metal is HfN. This is because a thermal reaction hardly occurs at the interface between HfN and HfO 2 .

(2)構造B(図7参照)において、第1の金属含有膜F1は、P型MISトランジスタのしきい値を決めるバリアメタルとして、第2の金属含有膜F2は、N型MISトランジスタのしきい値を決めるバリアメタルとして、それぞれ用いられる。   (2) In the structure B (see FIG. 7), the first metal-containing film F1 is a barrier metal that determines the threshold value of the P-type MIS transistor, and the second metal-containing film F2 is an N-type MIS transistor. Each is used as a barrier metal to determine the threshold.

第1の金属含有膜F1には、P型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以上、望ましくは5eV程度)を有し、かつダメージのないエッチング(ウエットエッチング或いはラジカル原子やラジカル分子によるドライエッチング)を行うことが可能なものを用いるようにする。代表的な材料には、WNx 及びWSix y があげられる。 The first metal-containing film F1 has a work function (4.6 eV or more, preferably about 5 eV) that can optimize the threshold value of the P-type MIS transistor, and has no damage (wet etching or radical atom). And those capable of performing dry etching using radical molecules). Typical materials include WN x and WSi x N y .

第2の金属含有膜F2には、N型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以下、望ましくは4eV程度)を有し、かつゲート電極を低抵抗化できる抵抗率の低いものを用いる。代表的な材料としては、Al(或いはAlを含む合金)があげられる。   The second metal-containing film F2 has a work function (4.6 eV or less, preferably about 4 eV) that can optimize the threshold value of the N-type MIS transistor, and has a resistivity that can reduce the resistance of the gate electrode. Use a low one. A typical material is Al (or an alloy containing Al).

(3)構造C(図8参照)において、第1の金属含有膜F1はN型MISトランジスタのしきい値を決めるバリアメタルとして、第3の金属含有膜F3はP型MISトランジスタのしきい値を決めるバリアメタルとしてそれぞれ用いられ、第2の金属含有膜F2は低抵抗の電極材料として用いられる。   (3) In the structure C (see FIG. 8), the first metal-containing film F1 is a barrier metal that determines the threshold value of the N-type MIS transistor, and the third metal-containing film F3 is the threshold value of the P-type MIS transistor. The second metal-containing film F2 is used as a low-resistance electrode material.

第1の金属含有膜F1には、N型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以下、望ましくは4eV程度)を有し、かつダメージのないエッチング(ウエットエッチング或いはラジカル原子やラジカル分子によるドライエッチング)を行うことが可能なもの、代表的にはHfNを用いる。第3の金属含有膜F3には、P型MISトランジスタのしきい値を最適化できる仕事関数(4.6eV以上、望ましくは5eV程度)を有し、かつダメージのないエッチングを行うことが可能なもの、代表的にはWNx を用いる。第2の金属含有膜F2には、低抵抗である材料、代表的にはAl(或いはAlを含む合金)を用いる。 The first metal-containing film F1 has a work function (4.6 eV or less, preferably about 4 eV) that can optimize the threshold value of the N-type MIS transistor, and has no damage (wet etching or radical atom). Or HfN is typically used. The third metal-containing film F3 has a work function (4.6 eV or more, preferably about 5 eV) that can optimize the threshold value of the P-type MIS transistor, and can be etched without damage. WN x is typically used. For the second metal-containing film F2, a low resistance material, typically Al (or an alloy containing Al) is used.

(4)構造D(図9参照)における構造A或いは構造Bに対応した構造では、積層膜である第2の金属含有膜F2として、下層側の膜F2aについてはN型又はP型MISトランジスタのしきい値を最適化できる仕事関数(N型については4.6eV以下、望ましくは4eV、P型については4.6eV以上、望ましくは5eV)を有していることが、上層側の膜F2bには低抵抗であることが求められる。   (4) In the structure corresponding to the structure A or the structure B in the structure D (see FIG. 9), as the second metal-containing film F2 that is a laminated film, the lower film F2a is an N-type or P-type MIS transistor. The upper layer side film F2b has a work function (4.6 eV or less, preferably 4 eV for N type, preferably 4.6 eV or more for P type, preferably 5 eV) for the threshold value. Is required to have low resistance.

構造Dにおける構造Cに対応した構造では、第1の金属含有膜F1及び第3の金属含有膜F3が第2の金属含有膜F2の下にあるため、第2の金属含有膜の下層側の膜をトランジスタのしきい値を最適化するために用いるというメリットはないが、上層側からのゲート絶縁膜への金属の拡散を抑制することができるというメリットがある。   In the structure corresponding to the structure C in the structure D, since the first metal-containing film F1 and the third metal-containing film F3 are under the second metal-containing film F2, the lower side of the second metal-containing film is located. Although there is no merit that the film is used to optimize the threshold value of the transistor, there is an advantage that metal diffusion from the upper layer side to the gate insulating film can be suppressed.

代表的には、構造Aに対応した構造Dおいて、第1の金属含有膜F1をHfN、第2の金属含有膜の下層側F2aをRuO2 、第2の金属含有膜の上層側F2bをAlで構成したものがあげられる。 Typically, in the structure D corresponding to the structure A, the first metal-containing film F1 is HfN, the lower layer side F2a of the second metal-containing film is RuO 2 , and the upper layer side F2b of the second metal-containing film is The thing comprised with Al is mention | raise | lifted.

(5)構造A、構造B及び構造Cにおいて、第1の金属含有膜F1には、導電体である金属化合物を用いることが望ましい。N型MISトランジスタ用のバリアメタルとしては、ハフニウム窒化物、ジルコニウム窒化物、チタン窒化物、タンタル窒化物、タンタル窒化物、ニオブ窒化物があげられる。P型MISトランジスタ用のバリアメタルとしては、タングステン窒化物、タングステン珪化窒化物があげられる。   (5) In Structure A, Structure B, and Structure C, it is desirable to use a metal compound that is a conductor for the first metal-containing film F1. Examples of the barrier metal for the N-type MIS transistor include hafnium nitride, zirconium nitride, titanium nitride, tantalum nitride, tantalum nitride, and niobium nitride. Examples of the barrier metal for the P-type MIS transistor include tungsten nitride and tungsten silicide nitride.

(6)構造A及び構造Cにおいて、第2の金属含有膜F2には、プラチナ、パラジウム、ニッケル、コバルト、ロジウム、ルテニウム、レニウム、イリジウム、金、銀、銅、或いはこれらの金属を含む合金を含む膜を用いることが望ましい。   (6) In Structure A and Structure C, the second metal-containing film F2 is made of platinum, palladium, nickel, cobalt, rhodium, ruthenium, rhenium, iridium, gold, silver, copper, or an alloy containing these metals. It is desirable to use a film containing.

(7)構造A、構造B及び構造Cにおいて、第2の金属含有膜F2には、導電体である金属化合物を含む膜を用いることが望ましい。   (7) In the structure A, the structure B, and the structure C, it is desirable to use a film containing a metal compound that is a conductor as the second metal-containing film F2.

金属化合物としては、第1に、金属酸化物(ルテニウム酸化物、イリジウム酸化物、レニウム酸化物、プラチナ酸化物、ロジウム酸化物)があげられる。貴金属系酸化物は導電体であることが多く、P型MISトランジスタに適した仕事関数を得やすいためである。   Examples of the metal compound include metal oxides (ruthenium oxide, iridium oxide, rhenium oxide, platinum oxide, rhodium oxide). This is because the noble metal-based oxide is often a conductor and it is easy to obtain a work function suitable for a P-type MIS transistor.

金属化合物としては、第2に、金属珪化物(プラチナ珪化物、パラジウム珪化物、ニッケル珪化物)があげられる。これらは、N型或いはP型MISトランジスタ(特にP型MISトランジスタ)に適した仕事関数を得ることが可能である。   Secondly, examples of the metal compound include metal silicides (platinum silicide, palladium silicide, nickel silicide). These can obtain a work function suitable for an N-type or P-type MIS transistor (particularly a P-type MIS transistor).

金属化合物としては、第3に、金属窒素化合物(ハフニウム窒化物、ジルコニウム窒化物、チタン窒化物、タンタル窒化物、ニオブ窒化物)があげられる。これらは、N型MISトランジスタに適した仕事関数を得ることが可能である。   Thirdly, examples of the metal compound include metal nitrogen compounds (hafnium nitride, zirconium nitride, titanium nitride, tantalum nitride, niobium nitride). These can obtain a work function suitable for an N-type MIS transistor.

(8)構造Dにおいて、第2の金属含有膜F2の少なくとも最下層の膜が金属化合物であることが望ましい。金属化合物としては、金属酸化物(ルテニウム酸化物、イリジウム酸化物、レニウム酸化物、プラチナ酸化物、ロジウム酸化物)、金属珪化物(プラチナ珪化物、パラジウム珪化物、ニッケル珪化物)、金属窒素化合物(ハフニウム窒化物、ジルコニウム窒化物、チタン窒化物、タンタル窒化物、ニオブ窒化物、タングステン窒化物、タングステン窒化物)、タングステン窒化珪化物があげられる。   (8) In the structure D, it is desirable that at least the lowermost film of the second metal-containing film F2 is a metal compound. As metal compounds, metal oxides (ruthenium oxide, iridium oxide, rhenium oxide, platinum oxide, rhodium oxide), metal silicides (platinum silicide, palladium silicide, nickel silicide), metal nitrogen compounds (Hafnium nitride, zirconium nitride, titanium nitride, tantalum nitride, niobium nitride, tungsten nitride, tungsten nitride), tungsten nitride silicide.

(9)構造Cにおいて、第3の金属含有膜F3には、タングステン窒化物或いはタングステン窒化珪化物を用いることが望ましい。   (9) In the structure C, it is desirable to use tungsten nitride or tungsten nitride silicide for the third metal-containing film F3.

(10)構造A〜構造Dにおいて、ゲート絶縁膜F0としては、HfO2 、ZrO2 、TiO2 、シリコン窒化膜、Al2 3 、Ta2 5 、Nb2 5 、Y2 3 、CeO2 、イットリウムを含むジルコニウム酸化膜、バリウムとストロンチウムとチタンと酸素の化合物膜、鉛とジルコニウムとチタンと酸素の化合物膜、シリコン酸化膜があげられる。 (10) In the structures A to D, as the gate insulating film F0, HfO 2 , ZrO 2 , TiO 2 , silicon nitride film, Al 2 O 3 , Ta 2 O 5 , Nb 2 O 5 , Y 2 O 3, Examples thereof include a zirconium oxide film containing CeO 2 and yttrium, a compound film of barium, strontium, titanium, and oxygen, a compound film of lead, zirconium, titanium, and oxygen, and a silicon oxide film.

HfO2 、ZrO2 、TiO2 、Ta2 5 、Nb2 5 、Y2 3 、CeO2 、イットリウムを含むジルコニウム酸化膜の成膜法には、それぞれHfCl4 、ZrCl4 、TiCl4 、TaCl5 、NbCl5 、Y(Thd)3 (ここで、Thdとは、2,2,6,6−テトラメチル−3,5−ヘプタネジオネートを意味する。)、Ce(Thd)4 、Zr(Thd)4 とY(Thd)3 の混合ガスに、O2 ガスを混入したCVD法により直接成膜する方法がある。 HfCl 2 , ZrO 2 , TiO 2 , Ta 2 O 5 , Nb 2 O 5 , Y 2 O 3 , CeO 2 , a zirconium oxide film containing yttrium includes HfCl 4 , ZrCl 4 , TiCl 4 , TaCl 5 , NbCl 5 , Y (Thd) 3 (where Thd means 2,2,6,6-tetramethyl-3,5-heptaneconionate), Ce (Thd) 4 , There is a method of directly forming a film by a CVD method in which an O 2 gas is mixed in a mixed gas of Zr (Thd) 4 and Y (Thd) 3 .

また、O2 ガスの代わりに例えばNH3 等を用いて、先ずそれぞれの金属窒化物、すなわちHfN、ZrN、TiN、TaN、NbN、YN、CeN、イットリウムを含むジルコニウム窒化膜を成膜し、その後に熱酸化によってそれぞれの金属窒化物を酸化物にするようにしてもよい。この熱酸化方法を用いる場合には、窒素が膜中に残留しないように、5nm以下の窒化物を熱酸化するか、5nm以下の窒化物堆積/酸化を複数回繰り返すようにすることが望ましい。厚い窒化膜を熱酸化すると、酸化温度が500℃以下の低温の場合に、新たに酸化された層からの生成物である窒素が膜の内部から外部に脱出できなくなり、膜中に残留してしまうことが見出されたためである。 Further, instead of O 2 gas, for example, using NH 3 or the like, first, each metal nitride, that is, a zirconium nitride film containing HfN, ZrN, TiN, TaN, NbN, YN, CeN, yttrium is formed, and then Alternatively, each metal nitride may be converted into an oxide by thermal oxidation. When this thermal oxidation method is used, it is desirable to thermally oxidize nitrides of 5 nm or less or to repeat nitride deposition / oxidation of 5 nm or less a plurality of times so that nitrogen does not remain in the film. When a thick nitride film is thermally oxidized, when the oxidation temperature is a low temperature of 500 ° C. or lower, nitrogen, which is a product from the newly oxidized layer, cannot escape from the inside of the film and remains in the film. This is because it was found out.

また、上述した金属酸化物を成膜する前に、熱酸化によるシリコン酸化膜、NOガス中での酸化等を用いた酸化窒化膜、或いはCVD法等によるシリコン窒化膜をシリコン基板上に成膜し、その後に上述した金属酸化膜の成膜を行うことにより、積層構造のゲート絶縁膜F0を作製してもよい。   Before forming the above metal oxide, a silicon oxide film by thermal oxidation, an oxynitride film using oxidation in NO gas, or a silicon nitride film by CVD or the like is formed on the silicon substrate. Then, the gate insulating film F0 having a stacked structure may be formed by forming the metal oxide film described above.

(11)ゲート電極の最下層側の膜として、HfN、ZrN、TiNを用いる場合、これらのエッチングには過酸化水素水を用いることができる。この過酸化水素水を用いたエッチング時に、ゲート絶縁膜F0がエッチングされないことが必要である。ゲート絶縁膜F0として、上述したHfO2 、ZrO2 、TiO2 、Si3 4 、Al2 3 、Ta2 5 、Nb2 5 、Y2 3 、CeO2 、イットリウムを含むジルコニウム酸化膜、バリウムとストロンチウムとチタンと酸素の化合物膜、鉛とジルコニウムとチタンと酸素の化合物膜、シリコン酸化膜を用いる場合には、これらは過酸化水素水に不溶であるため、問題は生じない。 (11) When HfN, ZrN, or TiN is used as the lowermost layer of the gate electrode, hydrogen peroxide water can be used for these etchings. It is necessary that the gate insulating film F0 is not etched during the etching using the hydrogen peroxide solution. Zirconium oxide containing HfO 2 , ZrO 2 , TiO 2 , Si 3 N 4 , Al 2 O 3 , Ta 2 O 5 , Nb 2 O 5 , Y 2 O 3 , CeO 2 , and yttrium as the gate insulating film F0. When a film, a compound film of barium, strontium, titanium and oxygen, a compound film of lead, zirconium, titanium and oxygen, or a silicon oxide film is used, there is no problem because these are insoluble in hydrogen peroxide water.

ゲート電極の最下層側の膜として、TaN、NbNを用いる場合、これらは塩酸と硝酸の混合液に可溶である。したがって、ゲート絶縁膜F0には、この混合液に不溶であるHfO2 、ZrO2 、TiO2 、Si3 4 、シリコン酸化膜、窒素を1%以上含有するシリコンオキシナイトライド等を用いればよい。 When TaN or NbN is used as the film on the lowermost layer side of the gate electrode, these are soluble in a mixed solution of hydrochloric acid and nitric acid. Accordingly, HfO 2 , ZrO 2 , TiO 2 , Si 3 N 4 , silicon oxide film, silicon oxynitride containing 1% or more of nitrogen, or the like that is insoluble in the mixed solution may be used for the gate insulating film F0. .

ゲート電極の最下層側の膜としてアルミニウムを用いる場合、アルミニウムは燐酸と硝酸の混合液に可溶である。したがって、ゲート絶縁膜F0には、この混合液に不溶なHfO2 、ZrO2 、TiO2 、Ta2 5 、Nb2 5 、イットリウムを含むジルコニウム酸化膜、バリウムとストロンチウムとチタンと酸素の化合物膜、鉛とジルコニウムとチタンと酸素の化合物膜、シリコン酸化膜を用いればよい。 When aluminum is used as the film on the lowermost layer side of the gate electrode, aluminum is soluble in a mixed solution of phosphoric acid and nitric acid. Therefore, the gate insulating film F0 includes a zirconium oxide film containing HfO 2 , ZrO 2 , TiO 2 , Ta 2 O 5 , Nb 2 O 5 , yttrium, a compound of barium, strontium, titanium, and oxygen that is insoluble in the mixed solution. A film, a compound film of lead, zirconium, titanium, and oxygen, or a silicon oxide film may be used.

(12)ゲート電極の最下層側の膜として上述した金属窒化物(HfN、ZrN、TiN、TaN、NbN)を用いた場合、金属窒化物膜とゲート絶縁膜との組み合わせ方は、上述したエッチング耐性の他に、次の条件を満たすことが望ましい。すなわち、金属窒化物を構成する金属元素からなる金属酸化物のGibbsの自由エネルギーが、ゲート絶縁膜に用いる金属酸化膜或いはシリコン酸化膜のGibbsの自由エネルギー以下となるようにする。このようにすると、金属窒化物がゲート絶縁膜を還元する可能性が少なくなるためである。具体的には、ゲート絶縁膜がHfO2 の場合には金属窒化物としてHfN、ZrN、TiN、TaN、NbNを用いることが望ましく、ゲート絶縁膜がTa2 5 の場合には金属窒化物としてTaN、NbNを用いることが望ましい。 (12) When the above-described metal nitride (HfN, ZrN, TiN, TaN, NbN) is used as the film on the lowermost layer side of the gate electrode, the combination of the metal nitride film and the gate insulating film is the etching described above. In addition to resistance, it is desirable to satisfy the following conditions. In other words, the Gibbs free energy of the metal oxide comprising the metal element constituting the metal nitride is set to be equal to or less than the Gibbs free energy of the metal oxide film or silicon oxide film used for the gate insulating film. This is because the possibility that the metal nitride reduces the gate insulating film is reduced. Specifically, when the gate insulating film is HfO 2 , it is desirable to use HfN, ZrN, TiN, TaN, NbN as the metal nitride, and when the gate insulating film is Ta 2 O 5 , the metal nitride is used. It is desirable to use TaN or NbN.

(実施形態2)
以下、本発明の第2の実施形態に係る製造工程の一例について、図11(a)〜図14(l)を参照して説明する。
(Embodiment 2)
Hereinafter, an example of the manufacturing process according to the second embodiment of the present invention will be described with reference to FIGS. 11 (a) to 14 (l).

まず、シリコン基板201の表面を熱酸化してシリコン酸化膜202を形成する。その後、CVD法を用いて、シリコン酸化膜202上にシリコン窒化膜203を形成する(図11(a))。   First, the surface of the silicon substrate 201 is thermally oxidized to form a silicon oxide film 202. Thereafter, a silicon nitride film 203 is formed on the silicon oxide film 202 by CVD (FIG. 11A).

次に、シリコン窒化膜203上にフォトレジスト204のパターンを形成する。続いて、このレジストパターン204をマスクにして、シリコン窒化膜203、シリコン酸化膜202及びシリコン基板201を異方性エッチングを用いてパターニングすることにより、素子分離溝を形成する(図11(b))。   Next, a pattern of a photoresist 204 is formed on the silicon nitride film 203. Subsequently, by using this resist pattern 204 as a mask, the silicon nitride film 203, the silicon oxide film 202, and the silicon substrate 201 are patterned using anisotropic etching to form element isolation trenches (FIG. 11B). ).

次に、フォトレジスト204を灰化して除去する。その後、露出している素子分離溝の表面を、例えば950℃、HCl/O2 雰囲気中で熱酸化することにより、シリコン酸化膜205を形成する。続いて、CVD法を用いてシリコン酸化膜206を全面に堆積し、素子分離溝を埋め込む。さらに、CMP法を用いてシリコン酸化膜206をシリコン窒化膜203の表面が露出するまで研磨する(図11(c))。 Next, the photoresist 204 is ashed and removed. Thereafter, the exposed surface of the element isolation trench is thermally oxidized, for example, at 950 ° C. in an HCl / O 2 atmosphere to form a silicon oxide film 205. Subsequently, a silicon oxide film 206 is deposited on the entire surface by using the CVD method to fill the element isolation trench. Further, the silicon oxide film 206 is polished by CMP until the surface of the silicon nitride film 203 is exposed (FIG. 11C).

次に、熱燐酸を用いてシリコン窒化膜203を選択的に除去する。続いて、希フッ酸溶液を用いてシリコン酸化膜202を除去する。この際に、素子分離溝の上部のシリコン酸化膜206及びシリコン酸化膜205が多少エッチングされ、素子分離溝の上部エッジ近傍のシリコン基板201の表面が露出する(図12(d))。   Next, the silicon nitride film 203 is selectively removed using hot phosphoric acid. Subsequently, the silicon oxide film 202 is removed using a diluted hydrofluoric acid solution. At this time, the silicon oxide film 206 and the silicon oxide film 205 above the element isolation trench are slightly etched, and the surface of the silicon substrate 201 near the upper edge of the element isolation trench is exposed (FIG. 12D).

次に、例えば900℃、HCl/O2 雰囲気中で熱酸化を行い、ダミー絶縁膜となるシリコン酸化膜207を形成する。ダミー絶縁膜207は、MISトランジスタ形成領域上だけでなく、素子分離溝の上部エッジ上にも形成されるため、シリコン基板の露出面はなくなる(図12(e))。 Next, thermal oxidation is performed, for example, at 900 ° C. in an HCl / O 2 atmosphere to form a silicon oxide film 207 to be a dummy insulating film. Since the dummy insulating film 207 is formed not only on the MIS transistor formation region but also on the upper edge of the element isolation trench, the exposed surface of the silicon substrate disappears (FIG. 12E).

次に、全面にポリシリコン膜208を形成した後、このポリシリコン膜208をパターニングすることにより、ダミーゲートを形成する(図12(f))。   Next, after forming a polysilicon film 208 on the entire surface, this polysilicon film 208 is patterned to form a dummy gate (FIG. 12F).

次に、ポリシリコン膜208からなるダミーゲートをマスクにして、シリコン基板201の表面に不純物イオンを注入する。さらに、高温のアニール処理を行なうことにより、ソース・ドレイン拡散層209をダミーゲートに対して自己整合的に形成する。続いて、全面に層間絶縁膜210を堆積し、この層間絶縁膜210をCMP法を用いてポリシリコン膜208が露出するまで平坦化する。その後、露出したポリシリコン膜208を、例えばCF4 /O2 ガスを用いたダウンフロー技術で除去する(図13(g))。 Next, impurity ions are implanted into the surface of the silicon substrate 201 using a dummy gate made of the polysilicon film 208 as a mask. Further, the source / drain diffusion layer 209 is formed in a self-aligned manner with respect to the dummy gate by performing a high-temperature annealing process. Subsequently, an interlayer insulating film 210 is deposited on the entire surface, and the interlayer insulating film 210 is planarized using a CMP method until the polysilicon film 208 is exposed. Thereafter, the exposed polysilicon film 208 is removed by a down flow technique using, for example, CF 4 / O 2 gas (FIG. 13G).

次に、N型及びP型MISトランジスタそれぞれのしきい値電圧の調整を行なうために、露出したダミー絶縁膜207を介して、シリコン基板201中にそれぞれN型及びP型の不純物をイオン注入法で導入する。続いて、ダミー絶縁膜207を希フッ酸溶液を用いて除去することにより、溝(凹部)211が形成される。その後、ゲート絶縁膜として、Ta2 5 膜212を形成する。さらに、P型MISトランジスタのゲート電極材料として、ルテニウム(Ru)膜又はパラジウム(Pd)膜213を、膜厚10nm程度形成する(図13(h))。 Next, in order to adjust the threshold voltages of the N-type and P-type MIS transistors, N-type and P-type impurities are respectively implanted into the silicon substrate 201 via the exposed dummy insulating film 207. Introduced in. Subsequently, by removing the dummy insulating film 207 using a dilute hydrofluoric acid solution, a groove (concave portion) 211 is formed. Thereafter, a Ta 2 O 5 film 212 is formed as a gate insulating film. Further, a ruthenium (Ru) film or a palladium (Pd) film 213 is formed with a film thickness of about 10 nm as a gate electrode material of the P-type MIS transistor (FIG. 13H).

次に、プラズマCVD法により、全面にシリコン窒化膜214を10nmの膜厚で形成する。このシリコン窒化膜214は、後の工程で形成されるインジウム(In)又はスズ(Sn)の拡散を防止するための拡散防止膜として用いられる。その後、P型MISトランジスタ領域上にフォトレジスト215のパターンを形成する(図13(i))。   Next, a silicon nitride film 214 having a thickness of 10 nm is formed on the entire surface by plasma CVD. This silicon nitride film 214 is used as a diffusion preventing film for preventing diffusion of indium (In) or tin (Sn) formed in a later step. Thereafter, a pattern of a photoresist 215 is formed on the P-type MIS transistor region (FIG. 13 (i)).

次に、露出したN型MISトランジスタ領域のシリコン窒化膜214を、ダウンフロー法を使って除去する。フォトレジスト215を灰化処理によって除去した後、N型MISトランジスタのゲート電極材料として、全面にインジウム(In)膜又はスズ(Sn)膜を1〜2nmの膜厚で形成する(図14(j))。   Next, the exposed silicon nitride film 214 in the N-type MIS transistor region is removed using a down flow method. After the photoresist 215 is removed by ashing, an indium (In) film or a tin (Sn) film is formed on the entire surface as a gate electrode material of an N-type MIS transistor with a thickness of 1 to 2 nm (FIG. 14 (j )).

次に、200℃〜400℃程度の中低温アニールを行う。P型MISトランジスタ領域にはシリコン窒化膜214が形成されているため、このアニール処理により、N型MISトランジスタ領域にのみ選択的にインジウム又はスズが拡散する。インジウム又はスズは、ルテニウム膜又はパラジウム膜213の結晶粒界を通って拡散する。これにより、インジウム又はスズが、ゲート絶縁膜となるTa2 5 膜212とルテニウム膜又はパラジウム膜213との界面に析出する。その結果、N型MISトランジスタのゲート電極となるインジウム膜又はスズ膜216が形成される(図14(k))。 Next, medium-low temperature annealing is performed at about 200 ° C. to 400 ° C. Since the silicon nitride film 214 is formed in the P-type MIS transistor region, this annealing process selectively diffuses indium or tin only in the N-type MIS transistor region. Indium or tin diffuses through the grain boundaries of the ruthenium film or palladium film 213. As a result, indium or tin is deposited at the interface between the Ta 2 O 5 film 212 serving as the gate insulating film and the ruthenium film or palladium film 213. As a result, an indium film or a tin film 216 serving as the gate electrode of the N-type MIS transistor is formed (FIG. 14 (k)).

次に、P型MISトランジスタ領域上のインジウム膜又はスズ膜216を選択的に除去し、さらにシリコン窒化膜214をダウンフロー法を使って除去する。その後、タングステン膜217をN型及びP型MISトランジスタのゲート電極領域の溝に埋め込む。さらに、CMP法を用いて、溝外のルテニウム膜又はパラジウム膜213、インジウム膜又はスズ膜216、Ta2 5 膜212及びタングステン膜217を除去し、溝内にのみタングステン膜217を残す。これにより、P型MISトランジスタではルテニウム膜又はパラジウム膜213が最下層に形成されたゲート電極が、N型MISトランジスタではインジウム膜又はスズ膜216が最下層に形成されたゲート電極が構成される。以降、層間絶縁膜218、配線219等を形成し、半導体集積回路が完成する(図14(l))。 Next, the indium film or tin film 216 on the P-type MIS transistor region is selectively removed, and the silicon nitride film 214 is further removed using a downflow method. Thereafter, the tungsten film 217 is embedded in the trench in the gate electrode region of the N-type and P-type MIS transistors. Further, the ruthenium film or palladium film 213, the indium film or tin film 216, the Ta 2 O 5 film 212 and the tungsten film 217 outside the groove are removed by using the CMP method, and the tungsten film 217 is left only in the groove. Thus, a gate electrode in which a ruthenium film or palladium film 213 is formed in the lowermost layer is formed in the P-type MIS transistor, and a gate electrode in which an indium film or tin film 216 is formed in the lowermost layer is formed in the N-type MIS transistor. Thereafter, the interlayer insulating film 218, the wiring 219, and the like are formed, and the semiconductor integrated circuit is completed (FIG. 14L).

なお、上述した例では、P型MISトランジスタのゲート電極を構成する金属M1(上述した例では、ルテニウム又はパラジウム)中をN型MISトランジスタのゲート電極を構成する金属M2(上述した例では、インジウム又はスズ)を拡散させることにより、金属M2をN型MISトランジスタのゲート絶縁膜界面に析出させるようにしたが、金属M2を金属M1中に拡散させることにより金属M1と金属M2との合金を形成し、この合金によってN型MISトランジスタのゲート電極を構成するようにしてもよい(変形例1とする)。   In the above-described example, the metal M2 constituting the gate electrode of the N-type MIS transistor (indium in the above-described example) in the metal M1 (ruthenium or palladium in the above-described example) constituting the gate electrode of the P-type MIS transistor. Alternatively, metal M2 is deposited on the interface of the gate insulating film of the N-type MIS transistor by diffusing tin), but an alloy of metal M1 and metal M2 is formed by diffusing metal M2 into metal M1. However, the gate electrode of the N-type MIS transistor may be constituted by this alloy (referred to as Modification 1).

また、上述した例では、P型MISトランジスタのゲート電極を構成する金属M1中をN型MISトランジスタのゲート電極を構成する金属M2を拡散させる際に、シリコン窒化膜214を拡散のマスクとして用いることにより、金属M2を選択的にN型MISトランジスタ領域に拡散させるようにしたが、シリコン窒化膜214は形成せずに、N型MISトランジスタ領域の金属M1上にのみ金属M2を選択的に形成し、上述した例と同様に、N型MISトランジスタ領域でのみ選択的に金属M2を金属M1中に拡散させるようにしてもよい(変形例2とする)。   In the above-described example, the silicon nitride film 214 is used as a diffusion mask when diffusing the metal M2 constituting the gate electrode of the N-type MIS transistor in the metal M1 constituting the gate electrode of the P-type MIS transistor. Thus, the metal M2 is selectively diffused into the N-type MIS transistor region. However, the metal M2 is selectively formed only on the metal M1 in the N-type MIS transistor region without forming the silicon nitride film 214. Similarly to the above-described example, the metal M2 may be selectively diffused into the metal M1 only in the N-type MIS transistor region (referred to as Modification 2).

さらに、上述した基本例及び変形例1、2は、金属M2をゲート絶縁膜界面に析出させる、或いは金属M1と金属M2との合金を形成するという方法を、N型MISトランジスタのゲート電極に対して行っているが、同様の方法をP型MISトランジスタのゲート電極に対して行ってもよい。   Further, in the basic example and the first and second modifications described above, the method of depositing the metal M2 on the interface of the gate insulating film or forming an alloy of the metal M1 and the metal M2 is applied to the gate electrode of the N-type MIS transistor. However, the same method may be applied to the gate electrode of the P-type MIS transistor.

本実施形態によれば、N型MISトランジスタのゲート絶縁膜に接する部分の仕事関数をP型MISトランジスタのゲート絶縁膜に接する部分の仕事関数よりも小さくすることができるため、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化して、両トランジスタのしきい値電圧を最適化することが可能である。また、本実施形態では、ゲート電極形成用の溝内に形成された金属膜を従来のようにエッチング除去しないため、ゲート絶縁膜の信頼性の低下を抑制することが可能である。   According to the present embodiment, the work function of the portion in contact with the gate insulating film of the N-type MIS transistor can be made smaller than the work function of the portion in contact with the gate insulating film of the P-type MIS transistor. It is possible to optimize the threshold voltage of both transistors by optimizing the work function of the gate electrode of each MIS transistor. Further, in this embodiment, since the metal film formed in the gate electrode forming groove is not removed by etching as in the prior art, it is possible to suppress a decrease in reliability of the gate insulating film.

(実施形態3)
以下、本発明の第3の実施形態に係る第1の例について、その製造工程の一例を図15(a)〜図17(h)を参照して説明する。
(Embodiment 3)
Hereinafter, an example of the manufacturing process of the first example according to the third embodiment of the present invention will be described with reference to FIGS. 15 (a) to 17 (h).

まず、シリコン基板301上に素子分離302を形成し、続いて、N型MISトランジスタ領域にP型のウエル拡散層303を、P型MISトランジスタ領域にN型のウエル拡散層304を形成する(図15(a))
次に、露出しているシリコン基板301の表面を5nm程度酸化して、ダミー絶縁膜となるシリコン酸化膜305を形成する。その後、ダミーゲートとなるポリシリコン膜306を堆積し、これをゲート電極の形状にパターニングする。続いて、ダミーゲートとなるポリシリコ膜306をマスクにして、N型領域に砒素を、P型領域に硼素をイオン注入し、ソース・ドレイン拡散層307となる浅い不純物拡散層を形成する。その後、シリコン窒化膜308を堆積し、これを異方性エッチングすることにより、側壁絶縁膜を形成する。続いて、この側壁絶縁膜308及びポリシリコン膜306をマスクにして、N型領域に砒素を、P型領域に硼素をイオン注入し、ソース・ドレイン拡散層309となる深い不純物拡散層を形成する(図15(b))。
First, an element isolation 302 is formed on a silicon substrate 301. Subsequently, a P-type well diffusion layer 303 is formed in the N-type MIS transistor region, and an N-type well diffusion layer 304 is formed in the P-type MIS transistor region (FIG. 15 (a))
Next, the exposed surface of the silicon substrate 301 is oxidized by about 5 nm to form a silicon oxide film 305 to be a dummy insulating film. Thereafter, a polysilicon film 306 to be a dummy gate is deposited and patterned into a gate electrode shape. Subsequently, using the polysilicon film 306 serving as a dummy gate as a mask, arsenic is ion-implanted into the N-type region and boron is implanted into the P-type region, thereby forming a shallow impurity diffusion layer that becomes the source / drain diffusion layer 307. Thereafter, a silicon nitride film 308 is deposited and anisotropically etched to form a sidewall insulating film. Subsequently, using the sidewall insulating film 308 and the polysilicon film 306 as a mask, arsenic is ion-implanted into the N-type region and boron is ion-implanted into the P-type region, thereby forming a deep impurity diffusion layer that becomes the source / drain diffusion layer 309. (FIG. 15B).

次に、全面に層間絶縁膜310としてシリコン酸化膜を堆積する。その後、CMP法を用いて、シリコン酸化膜310をポリシリコン膜306が露出するまで平坦化する(図15(c))。   Next, a silicon oxide film is deposited as an interlayer insulating film 310 on the entire surface. Thereafter, the CMP method is used to planarize the silicon oxide film 310 until the polysilicon film 306 is exposed (FIG. 15C).

次に、ケミカルドライエッチング等の等方性エッチング技術を用いて、ポリシリコン膜306を除去する。続いて、露出したシリコン酸化膜305を希フッ酸処理等によりエッチング除去し、N型及びP型MISトランジスタ領域の双方にゲート電極形成用の溝311を形成する(図16(d))。   Next, the polysilicon film 306 is removed using an isotropic etching technique such as chemical dry etching. Subsequently, the exposed silicon oxide film 305 is etched away by dilute hydrofluoric acid treatment or the like to form a groove 311 for forming a gate electrode in both the N-type and P-type MIS transistor regions (FIG. 16D).

次に、熱酸化処理によってゲート電極形成用の溝311底部のシリコン基板301を酸化し、シリコン酸化膜312からなるゲート絶縁膜を形成する。続いて、N型MISトランジスタのゲート電極材料として、CVD法によりタングステンシリサイド(WSi2 )膜313を全面に堆積する。その後、CMP法によりゲート電極形成用の溝311の外部に堆積されたタングステンシリサイド膜313を除去し、ゲート電極形成用の溝311内にのみタングステンシリサイド膜313を残置させる(図16(e))。 Next, the silicon substrate 301 at the bottom of the gate electrode formation groove 311 is oxidized by thermal oxidation to form a gate insulating film made of the silicon oxide film 312. Subsequently, as a gate electrode material of the N-type MIS transistor, a tungsten silicide (WSi 2 ) film 313 is deposited on the entire surface by a CVD method. Thereafter, the tungsten silicide film 313 deposited outside the trench 311 for gate electrode formation is removed by CMP, and the tungsten silicide film 313 is left only in the trench 311 for gate electrode formation (FIG. 16E). .

次に、全面にシリコン窒化膜314を堆積し、さらにフォトリソグラフィー及びエッチング技術によって、N型MISトランジスタ領域にのみシリコン窒化膜15を残置させる。続いて、スパッタ法等により、パラジウム(Pd)膜315を全面に堆積する(図16(f))。   Next, a silicon nitride film 314 is deposited on the entire surface, and the silicon nitride film 15 is left only in the N-type MIS transistor region by photolithography and etching techniques. Subsequently, a palladium (Pd) film 315 is deposited on the entire surface by sputtering or the like (FIG. 16F).

次に、600℃、1分間程度のアニール処理を行う。これにより、P型MISトランジスタ領域のゲート電極部分に埋め込まれているタングステンシリサイド膜313がパラジウム膜315と反応する。その結果、もともとタングステンシリサイド膜313の存在した領域にパラジウムシリサイド(Pd2 Si)膜316が形成され、このパラジウムシリサイド膜316の上部のパラジウム膜中にタングステンが排出される。N型MISトランジスタ領域では、シリコン窒化膜314形成されているため、タングステンシリサイド膜313はパラジウムシリサイド膜316に置換されない。その後、CMP等により、ゲート電極形成用の溝の外部に残った金属及びシリコン窒化膜314を除去する。これにより、P型MISトランジスタのゲート電極がパラジウムシリサイド膜316によって形成される(図17(g))。 Next, annealing is performed at 600 ° C. for about 1 minute. As a result, the tungsten silicide film 313 embedded in the gate electrode portion of the P-type MIS transistor region reacts with the palladium film 315. As a result, a palladium silicide (Pd 2 Si) film 316 is formed in the region where the tungsten silicide film 313 originally exists, and tungsten is discharged into the palladium film on the palladium silicide film 316. Since the silicon nitride film 314 is formed in the N-type MIS transistor region, the tungsten silicide film 313 is not replaced with the palladium silicide film 316. Thereafter, the metal and the silicon nitride film 314 remaining outside the trench for forming the gate electrode are removed by CMP or the like. Thereby, the gate electrode of the P-type MIS transistor is formed by the palladium silicide film 316 (FIG. 17G).

次に、全面に層間絶縁膜317となるシリコン酸化膜を堆積する。続いて、MISトランジスタのソース・ドレイン及びゲート電極に達するコンタクト用の穴を、層間絶縁膜317及び310に形成する。その後、配線318用の金属膜を堆積して、これをパターニングすることにより、N型及びP型のMISトランジスタトランジスタが完成する(図17(h))。   Next, a silicon oxide film to be an interlayer insulating film 317 is deposited on the entire surface. Subsequently, contact holes reaching the source / drain and gate electrodes of the MIS transistor are formed in the interlayer insulating films 317 and 310. Thereafter, a metal film for the wiring 318 is deposited and patterned to complete N-type and P-type MIS transistor transistors (FIG. 17H).

なお、上述した例では、N型MISトランジスタのゲート電極材料としてタングステンシリサイド(WSi2 )膜を用いたが、タングステンシリサイドの代わりに、モリブデンシリサイド(MoSi2 )、タンタルシリサイド(TaSi2 )、ニオブシリサイド(NbSi2 )或いはクロムシリサイド(CrSi2 )等のシリサイドを用いることも可能である。 In the above-described example, a tungsten silicide (WSi 2 ) film is used as the gate electrode material of the N-type MIS transistor, but molybdenum silicide (MoSi 2 ), tantalum silicide (TaSi 2 ), niobium silicide is used instead of tungsten silicide. Silicides such as (NbSi 2 ) or chromium silicide (CrSi 2 ) can also be used.

また、上述した例では、P型MISトランジスタ領域のタングステンシリサイド膜上にパラジウム(Pd)膜を形成し、熱処理によってパラジウムをタングステンシリサイド膜と反応させることにより、タングステンシリサイドをパラジウムシリサイド(Pd2 Si、PdSi)に置換するようにしたが、パラジウムの代わりにニッケル(Ni)或いはプラチナ(Pt)を用い、ニッケルシリサイド(NiSi、NiSi2 )或いはプラチナシリサイド(Pt2 Si、PtSi)等のシリサイドに置換することも可能である。 In the above-described example, a palladium (Pd) film is formed on the tungsten silicide film in the P-type MIS transistor region, and the tungsten silicide is converted into palladium silicide (Pd 2 Si, Pd 2 Si, PdSi), but instead of palladium, nickel (Ni) or platinum (Pt) is used, and nickel silicide (NiSi, NiSi 2 ) or platinum silicide (Pt 2 Si, PtSi) or the like is substituted. It is also possible.

また、上述した例(以下の第2及び第3の例でも同様)では、ゲート絶縁膜として熱処理によって得られたシリコン酸化膜を用いるようにしたが、CVD法等によって形成したTa2 5 膜を用いるようにしてもよい。 In the above-described examples (the same applies to the following second and third examples), a silicon oxide film obtained by heat treatment is used as the gate insulating film. However, a Ta 2 O 5 film formed by a CVD method or the like is used. May be used.

次に、本発明の第3の実施形態に係る第2の例について、その製造工程の一例を図18(a)〜図18(c)を参照して説明する。   Next, an example of a manufacturing process of the second example according to the third embodiment of the present invention will be described with reference to FIGS.

なお、途中の工程(図15(a)〜図16(e)の工程)までは上述した第1の例と同様であるため、本例では図16(e)の工程よりも後の工程について説明する。   In addition, since it is the same as that of the 1st example mentioned above until the process (process of FIG. 15 (a)-FIG.16 (e)) in the middle, in this example, about the process after the process of FIG.16 (e). explain.

図16(e)の工程の後、レジスト321でN型MISトランジスタ領域をマスクした後、イオン注入法によって、P型MISトランジスタ領域のタングステンシリサイド膜313にのみ選択的にゲルマニウムイオン(Ge+ )をイオン注入し、ゲルマニウムを含むタングステンシリサイド膜313aとする。このとき、タングステンシリサイド膜313に導入するゲルマニウムイオンの濃度は、タングステンシリサイド中におけるゲルマニウムの固溶限以上の濃度、例えば1×1017cm-3程度とする(図18(a))。 After the step of FIG. 16E, the N-type MIS transistor region is masked with a resist 321 and then germanium ions (Ge + ) are selectively selectively applied only to the tungsten silicide film 313 in the P-type MIS transistor region by ion implantation. Ions are implanted to form a tungsten silicide film 313a containing germanium. At this time, the concentration of germanium ions introduced into the tungsten silicide film 313 is set to a concentration higher than the solid solubility limit of germanium in the tungsten silicide, for example, about 1 × 10 17 cm −3 (FIG. 18A).

次に、レジスト322でP型MISトランジスタ領域をマスクし、イオン注入法によって、N型MISトランジスタ領域のタングステンシリサイド膜313にのみ選択的にインジウムイオン(In+ )をイオン注入し、インジウムを含むタングステンシリサイド膜313bとする。このとき、タングステンシリサイド膜313に導入するインジウムイオンの濃度は、タングステンシリサイド中におけるインジウムの固溶限以上の濃度、例えば1×1017cm-3程度とする(図18(b))。 Next, the P-type MIS transistor region is masked with a resist 322, and indium ions (In + ) are selectively ion-implanted only into the tungsten silicide film 313 in the N-type MIS transistor region by ion implantation. The silicide film 313b is used. At this time, the concentration of indium ions introduced into the tungsten silicide film 313 is set to a concentration higher than the solid solubility limit of indium in the tungsten silicide, for example, about 1 × 10 17 cm −3 (FIG. 18B).

次に、800℃、1分程度の熱処理を行うことにより、タングステンシリサイド膜313中に注入されたゲルマニウム及びインジウムが、タングステンシリサイド膜313とゲート絶縁膜であるシリコン酸化膜312との界面に析出する。その結果、P型MISトランジスタではゲルマニウム膜323及びタングステンシリサイド膜313の積層構造によってゲート電極が形成され、N型MISトランジスタではインジウム膜324及びタングステンシリサイド膜313の積層構造によってゲート電極が形成される(図18(c))。   Next, by performing heat treatment at 800 ° C. for about 1 minute, germanium and indium implanted into the tungsten silicide film 313 are deposited at the interface between the tungsten silicide film 313 and the silicon oxide film 312 which is a gate insulating film. . As a result, the gate electrode is formed by the stacked structure of the germanium film 323 and the tungsten silicide film 313 in the P-type MIS transistor, and the gate electrode is formed by the stacked structure of the indium film 324 and the tungsten silicide film 313 in the N-type MIS transistor. FIG. 18 (c)).

最後に、第1の例と同様に、層間絶縁膜を堆積してコンタクト用の穴を開け、さらに配線を形成することにより、N型及びP型のMISトランジスタが完成する。   Finally, as in the first example, an N-type and P-type MIS transistors are completed by depositing an interlayer insulating film, opening a contact hole, and forming a wiring.

なお、上述した例では、ゲート電極用の溝の中に予め形成しておく材料としてタングステンシリサイド(WSi2 )膜を用いたが、タングステンシリサイドの代わりに、モリブデンシリサイド(MoSi2 )、タンタルシリサイド(TaSi2 )、ニオブシリサイド(NbSi2 )或いはクロムシリサイド(CrSi2 )を用いることも可能である。 In the above-described example, a tungsten silicide (WSi 2 ) film is used as a material to be formed in advance in the trench for the gate electrode, but molybdenum silicide (MoSi 2 ), tantalum silicide ( It is also possible to use TaSi 2 ), niobium silicide (NbSi 2 ), or chromium silicide (CrSi 2 ).

また、上述した例では、ゲート絶縁膜界面に析出させる材料として、P型MISトランジスタではゲルマニウム(Ge)、N型MISトランジスタではインジウム(In)を用いたが、ゲルマニウム、インジウム、アンチモン(Sb)、プラチナ(Pt)、パラジウム(Pd)等の中から適当な材料を選択して、P型及びN型の両トランジスタで別々の材料を析出させるようにしてもよい。また、これらの材料をP型又はN型の一方のトランジスタについてのみ析出させ(一方のトランジスタについてのみイオン注入を行い)、他方のトランジスタではもともとのゲート電極材料(上述した例ではタングステンシリサイド)をそのままゲート電極として用いるようにしてもよい。   In the above-described example, germanium (Ge) is used for the P-type MIS transistor and indium (In) is used for the N-type MIS transistor as the material to be deposited on the gate insulating film interface, but germanium, indium, antimony (Sb), An appropriate material may be selected from platinum (Pt), palladium (Pd), etc., and separate materials may be deposited by both P-type and N-type transistors. These materials are deposited only for one of the P-type and N-type transistors (ion implantation is performed only for one transistor), and the original gate electrode material (tungsten silicide in the above example) is used as it is for the other transistor. It may be used as a gate electrode.

さらに、上述した例では、イオン注入した物質を熱処理によってゲート絶縁膜界面に析出させるようにしたが、P型及びN型MISトランジスタのゲート電極領域に別々の物質をイオン注入し、熱処理等によってイオン注入した各物質とゲート電極領域にもともと形成されていたゲート電極材料との反応物を形成し、N型MISトランジスタの反応物の仕事関数がP型MISトランジスタの反応物の仕事関数よりも小さくなるようにしてもよい。   Furthermore, in the above-described example, the ion-implanted material is deposited on the gate insulating film interface by heat treatment. However, different materials are ion-implanted into the gate electrode regions of the P-type and N-type MIS transistors, and the ions are ionized by heat treatment. A reaction product of each of the implanted substances and the gate electrode material originally formed in the gate electrode region is formed, and the work function of the reaction product of the N-type MIS transistor is smaller than the work function of the reaction product of the P-type MIS transistor. You may do it.

次に、本発明の第3の実施形態に係る第3の例について、その製造工程の一例を図19(a)〜図19(c)を参照して説明する。   Next, an example of the manufacturing process of the third example according to the third embodiment of the present invention will be described with reference to FIGS. 19 (a) to 19 (c).

なお、途中の工程(図15(a)〜図16(d)の工程)までは上述した第1の例と同様であるため、本例では図16(d)の工程よりも後の工程について説明する。   In addition, since it is the same as that of the 1st example mentioned above until the process (process of Fig.15 (a)-FIG.16 (d)) in the middle, in this example, about the process after the process of FIG.16 (d). explain.

図16(e)の工程の後、ゲート電極形成用の溝に、スパッタ法とCMP法とを用いて、P型MISトランジスタのゲート電極材料として、ニッケル(Ni)膜331を埋め込む(図19(a))。   After the step of FIG. 16E, a nickel (Ni) film 331 is buried in the gate electrode formation groove as a gate electrode material of the P-type MIS transistor by using sputtering and CMP (FIG. 19 a)).

次に、全面にアモルファスシリコン(a−Si)膜332をスパッタ法等によって堆積した後、N型MISトランジスタ領域上以外の領域のアモルファスシリコン膜332をフォトリソグラフィー法とドライエッチング法等を用いて除去する(図19(b))。   Next, after depositing an amorphous silicon (a-Si) film 332 on the entire surface by sputtering or the like, the amorphous silicon film 332 in a region other than on the N-type MIS transistor region is removed by using a photolithography method, a dry etching method, or the like. (FIG. 19B).

次に、400℃、1分程度の熱処理を加えることにより、N型MISトランジスタ領域のゲート電極部分において、ニッケル膜331とアモルファスシリコン膜332を反応させ、ニッケルシリサイド(NiSi)膜333を形成する。その後、反応に寄与しなかったアモルファスシリコン膜332を、ケミカルドライエッチング等の等方性エッチングによって除去する。このようにニッケル膜331をニッケルシリサイド膜333に変化させることにより、材料の仕事関数を5.0eV程度から4.36eV程度にまで低下させることができる(図19(c))。   Next, by applying heat treatment at 400 ° C. for about 1 minute, the nickel film 331 and the amorphous silicon film 332 are reacted in the gate electrode portion of the N-type MIS transistor region to form a nickel silicide (NiSi) film 333. Thereafter, the amorphous silicon film 332 that has not contributed to the reaction is removed by isotropic etching such as chemical dry etching. By changing the nickel film 331 to the nickel silicide film 333 in this way, the work function of the material can be lowered from about 5.0 eV to about 4.36 eV (FIG. 19C).

最後に、第1の例と同様に、層間絶縁膜を堆積してコンタクト用の穴を開け、さらに配線を形成することにより、N型及びP型のMISトランジスタトランジスタが完成する。   Finally, as in the first example, an N-type and P-type MIS transistor transistor is completed by depositing an interlayer insulating film, opening a contact hole, and forming a wiring.

なお、上述した例では、P型MISトランジスタのゲート電極をニッケル(Ni)、N型MISトランジスタのゲート電極をニッケルシリサイド(NiSi、NiSi2 )としたが、コバルト(Co)とコバルトシリサイド(CoSi2 )、クロム(Cr)とクロムシリサイド(CrSi2 )、モリブデン(Mo)とモリブデンシリサイド(MoSi2 )等で形成してもよい。 In the example described above, the gate electrode of the P-type MIS transistor is nickel (Ni) and the gate electrode of the N-type MIS transistor is nickel silicide (NiSi, NiSi 2 ), but cobalt (Co) and cobalt silicide (CoSi 2). ), Chromium (Cr) and chromium silicide (CrSi 2 ), molybdenum (Mo) and molybdenum silicide (MoSi 2 ), or the like.

本実施形態によれば、N型MISトランジスタのゲート絶縁膜に接する部分の仕事関数をP型MISトランジスタのゲート絶縁膜に接する部分の仕事関数よりも小さくすることができるため、N型及びP型MISトランジスタそれぞれのゲート電極の仕事関数を最適化して、両トランジスタのしきい値電圧を最適化することが可能である。また、本実施形態では、ゲート電極形成用の溝内に形成された金属膜を従来のようにエッチング除去しないため、ゲート絶縁膜の信頼性の低下を抑制することが可能である。   According to the present embodiment, the work function of the portion in contact with the gate insulating film of the N-type MIS transistor can be made smaller than the work function of the portion in contact with the gate insulating film of the P-type MIS transistor. It is possible to optimize the threshold voltage of both transistors by optimizing the work function of the gate electrode of each MIS transistor. Further, in this embodiment, since the metal film formed in the gate electrode forming groove is not removed by etching as in the prior art, it is possible to suppress a decrease in reliability of the gate insulating film.

以上、本発明の実施形態を説明したが、本発明は上記実施形態に限定されるものではなく、その趣旨を逸脱しない範囲内において種々変形して実施することが可能である。   Although the embodiments of the present invention have been described above, the present invention is not limited to the above-described embodiments, and various modifications can be made without departing from the spirit of the present invention.

本発明の第1の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の製造方法によって得られる効果について示した平面図。The top view shown about the effect acquired by the manufacturing method of the semiconductor device concerning a 1st embodiment of the present invention. 本発明の第1の実施形態に係る半導体装置の製造方法によって得られるMISトランジスタについて、そのしきい値の素子間距離依存性を従来技術と対比して示した図。The figure which showed the inter-element distance dependence of the threshold value with respect to the prior art about the MIS transistor obtained by the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の基本構成の一例を模式的に示した図。The figure which showed typically an example of the basic composition of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の基本構成の他の例を模式的に示した図。The figure which showed typically the other example of the basic composition of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の基本構成の他の例を模式的に示した図。The figure which showed typically the other example of the basic composition of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の基本構成の他の例を模式的に示した図。The figure which showed typically the other example of the basic composition of the semiconductor device which concerns on the 1st Embodiment of this invention. 図8に示した基本構成を得るための主要な製造工程について示した図。The figure shown about the main manufacturing processes for obtaining the basic composition shown in FIG. 本発明の第2の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施形態に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の一例について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about an example of the manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の一例について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about an example of the manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の一例について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about an example of the manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の他の例について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the other example of the manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の他の例について、その工程の一部を示した工程断面図。Process sectional drawing which showed a part of the process about the other example of the manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 従来技術に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on a prior art. 従来技術に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on a prior art. 従来技術に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on a prior art. 従来技術に係る半導体装置の製造方法について、その工程の一部を示した工程断面図。Sectional drawing which showed a part of the process about the manufacturing method of the semiconductor device which concerns on a prior art. 従来技術に係る半導体装置の製造方法の問題点について示した平面図。The top view shown about the problem of the manufacturing method of the semiconductor device which concerns on a prior art.

符号の説明Explanation of symbols

101…シリコン基板
102…素子分離
103…シリコン酸化膜
104…ポリシリコン膜
105、107…シリコン窒化膜
106、108…ソース・ドレイン拡散層
109…シリサイド膜
110…層間絶縁膜
111…溝
112…ハフニウム酸化膜
113…ハフニウム窒化膜
114…レジスト
115…コバルト膜
201…シリコン基板
202、205、206、207…シリコン酸化膜
203、214…シリコン窒化膜
204、215…レジスト
208…ポリシリコン膜
209…ソース・ドレイン拡散層
210、218…層間絶縁膜
211…溝
212…Ta2 5
213…Ru膜又はPd膜
216…In膜又はSn膜
217…タングステン膜
219…配線
301…シリコン基板
302…素子分離
303…P型ウエル
304…N型ウエル
305、312…シリコン酸化膜
306…ポリシリコン膜
307、309…ソース・ドレイン拡散層
308、314…シリコン窒化膜
310、317…層間絶縁膜
311…溝
313…タングステンシリサイド膜
315…パラジウム膜
316…パラジウムシリサイド膜
318…配線
321、322…レジスト
323…ゲルマニウム膜
324…インジウム膜
331…ニッケル膜
332…アモルファスシリコン膜
333…ニッケルシリサイド膜
DESCRIPTION OF SYMBOLS 101 ... Silicon substrate 102 ... Element isolation 103 ... Silicon oxide film 104 ... Polysilicon film 105, 107 ... Silicon nitride film 106, 108 ... Source-drain diffused layer 109 ... Silicide film 110 ... Interlayer insulating film 111 ... Groove 112 ... Hafnium oxide Film 113 ... Hafnium nitride film 114 ... Resist 115 ... Cobalt film 201 ... Silicon substrate 202, 205, 206, 207 ... Silicon oxide film 203, 214 ... Silicon nitride film 204, 215 ... Resist 208 ... Polysilicon film 209 ... Source / drain diffusion layer 210, 218 ... interlayer insulating film 211 ... groove 212 ... Ta 2 O 5 film 213 ... Ru film or Pd film 216 ... an In film or Sn film 217 ... tungsten film 219 ... wiring 301 ... silicon substrate 302 ... isolation 303 ... P-type well 304 ... N-type wells 305, 312 ... Silicon oxide film 306 ... Polysilicon film 307, 309 ... Source / drain diffusion layer 308, 314 ... Silicon nitride film 310, 317 ... Interlayer insulating film 311 ... Groove 313 ... Tungsten silicide film 315 ... Palladium Film 316 ... Palladium silicide film 318 ... Wiring 321, 322 ... Resist 323 ... Germanium film 324 ... Indium film 331 ... Nickel film 332 ... Amorphous silicon film 333 ... Nickel silicide film

Claims (3)

N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極が半導体基板に形成された凹部内にゲート絶縁膜を介して形成されている半導体装置であって、
N型MISトランジスタ及びP型MISトランジスタの一方のゲート電極は第1の金属含有膜及び第1の金属含有膜上の第2の金属含有膜の積層構造によって構成され、N型MISトランジスタ及びP型MISトランジスタの他方のゲート電極は第3の金属含有膜及び第3の金属含有膜上の第2の金属含有膜の積層構造によって構成され、かつN型MISトランジスタのゲート絶縁膜に接する金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする半導体装置。
A semiconductor device in which a gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed through a gate insulating film in a recess formed in a semiconductor substrate,
One gate electrode of the N-type MIS transistor and the P-type MIS transistor is configured by a stacked structure of a first metal-containing film and a second metal-containing film on the first metal-containing film. The other gate electrode of the MIS transistor is configured by a stacked structure of a third metal-containing film and a second metal-containing film on the third metal-containing film, and is in contact with the gate insulating film of the N-type MIS transistor A semiconductor device characterized in that a work function of at least a portion in contact with the gate insulating film is smaller than a work function of at least a portion of the metal-containing film in contact with the gate insulating film of the P-type MIS transistor.
前記第2の金属含有膜の抵抗率は、前記第1の金属含有膜の抵抗率及び前記第3の金属含有膜の抵抗率よりも低いことを特徴とする請求項1に記載の半導体装置。   2. The semiconductor device according to claim 1, wherein the resistivity of the second metal-containing film is lower than the resistivity of the first metal-containing film and the resistivity of the third metal-containing film. N型MISトランジスタ及びP型MISトランジスタそれぞれのゲート電極を半導体基板に形成された凹部内にゲート絶縁膜を介して形成する半導体装置の製造方法であって、前記ゲート電極を形成する工程は、
N型MISトランジスタ用の第1のゲート形成領域及びP型MISトランジスタ用の第2のゲート形成領域の双方の領域の凹部内に形成されたゲート絶縁膜上に第1の金属含有膜を形成する工程と、
第1又は第2のゲート形成領域の一方の領域に形成された第1の金属含有膜を除去する工程と、
第1又は第2のゲート形成領域の他方の領域に残置した第1の金属含有膜上及び第1又は第2のゲート形成領域の一方の領域のゲート絶縁膜上に第3の金属含有膜を形成する工程と、
第1又は第2のゲート形成領域の他方の領域に形成された第3の金属含有膜を除去する工程と、
第1又は第2のゲート形成領域の一方の領域に残置した第3の金属含有膜上及び第1又は第2のゲート形成領域の他方の領域に露出した第1の金属含有膜上に第2の金属含有膜を形成することにより第1及び第2のゲート形成領域の双方の領域の凹部を埋め込む工程とからなり、
前記第1及び第3の金属含有膜のうち、N型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数がP型MISトランジスタのゲート絶縁膜に接する方の金属含有膜の少なくともゲート絶縁膜に接する部分の仕事関数よりも小さいことを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device, wherein a gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed in a recess formed in a semiconductor substrate via a gate insulating film, and the step of forming the gate electrode comprises:
A first metal-containing film is formed on the gate insulating film formed in the recesses in both the first gate formation region for the N-type MIS transistor and the second gate formation region for the P-type MIS transistor. Process,
Removing the first metal-containing film formed in one region of the first or second gate formation region;
A third metal-containing film is formed on the first metal-containing film left in the other region of the first or second gate formation region and on the gate insulating film in one region of the first or second gate formation region. Forming, and
Removing the third metal-containing film formed in the other region of the first or second gate formation region;
Second on the third metal-containing film left in one region of the first or second gate formation region and on the first metal-containing film exposed in the other region of the first or second gate formation region. And forming a recess in both the first and second gate formation regions by forming a metal-containing film of
Of the first and third metal-containing films, the work function of at least the portion of the metal-containing film that is in contact with the gate insulating film of the N-type MIS transistor is in contact with the gate insulating film of the P-type MIS transistor. A method of manufacturing a semiconductor device, wherein the work function of at least a portion of the metal-containing film in contact with the gate insulating film is smaller.
JP2007317602A 2007-12-07 2007-12-07 Semiconductor device Expired - Fee Related JP5253797B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007317602A JP5253797B2 (en) 2007-12-07 2007-12-07 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007317602A JP5253797B2 (en) 2007-12-07 2007-12-07 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP12440599A Division JP4237332B2 (en) 1999-04-30 1999-04-30 Manufacturing method of semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012051848A Division JP5390654B2 (en) 2012-03-08 2012-03-08 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2008124484A true JP2008124484A (en) 2008-05-29
JP5253797B2 JP5253797B2 (en) 2013-07-31

Family

ID=39508834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007317602A Expired - Fee Related JP5253797B2 (en) 2007-12-07 2007-12-07 Semiconductor device

Country Status (1)

Country Link
JP (1) JP5253797B2 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58148445A (en) * 1982-02-26 1983-09-03 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション Method of producing complementary field effect transistor
JPS6045053A (en) * 1983-08-22 1985-03-11 Mitsubishi Electric Corp Semiconductor device
JPH10150110A (en) * 1996-11-15 1998-06-02 Semiconductor Energy Lab Co Ltd Semiconductor device
WO1998027582A1 (en) * 1996-12-16 1998-06-25 Commissariat A L'energie Atomique Mis transistor with self-aligned metal grid and method for making it
JPH10189966A (en) * 1996-12-26 1998-07-21 Toshiba Corp Semiconductor device and manufacture thereof
JPH10223909A (en) * 1997-02-08 1998-08-21 Semiconductor Energy Lab Co Ltd Semiconductor device
EP0899784A2 (en) * 1997-08-28 1999-03-03 Texas Instruments Incorporated Semiconductor device and method of fabricating thereof
JP2000252371A (en) * 1999-02-26 2000-09-14 Texas Instr Inc <Ti> Manufacture of transistor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58148445A (en) * 1982-02-26 1983-09-03 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション Method of producing complementary field effect transistor
JPS6045053A (en) * 1983-08-22 1985-03-11 Mitsubishi Electric Corp Semiconductor device
JPH10150110A (en) * 1996-11-15 1998-06-02 Semiconductor Energy Lab Co Ltd Semiconductor device
WO1998027582A1 (en) * 1996-12-16 1998-06-25 Commissariat A L'energie Atomique Mis transistor with self-aligned metal grid and method for making it
JPH10189966A (en) * 1996-12-26 1998-07-21 Toshiba Corp Semiconductor device and manufacture thereof
JPH10223909A (en) * 1997-02-08 1998-08-21 Semiconductor Energy Lab Co Ltd Semiconductor device
EP0899784A2 (en) * 1997-08-28 1999-03-03 Texas Instruments Incorporated Semiconductor device and method of fabricating thereof
JP2000252371A (en) * 1999-02-26 2000-09-14 Texas Instr Inc <Ti> Manufacture of transistor

Also Published As

Publication number Publication date
JP5253797B2 (en) 2013-07-31

Similar Documents

Publication Publication Date Title
JP4237332B2 (en) Manufacturing method of semiconductor device
JP4917012B2 (en) Method of forming complementary metal oxide semiconductor (CMOS) and CMOS manufactured according to the method
KR101685886B1 (en) Self-aligned contacts
JP4144884B2 (en) Manufacturing method of CMOS transistor
US20030148563A1 (en) Transistor, semiconductor device and manufacturing method of semiconductor device
US9059090B2 (en) Semiconductor device and method for fabricating the same
KR20070029799A (en) Methods for the formation of fully silicided metal gates
JP2007165558A (en) Semiconductor device and method of manufacturing same
JP2008140853A (en) Semiconductor device and method of manufacturing the same
US20080023774A1 (en) Semiconductor device and method for fabricating the same
TWI619283B (en) Resistive memory device method for fabricating the same and applications thereof
JP5390654B2 (en) Manufacturing method of semiconductor device
JP2006108355A (en) Semiconductor device and manufacturing method thereof
JP2008103613A (en) Semiconductor device and manufacturing method thereof
JP2009117621A (en) Semiconductor device and manufacturing method thereof
JP2006013270A (en) Semiconductor device and its manufacturing method
JP2007095912A (en) Semiconductor device and method of manufacturing same
JP5253797B2 (en) Semiconductor device
JP2007287793A (en) Manufacturing method of semiconductor device
US20110097867A1 (en) Method of controlling gate thicknesses in forming fusi gates
US10784259B2 (en) Semiconductor device and method of manufacturing the same
JP2008187150A (en) Semiconductor device and its manufacturing method
JP2005026273A (en) Semiconductor device and its manufacturing method
TWI585859B (en) Method for forming a silicide layer
KR100620704B1 (en) CMOS Semiconductor Device and Manufacturing Method thereof

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111205

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120308

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120315

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130301

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130417

R151 Written notification of patent or utility model registration

Ref document number: 5253797

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160426

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees