JP2007281516A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2007281516A
JP2007281516A JP2007179347A JP2007179347A JP2007281516A JP 2007281516 A JP2007281516 A JP 2007281516A JP 2007179347 A JP2007179347 A JP 2007179347A JP 2007179347 A JP2007179347 A JP 2007179347A JP 2007281516 A JP2007281516 A JP 2007281516A
Authority
JP
Japan
Prior art keywords
layer
wiring
dielectric constant
sioc
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007179347A
Other languages
Japanese (ja)
Other versions
JP4882893B2 (en
Inventor
Tamotsu Owada
保 大和田
Shunichi Fukuyama
俊一 福山
Hirofumi Wataya
宏文 綿谷
Yasutake Inoue
健剛 井上
Atsuo Shimizu
敦男 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007179347A priority Critical patent/JP4882893B2/en
Publication of JP2007281516A publication Critical patent/JP2007281516A/en
Application granted granted Critical
Publication of JP4882893B2 publication Critical patent/JP4882893B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To prevent film peel-off and cracks in an interlayer insulating layer of a multilayer wiring. <P>SOLUTION: A method for manufacturing a semiconductor device includes a step of preparing an underlying structure having a silicon carbide layer covering a copper wiring, a step of treating the surface of the silicon carbide layer of the underlying structure with a plasma of weak oxidizing gas which has a molecular weight larger than that of O<SB>2</SB>and contains oxygen, to bring the surface more hydrophilic, and a step of forming a low permittivity insulating layer with relative permittivity smaller than that of silicon oxide on the surface of the silicon carbide layer which is brought to be more hydrophilic. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関し、特に多層配線を有する半導体集積回路に用いるのに適した低誘電率絶縁体を用いた半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device using a low dielectric constant insulator suitable for use in a semiconductor integrated circuit having multilayer wiring.

半導体集積回路装置の高集積化に伴い、集積回路装置の配線規模は世代ごとに増加する傾向にある。配線規模の増加と共に、配線層の層数も増加し、多層配線が採用される。高集積化により、半導体集積回路装置における配線間隔は狭くなる。   As semiconductor integrated circuit devices become highly integrated, the wiring scale of integrated circuit devices tends to increase with each generation. As the wiring scale increases, the number of wiring layers also increases, and multilayer wiring is adopted. With higher integration, the wiring interval in the semiconductor integrated circuit device becomes narrower.

配線間隔は、下層配線で最も狭く、上層に向うに従い広がる傾向を持つ。下層配線は信号を伝播する配線が多く、上層配線は電源配線が多くなる。これらの特性の差から多層配線に要求される条件は、全て同一ではない。   The wiring interval is the narrowest in the lower layer wiring and tends to increase as it goes to the upper layer. The lower layer wiring has many wires that propagate signals, and the upper layer wiring has more power wires. The conditions required for the multilayer wiring are not all the same because of these characteristic differences.

配線における信号の伝播速度は、配線抵抗と配線間の寄生容量に支配される。高速動作のためには、配線の抵抗を低減し、配線の寄生容量を低減することが望まれる。
配線の低抵抗化のため、Al配線に代え、Cu配線が用いられるようになった。しかし、Cuより低い抵抗率を持つ配線材料を用いることは困難である。配線の低抵抗化が限界に近づくと、高速動作化のためには配線の寄生容量を減少させることが必要となる。なお、Cu配線を用いた場合、Cuの酸化防止、拡散防止等のため、Cu配線を覆って、SiNや、SiC等の拡散防止膜が用いられる。
The signal propagation speed in the wiring is governed by the wiring resistance and the parasitic capacitance between the wirings. For high-speed operation, it is desired to reduce the wiring resistance and the wiring parasitic capacitance.
In order to reduce the resistance of wiring, Cu wiring has been used instead of Al wiring. However, it is difficult to use a wiring material having a lower resistivity than Cu. As the resistance of wiring approaches the limit, it is necessary to reduce the parasitic capacitance of the wiring in order to increase the operation speed. When a Cu wiring is used, a diffusion prevention film such as SiN or SiC is used to cover the Cu wiring in order to prevent Cu oxidation and diffusion.

配線間隔が狭くなると、同じ配線厚では配線間の寄生容量は増大する。配線間隔が1μm以上のデバイスにおいては、寄生容量によるデバイス動作速度への影響は少なかった。配線間隔が0.5μm以下では、寄生容量によるデバイス動作速度への影響が大きくなる。今後配線間隔が0.2μm以下になると寄生容量がデバイス動作速度に大きく影響することが予想される。   When the wiring interval is narrowed, the parasitic capacitance between the wirings increases with the same wiring thickness. In a device having a wiring interval of 1 μm or more, the influence of the parasitic capacitance on the device operation speed was small. When the wiring interval is 0.5 μm or less, the influence of the parasitic capacitance on the device operation speed becomes large. In the future, when the wiring interval is 0.2 μm or less, the parasitic capacitance is expected to greatly affect the device operation speed.

配線厚を薄くして、配線が対向する面積を小さくすれば、配線間の寄生容量は低減できる。しかし、配線厚を薄くすると、配線抵抗の上昇を招き、全体として高速化には繋がらない。   If the wiring thickness is reduced and the area facing the wiring is reduced, the parasitic capacitance between the wirings can be reduced. However, if the wiring thickness is reduced, the wiring resistance increases, and the overall speed is not increased.

配線の寄生容量を低減するためには、配線間の絶縁層の低誘電率化が最も有効な手段となる。比誘電率約4.1の酸化シリコン(USG)や、Pドープ酸化シリコン(PSG)、B、Pドープの酸化シリコン(BPSG)に代って、より低い比誘電率を有する絶縁材料が用いられるようになった。   In order to reduce the parasitic capacitance of the wiring, the most effective means is to lower the dielectric constant of the insulating layer between the wirings. An insulating material having a lower relative dielectric constant is used instead of silicon oxide (USG) having a relative dielectric constant of about 4.1, P-doped silicon oxide (PSG), B, or P-doped silicon oxide (BPSG). It became so.

極めて低い比誘電率を有する有機絶縁物(登録商標SiLK、登録商標FLARE等)や、多孔性酸化シリコン等の多孔性材料が用いられるようにもなった。これらの材料は、酸化シリコンと較べて大きく異なる物性を有し、多層配線の全層間絶縁層に用いることは強度、信頼性等の点から困難である。そこで、これらの材料は、主に下層配線に用いられている。   Porous materials such as organic insulators (registered trademark SiLK, registered trademark FLARE, etc.) having a very low dielectric constant and porous silicon oxide have come to be used. These materials have properties that are significantly different from those of silicon oxide, and it is difficult to use them for all interlayer insulating layers of multilayer wiring from the viewpoints of strength and reliability. Therefore, these materials are mainly used for lower layer wiring.

低比誘電率の他の絶縁材料としてシリコンオキシカーバイド(SiOC)が注目されている。登録商標CORALと呼ばれるノベラス(Novellus)社より入手可能な気相成長シリコンオキシカーバイドは、ソースガスとしてテトラメチルシクロテトラシロキサン(TMCTS)、酸素(O2)、炭酸ガス(CO2)を用い、流量TMCTS:O2:CO2=5:250:5000(ml/min、sccm)、ガス圧4torr、HF(13.56MHz)電力600W、LF(1MHz以下)電力400Wでプラズマ促進CVDを行うことにより堆積速度約1000〜1200nm/minで形成される。 Silicon oxycarbide (SiOC) has attracted attention as another insulating material having a low dielectric constant. Vapor growth silicon oxycarbide available from Novellus, called the registered trademark CORAL, uses tetramethylcyclotetrasiloxane (TMCTS), oxygen (O 2 ), carbon dioxide (CO 2 ) as a source gas, and has a flow rate. Deposited by performing plasma enhanced CVD with TMCTS: O 2 : CO 2 = 5: 250: 5000 (ml / min, sccm), gas pressure 4 torr, HF (13.56 MHz) power 600 W, LF (1 MHz or less) power 400 W It is formed at a speed of about 1000 to 1200 nm / min.

このCORALと呼ばれる絶縁材料は、Si−O−Cを主骨格とし、比誘電率約2.9であり、酸化シリコンよりも大幅に低い比誘電率を有する。多層配線用の層間絶縁層材料として有望な絶縁材料である。   This insulating material called CORAL has Si—O—C as a main skeleton, has a relative dielectric constant of about 2.9, and has a dielectric constant much lower than that of silicon oxide. It is a promising insulating material as an interlayer insulating layer material for multilayer wiring.

シリコンオキシカーバイド層をハードマスク層の一部に用い、その後低い誘電率を有する層間絶縁膜の一部として、そのまま残す提案もある(特許文献1)。
低比誘電率材料は、一般的に、たとえばCuの拡散防止膜として形成された下地との密着性が低い。密着性が低い層間絶縁膜を用い、配線層数を増加すると、下地層との界面で膜剥がれが生じる。
There is also a proposal that uses a silicon oxycarbide layer as a part of a hard mask layer and then leaves it as a part of an interlayer insulating film having a low dielectric constant (Patent Document 1).
A low relative dielectric constant material generally has low adhesion to a base formed, for example, as a Cu diffusion prevention film. When an interlayer insulating film with low adhesion is used and the number of wiring layers is increased, film peeling occurs at the interface with the base layer.

多層配線においては、異なる熱膨張係数を有する複数の低誘電率絶縁層の積層を用いることが望まれる。低比誘電率の材料は、一般的に密度が低く、機械的強度が低い傾向を有する。絶縁層間での熱膨張係数のミスマッチが存在すると、界面で大きなストレスが発生し、低比誘電率の絶縁層にクラックが生じる。   In multilayer wiring, it is desirable to use a stack of a plurality of low dielectric constant insulating layers having different thermal expansion coefficients. Low dielectric constant materials generally tend to have low density and low mechanical strength. If there is a mismatch in the thermal expansion coefficient between the insulating layers, a large stress is generated at the interface, and a crack occurs in the insulating layer having a low relative dielectric constant.

特開2003−218109号公報JP 2003-218109 A

本発明の目的は、低誘電率の絶縁材料を用いた、信頼性の高い半導体装置の製造方法を提供することである。   An object of the present invention is to provide a method for manufacturing a highly reliable semiconductor device using an insulating material having a low dielectric constant.

本発明の1観点によれば、半導体基板と、半導体基板上方に形成された銅配線と、銅配線を覆うシリコンカーバイド層とを有する下地構造を準備する工程と、前記下地構造のシリコンカーバイド層表面を、O2より分子量が大きく、酸素を含む弱酸化性ガスのプラズマで親水化処理する工程と、親水化処理したシリコンカーバイド層表面上に、酸化シリコンより比誘電率の小さい低誘電率絶縁層を形成する工程と、を含む半導体装置の製造方法が提供される。 According to one aspect of the present invention, a step of preparing a base structure having a semiconductor substrate, a copper wiring formed above the semiconductor substrate, and a silicon carbide layer covering the copper wiring, and a silicon carbide layer surface of the base structure the, O 2 larger molecular weight than the steps of the hydrophilic treatment by plasma of weak oxidizing gas containing oxygen, on the silicon carbide layer surface treated hydrophilic, small relative dielectric constant than silicon oxide low dielectric constant insulating layer And a method for manufacturing a semiconductor device.

密着性を改善することができる。
信頼性高く,高性能の半導体装置を製造することができる。
Adhesion can be improved.
A highly reliable and high performance semiconductor device can be manufactured.

以下、図面を参照して、本発明者等の行った実験とその結果、本発明の実施例を説明する。
ノベラス(Novellus)社より入手可能である気相成長のシリコンオキシカーバイド(登録商標CORAL)膜は、比誘電率が約2.9と低いが、SiC層等との密着性が弱く、硬度、弾性定数等の物理的強度が不足する傾向がある。
Hereinafter, examples of the present invention will be described with reference to the drawings and experiments conducted by the present inventors.
Vapor-grown silicon oxycarbide (registered trademark CORAL) film, available from Novellus, has a low dielectric constant of about 2.9, but has low adhesion to SiC layers, etc., hardness, elasticity There is a tendency for physical strength such as constants to be insufficient.

本発明者等は、新たなCVDの条件を開発することにより、気相成長するシリコンオキシカーバイド膜の密着性を向上させ、物理的強度を増加させる試みを行った。以下、従来の気相成長シリコンオキシカーバイド膜をCORALと呼び、本発明者等が開発した気相成長シリコンオキシカーバイド膜をTORAL乃至新SiOCと呼ぶ。TORAL、新SiOCもSi−O−Cを主骨格とすると考えられる。   The inventors of the present invention have attempted to improve the physical strength by improving the adhesion of the silicon oxycarbide film that is vapor-phase grown by developing new CVD conditions. Hereinafter, the conventional vapor-grown silicon oxycarbide film is referred to as CORAL, and the vapor-grown silicon oxycarbide film developed by the present inventors is referred to as TORAL or new SiOC. TORAL and new SiOC are also considered to have Si—O—C as the main skeleton.

図1(A)は、CORAL膜とTORAL膜との成長パラメータを示す表である。CORALは、ソースガスとして前述のようにTMCTSを5ml/min、O2ガスを250sccm、CO2ガスを5000sccm流し、圧力4torrの下、HF電力600W、LF電力400Wで成長する。 FIG. 1A is a table showing growth parameters of the CORAL film and the TORAL film. As described above, CORAL grows at a flow rate of HF power of 600 W and LF power of 400 W under a pressure of 4 torr by flowing TMCTS as a source gas at a flow rate of 5 ml / min, O 2 gas at 250 sccm, and CO 2 gas at 5000 sccm.

本発明者等は、堆積速度を減少させることにより物理的強度を向上させることができないかを試みた。堆積速度を減少させるため、ソースガスであるTMCTSの流量を1ml/minと1/5に減少させ、HF電力を300W、LF電力を200Wと半減させた。堆積膜の特性を調整するため、O2ガスの流量をCORALより減少させ,0〜200sccmの範囲で変化させた。O2流量は、0、50、80、100、120、150、200(sccm)に設定した。 The inventors have tried to improve physical strength by reducing the deposition rate. In order to reduce the deposition rate, the flow rate of TMCTS, which is the source gas, was reduced to 1/5 / min, 1/5, the HF power was reduced to 300 W, and the LF power was reduced to 200 W. In order to adjust the characteristics of the deposited film, the flow rate of O 2 gas was decreased from CORAL and varied in the range of 0 to 200 sccm. The O 2 flow rate was set to 0, 50, 80, 100, 120, 150, 200 (sccm).

TORALの堆積速度は、300〜350nm/minとCORALの堆積速度と較べ、約1/4〜1/3に減少した。TORALの密度は、約1.6〜1.7と、CORALの密度約1.4に較べ、明確に増大した。   The TORAL deposition rate was 300 to 350 nm / min, which was about 1/4 to 1/3 of the CORAL deposition rate. The density of TORAL was about 1.6 to 1.7, clearly increased compared to the density of CORAL of about 1.4.

図1(B)、(C)は、このように堆積したTORAL膜の物理定数を示すグラフである。
図1(B)は、酸素流量に対する硬度(hardness)及び弾性定数(modulus)の変化を示すグラフである。図中横軸が酸素流量を単位sccmで示し、縦軸が硬度を単位GPaで、弾性定数を単位GPaで示す。実線で結んだ測定点が硬度のデータであり、破線で結んだ測定点が弾性定数のデータである。なお、白丸は比較のためのCORALの硬度及び弾性定数を示す。CORALに対しては、横軸は意味を有さない(酸素流量は250sccmで固定)。
FIGS. 1B and 1C are graphs showing physical constants of the TORAL film deposited in this way.
FIG. 1B is a graph showing changes in hardness (hardness) and elastic constant (modulus) with respect to the oxygen flow rate. In the figure, the horizontal axis indicates the oxygen flow rate in units of sccm, the vertical axis indicates the hardness in units of GPa, and the elastic constant in units of GPa. Measurement points connected by a solid line are hardness data, and measurement points connected by a broken line are elastic constant data. White circles indicate the hardness and elastic constant of CORAL for comparison. For CORAL, the horizontal axis has no meaning (the oxygen flow rate is fixed at 250 sccm).

図から明らかなように、O2流量100,120(sccm)で若干の変化があるが、ほぼO2流量によらず、一定の硬度、弾性定数を示している。CORALの硬度約2GPaに対し、TORALの硬度は約3GPaに増大している。弾性定数も、CORALの約13GPaに対し、TORALでは約20GPaに増大している。このように、TORALは、CORALに対し、大幅に物理的強度が増大している。従って、クラックの発生が減少することが期待される。ソースガスであるTMCTSおよび酸素の減量が、堆積速度の減少に有効であり、物理的強度の向上に有効であると考えられる。 As is apparent from the figure, there are slight changes with the O 2 flow rate of 100 and 120 (sccm), but a constant hardness and elastic constant are shown almost independently of the O 2 flow rate. The hardness of TORAL is increased to about 3 GPa while the hardness of CORAL is about 2 GPa. The elastic constant is also increased to about 20 GPa in TORAL as compared to about 13 GPa in CORAL. Thus, TORAL has a significantly increased physical strength compared to CORAL. Therefore, it is expected that the occurrence of cracks is reduced. It is considered that the reduction of the source gas TMCTS and oxygen is effective in reducing the deposition rate and effective in improving the physical strength.

物理的強度の酸素流量依存性は少ない。物理的強度の観点からは、酸素流量はいくら減じてもよいと考えられる。
図1(C)は、酸素流量の変化に対する比誘電率の変化を示すグラフである。横軸が酸素流量をsccmで示し、縦軸が比誘電率を示す。図から明らかなように、酸素流量を減少させるに従って、比誘電率は減少している。酸素流量100sccmより上で、特に150sccmより上で、比誘電率の増加が著しい。比誘電率を低く抑えるためには酸素流量は150sccm(COガスの流量の3%)以下、特に100sccm(COガスの流量の2%)以下とするのが好ましい。
The physical strength is less dependent on the oxygen flow rate. From the viewpoint of physical strength, it is considered that the oxygen flow rate can be reduced as much as possible.
FIG. 1C is a graph showing a change in relative dielectric constant with respect to a change in oxygen flow rate. The horizontal axis represents the oxygen flow rate in sccm, and the vertical axis represents the relative dielectric constant. As is clear from the figure, the relative permittivity decreases as the oxygen flow rate decreases. The increase in the dielectric constant is significant above the oxygen flow rate of 100 sccm, especially above 150 sccm. In order to keep the dielectric constant low, the oxygen flow rate is preferably 150 sccm (3% of the flow rate of CO 2 gas) or less, particularly preferably 100 sccm (2% of the flow rate of CO 2 gas) or less.

現在開発中のデバイスにおいて、容量設計値を満足するためには、比誘電率が3.1以下である必要がある。この条件を満たすTORALは、酸素流量50sccm及び酸素流量0のサンプルである。比誘電率を約3.1以下とするには、酸素流量を50sccm(COガスの流量の1%)以下とするのが好ましい。これらのサンプルの比誘電率は、CORALの比誘電率約2.9とほぼ同等又は若干の上昇である。硬度及び弾性定数は、図1(B)に示したように、CORALの値と較べ大幅な増加が得られる。 In a device currently under development, in order to satisfy the capacity design value, the relative dielectric constant needs to be 3.1 or less. TORAL satisfying this condition is a sample having an oxygen flow rate of 50 sccm and an oxygen flow rate of 0. In order to set the relative dielectric constant to about 3.1 or less, it is preferable to set the oxygen flow rate to 50 sccm (1% of the flow rate of CO 2 gas) or less. The relative dielectric constant of these samples is approximately equal to or slightly higher than the relative dielectric constant of CORAL of about 2.9. As shown in FIG. 1B, the hardness and the elastic constant can be significantly increased as compared with the value of CORAL.

図2(A)は、ラザフォード後方散乱等で調べた膜の組成を示す。測定誤差は、±2%近くある。平均化によって精度を上げても±1%の誤差はあろう。TORALのサンプルは、酸素流量0、50、150(sccm)のものを調べた。比較のため、CORAL及びノベラス社から入手可能なシリコンカーバイド(SiC)であるESL3も測定した。気相成長したシリコンカーバイド膜は、Si、Cの他、かなりの量のH及びOを含んでいる。シリコンカーバイドであるので、主骨格はSi−Cであり、HやOはその外側に何らかの形態で結合しているのであろう。   FIG. 2A shows the composition of the film examined by Rutherford backscattering or the like. The measurement error is close to ± 2%. Even if accuracy is increased by averaging, there will be an error of ± 1%. TORAL samples were examined with oxygen flow rates of 0, 50 and 150 (sccm). For comparison, ESL3, silicon carbide (SiC) available from CORAL and Novellus, was also measured. Vapor-grown silicon carbide films contain a considerable amount of H and O in addition to Si and C. Since it is silicon carbide, the main skeleton is Si-C, and H and O will be bonded to the outside in some form.

シリコンオキシカーバイド膜であるCORALは、ESL3に対し、酸素の組成が明らかに増大し、他の成分は減少している。シリコンオキシカーバイドは、Si−O−Cの主骨格を有すると考えられる。なお、シリコンカーバイド(ESL3)はSi,O,Cをそれぞれ約20%含んでいてもSi−O−C骨格は実質的には有さないであろう。TORALの組成は、CORALの組成と較べると、Siが増加し、Oが少なくとも若干増加し、Hは減少している。酸素流量50sccm以下のTORALにおいては、CORALと較べ、炭素(C)が約2at%以上多く、水素(H)が約12at%少ない。   CORAL, which is a silicon oxycarbide film, has a clear increase in oxygen composition and a decrease in other components compared to ESL3. Silicon oxycarbide is considered to have a main skeleton of Si—O—C. Even if silicon carbide (ESL3) contains about 20% of Si, O, and C, respectively, the Si—O—C skeleton will be substantially absent. The composition of TORAL increases Si, O increases at least slightly, and H decreases compared to the composition of CORAL. In TORAL having an oxygen flow rate of 50 sccm or less, carbon (C) is more than about 2 at% and hydrogen (H) is about 12 at% less than CORAL.

カーボン(C)の組成は、TORALにおいては、酸素流量の減少と共に増加している。C含有量の増加は、CO2の影響が増加していると考えることもできよう。比誘電率約3.1以下を実現するためには酸素流量を50sccm以下にすることが望まれることと併せて考えれば、カーボン(C)の組成は約18at%以上(または17at%以上)が好ましい。本実験結果によれば、C組成は約18〜21at%(17−22at%)が好ましい。C組成が多いほど比誘電率が小さくなることが期待されるが、SiよりCが明らかに多い状態は、物性上問題が生じ得ると考えられ、約25at%以下(26at%以下)が好ましいであろう。 The composition of carbon (C) increases with decreasing oxygen flow rate in TORAL. It can be considered that the increase in the C content increases the influence of CO 2 . Considering that it is desired that the oxygen flow rate be 50 sccm or less in order to realize a relative dielectric constant of about 3.1 or less, the composition of carbon (C) is about 18 at% or more (or 17 at% or more). preferable. According to the results of this experiment, the C composition is preferably about 18 to 21 at% (17 to 22 at%). Although the relative permittivity is expected to decrease as the C composition increases, a state where the C content is clearly higher than that of Si is considered to cause a problem in physical properties, and is preferably about 25 at% or less (26 at% or less). I will.

水素(H)の組成は、約20at%以下(21at%以下)であり、CORALの約32at%と較べて大幅に少ない。水素は、シリコンオキシカーバイドにとって必要な元素ではなく、原料としてTMCTSを用いるために付随的に入ってしまう成分である。さらに、水素はSiやCやOのボンドをターミネートして、クロスリンクを減少させる機能を有すると考えられる。従って水素の組成は少ないほど好ましいと考えられる。酸素を150sccm流しても、水素組成は約11at%存在するので、酸素流量を50sccm以下にした時、水素組成を約11at%以下にすることは困難であろう。   The composition of hydrogen (H) is about 20 at% or less (21 at% or less), which is much less than about 32 at% of CORAL. Hydrogen is not an element necessary for silicon oxycarbide, but is a component that enters incidentally in order to use TMCTS as a raw material. Furthermore, it is considered that hydrogen has a function of terminating cross bonds by terminating bonds of Si, C, and O. Therefore, it is considered that the smaller the hydrogen composition, the better. Even if oxygen is flowed at 150 sccm, the hydrogen composition exists at about 11 at%. Therefore, when the oxygen flow rate is set at 50 sccm or less, it will be difficult to reduce the hydrogen composition to about 11 at% or less.

図2(B)は、CORAL膜とTORAL膜の赤外吸収スペクトルを示す。横軸が波数をcm-1で示し、縦軸が吸収を示す。波数2200〜2300cm-1付近のSi−Hに起因すると考えられる吸収が、CORALでは明らかに認められるのに対し、TORALではほとんど認められない程度まで減少している。波数3000cm−1付近のC−Hに起因する吸収は、CORALと較べて、TORALにおいて減少している。Si−H、C−Hが減少することは、ターミネートされたボンドの減少、架橋密度(クロスリンク)の増加を示していると考えられる。クロスリンクが増大すれば、硬度、弾性定数などの物理的強度が増加することが期待される。組成に於ける、Cの増加、Hの減少はこの期待に符合する。 FIG. 2B shows infrared absorption spectra of the CORAL film and the TORAL film. The horizontal axis indicates the wave number in cm −1 and the vertical axis indicates the absorption. Absorption, which is considered to be caused by Si-H in the vicinity of a wave number of 2200 to 2300 cm −1 , is clearly observed in CORAL, but is reduced to a level that is hardly recognized in TORAL. Absorption caused by C—H near a wave number of 3000 cm −1 is reduced in TORAL compared to CORAL. A decrease in Si—H and C—H is considered to indicate a decrease in terminated bonds and an increase in crosslink density. If the cross link increases, it is expected that physical strength such as hardness and elastic constant will increase. An increase in C and a decrease in H in composition meet this expectation.

銅の拡散防止膜として用いられるSiCは、厳密には親水性であるが、撥水性(疎水性)に近い表面を有する。シリコンオキシカーバイド膜をシリコンカーバイド膜で挟んだSiC/SiOC/SiC構造を作成し、セバスチャン試験器でスタッドプル(stud−pull)試験を行なった。   SiC used as a copper diffusion preventing film is strictly hydrophilic but has a surface close to water repellency (hydrophobicity). A SiC / SiOC / SiC structure in which a silicon oxycarbide film was sandwiched between silicon carbide films was prepared, and a stud-pull test was performed using a Sebastian tester.

図3は、スタッドプル試験の結果を示すグラフである。縦軸はスタッドプル試験の強度をMPaで示す。サンプルcは、従来のCORALをSiC層で挟んだサンプルである。サンプルs1は、CORALに代え、TORALをSiC層で挟んだサンプルである。密着力は明らかに向上していることが分る。又、CORALのサンプルでは、下地のSiC/CORAL界面での剥離が多いのに対し、TORALのサンプルでは界面の剥離はなかった。   FIG. 3 is a graph showing the results of the stud pull test. The vertical axis shows the strength of the stud pull test in MPa. Sample c is a sample in which a conventional CORAL is sandwiched between SiC layers. Sample s1 is a sample in which TORAL is sandwiched between SiC layers instead of CORAL. It can be seen that the adhesion is clearly improved. Further, in the CORAL sample, peeling at the underlying SiC / CORAL interface was much, whereas in the TORAL sample, there was no peeling at the interface.

サンプルs2は、下側SiC層の上にHF電力90WでTORAL層50nmを形成し、その上に従来のCORAL層を形成し、その上にSiC層を形成したサンプルである。この場合、密着性は必ずしも向上しているとは言えない。   Sample s2 is a sample in which a TORAL layer of 50 nm is formed on the lower SiC layer with an HF power of 90 W, a conventional CORAL layer is formed thereon, and a SiC layer is formed thereon. In this case, it cannot be said that the adhesion is necessarily improved.

サンプルs3は、サンプルs2と同様の構成であるが、TORAL層の成膜時のHF電力を90Wから200Wに増加した場合を示す。密着性がかなり向上し、従来のCORALと同等以上の密着性を有すると言える。密着性の向上には、TORAL成膜時のHF電力の増加が好ましいようである。   Sample s3 has the same configuration as sample s2, but shows a case where the HF power during the formation of the TORAL layer is increased from 90 W to 200 W. It can be said that the adhesiveness is considerably improved and the adhesiveness is equal to or higher than that of the conventional CORAL. To improve the adhesion, it seems preferable to increase the HF power during TORAL film formation.

SiC層は撥水性に近い表面を有する。この撥水性に近い表面上にシリコンオキシカーバイド膜を形成した場合、密着性が低下することが考えられる。SiC層の表面をより親水性に変換できれば,密着性が向上するであろう。CO2プラズマで弱く酸化することにより、表面をより親水性にすることを試みた。CO2プラズマ処理は、マイクロ波励起のプ
ラズマのダウンフロー処理で行い、CO2流量5000sccm、圧力4torr、RF電力100(90〜200)W、処理時間5秒で行った。
The SiC layer has a surface close to water repellency. When a silicon oxycarbide film is formed on the surface close to water repellency, the adhesion may be lowered. Adhesion will improve if the surface of the SiC layer can be converted to more hydrophilic. Attempts were made to make the surface more hydrophilic by weakly oxidizing with CO 2 plasma. The CO 2 plasma treatment was performed by a microwave-excited plasma down flow treatment, with a CO 2 flow rate of 5000 sccm, a pressure of 4 torr, an RF power of 100 (90 to 200) W, and a treatment time of 5 seconds.

図3におけるサンプルs4は、下側SiC層の表面をCO2プラズマで処理した(表面をより親水性に変換した)後、CORAL層を堆積し、その上にSiC層を堆積したサンプルである。ほぼ70MPa以上の強度が得られ、密着性は明らかに向上していると言える。この場合も、SiC/CORAL界面での剥離はなかった。 Sample s4 in FIG. 3 is a sample in which the surface of the lower SiC layer was treated with CO 2 plasma (the surface was converted to more hydrophilic property), and then the CORAL layer was deposited and the SiC layer was deposited thereon. It can be said that the strength of about 70 MPa or more is obtained and the adhesion is clearly improved. Again, there was no delamination at the SiC / CORAL interface.

図3の結果では、SiC表面をCO2プラズマで親水性処理した場合が、最も密着性が向上している。これは、下地であるSiC表面がより親水性に変化したためと考えられる。 In the results of FIG. 3, the adhesion is most improved when the SiC surface is hydrophilically treated with CO 2 plasma. This is presumably because the surface of the underlying SiC has changed more hydrophilic.

CO2プラズマに代え、O2プラズマで処理した場合、密着性は逆に低下した。O2プラズマ処理の条件は、O2流量500sccm、圧力2torr、電力200W、時間2秒とした。 When treated with O 2 plasma instead of CO 2 plasma, the adhesion decreased. The O 2 plasma treatment conditions were an O 2 flow rate of 500 sccm, a pressure of 2 torr, a power of 200 W, and a time of 2 seconds.

CO2処理をしないサンプルにおいては、スタッドプル試験の結果が55MPaであったのに対し、CO2処理したサンプルのスタッドプル試験の結果の強度は70MPa以上と、明らかに密着性の向上を示した。SiC/CORAL界面での剥離がなかったのは前述の通りである。O2プラズマで処理したサンプルのスタッドプル試験の結果は45MPaと密着性は低下した。SiC/CORAL界面での剥離が主流であった。O2プラズマ処理においては、酸化が過度に生じ、表面の変質によりかえって密着性が劣化するものと考えられる。 In the sample not subjected to the CO 2 treatment, the result of the stud pull test was 55 MPa, whereas the strength of the result of the stud pull test of the CO 2 treated sample was 70 MPa or more, which clearly showed an improvement in adhesion. . As described above, there was no peeling at the SiC / CORAL interface. The result of the stud pull test of the sample treated with O 2 plasma was 45 MPa, and the adhesion decreased. Peeling at the SiC / CORAL interface was the mainstream. In the O 2 plasma treatment, it is considered that oxidation occurs excessively and the adhesiveness deteriorates due to surface alteration.

酸化過度にならないプラズマ処理を行うためには、上述のマイクロ波励起プラズマのダウンフロー処理等が好適であろう。
TORAL成膜時の酸素流量を、0〜50sccmと少量にした時、チャンバ内ソースガスは、O組成が減少して、相対的にCO組成が増大している。ソースガスのプラズマがCOプラズマに近づくとも考えられる。TORAL膜がSiC膜と高い密着性を示すことは、COプラズマ処理したSiC層とその上に成膜したCORAL膜とが高い密着性を示すことと、符合するとも考えられる。酸素を含まず、CO2を含むガスのプラズマ、またはCO2流量に対し、酸素流量を低く制限したガスのプラズマが良好な結果を生じている。
In order to perform plasma treatment that does not cause excessive oxidation, the above-described microwave-excited plasma downflow treatment or the like may be suitable.
When the oxygen flow rate during the TORAL film formation is set to a small amount of 0 to 50 sccm, the O 2 composition of the source gas in the chamber decreases and the CO 2 composition relatively increases. It is considered that the plasma of the source gas approaches the CO 2 plasma. It can be considered that the fact that the TORAL film exhibits high adhesion with the SiC film is consistent with the fact that the SiC layer treated with CO 2 plasma and the CORAL film formed thereon exhibit high adhesion. A plasma of gas that does not contain oxygen and contains CO 2 , or a plasma of gas in which the oxygen flow rate is limited to a low level with respect to the CO 2 flow rate gives good results.

これらの結果を考察すると、SiC等の撥水性に近い、または撥水性の表面を穏やかに酸化することが密着性向上に有効と考えられる。O2プラズマでは結果が悪く、CO2プラズマで結果が向上したことを考察すると、O2よりも分子量が大きく、酸素を含むガスの
プラズマで表面処理を行うことが好ましいと考えられる。CO2の他、NO2等のガスを用いることが考えられる。
Considering these results, it is considered effective to improve the adhesion by gently oxidizing the surface of the water repellent such as SiC or the like. Considering that the result is poor with O 2 plasma and that the result is improved with CO 2 plasma, it is considered preferable to perform the surface treatment with a plasma of a gas having a molecular weight higher than that of O 2 and containing oxygen. Other CO 2, it is conceivable to use a gas such as NO 2.

本発明者等は、さらにプラズマCVDの条件を選択することにより、物理的強度を備え、かつ比誘電率の低いシリコンオキシカーバイド膜を形成する条件を研究した。
図10は、使用したプラズマCVD装置の構成を概略的に示す。下電極50は、8インチウエハを6枚載置するサセプタを兼ねる。サセプタは、各ウエハを搬送する搬送機構を備えている。下電極50に対向するように、6つの上電極51a、51b、51c、51d、51e、51fが配置され、6組の平行平板電極を構成している。これらがステージS0−S5を構成する。
The present inventors further studied the conditions for forming a silicon oxycarbide film having physical strength and a low relative dielectric constant by selecting plasma CVD conditions.
FIG. 10 schematically shows the configuration of the plasma CVD apparatus used. The lower electrode 50 also serves as a susceptor on which six 8-inch wafers are placed. The susceptor includes a transfer mechanism that transfers each wafer. Six upper electrodes 51 a, 51 b, 51 c, 51 d, 51 e, 51 f are arranged so as to face the lower electrode 50, and constitute six sets of parallel plate electrodes. These constitute stages S0-S5.

各上電極は、高周波(HF)電源52a、52b...52fに接続されている。下電極50は、より低周波(LF)の高周波電源53に接続されている。上電極51は、供給ガスのシャワーヘッドを兼ねる。下電極50は、ヒータブロックを内臓する。全ステージに高周波電力を供給する時は、全高周波電力が6等分されて各ステージに供給される。ステージを選択して高周波電力を供給することもできる。下電極50と、上電極51との間に高周波電圧を印加することにより、所定のウエハの上に、プラズマを発生させることができる。   Each upper electrode is connected to a high frequency (HF) power supply 52a, 52b. . . 52f. The lower electrode 50 is connected to a lower frequency (LF) high frequency power supply 53. The upper electrode 51 also serves as a shower head for the supply gas. The lower electrode 50 includes a heater block. When supplying high frequency power to all stages, the total high frequency power is divided into six equal parts and supplied to each stage. A high frequency power can be supplied by selecting a stage. By applying a high frequency voltage between the lower electrode 50 and the upper electrode 51, plasma can be generated on a predetermined wafer.

搬入されたウエハは、先ず第1上電極51a下の第1ステージS0に配置され、処理を受ける。その後、第2ステージS1、第3ステージS2、第4ステージS3、第5ステージS4、第6ステージS5で順次処理を受け、その後外部へ搬出される。以下、各ステージで同一の処理を行ない、シリコンオキシカーバイド膜を作成した実験結果を示す。特に断らない限り、ガス供給量、高周波電力は、6ステージ分の総和である。   The loaded wafer is first placed on the first stage S0 under the first upper electrode 51a and subjected to processing. Thereafter, the second stage S1, the third stage S2, the fourth stage S3, the fifth stage S4, and the sixth stage S5 are sequentially subjected to processing, and then are carried out to the outside. Hereinafter, experimental results of performing the same process in each stage to create a silicon oxycarbide film are shown. Unless otherwise specified, the gas supply amount and high-frequency power are the sum of the six stages.

図11は、実験したサンプルの条件と得られた結果を示す。なお、膜厚の不均一性が大きかったサンプルの一部は除外している。ソースガスは、TMCTS:1ml/min、(O:0sccm)、CO5000sccmに固定した。チャンバ内圧力、上電極に印加する高周波電力HF、下電極に印加する高周波電力LFを変化させ、堆積速度及びウエハ内膜厚の不均一性、屈折率を測定した。膜厚の不均一性は、ウエハ内49点で膜厚測定を行い、平均値に対する最大値と最小値の差の1/2を%で表示した。複素屈折率(n+iκ)の二乗が誘電率と等しい関係を有する。屈折率nを、誘電率と密接な関連を有する物理定数として測定した。但し、屈折率nは光の周波数で測定しており、電気的信号の周波数で測定する誘電率とは周波数が大幅に異なる。 FIG. 11 shows the experimental sample conditions and the results obtained. Note that a part of the sample having a large film thickness non-uniformity is excluded. The source gas was fixed to TMCTS: 1 ml / min, (O 2 : 0 sccm), CO 2 5000 sccm. The deposition rate, the non-uniformity of the film thickness in the wafer, and the refractive index were measured by changing the pressure in the chamber, the high frequency power HF applied to the upper electrode, and the high frequency power LF applied to the lower electrode. The film thickness was measured at 49 points in the wafer, and 1/2 of the difference between the maximum value and the minimum value with respect to the average value was displayed as%. The square of the complex refractive index (n + iκ) is equal to the dielectric constant. Refractive index n was measured as a physical constant closely related to the dielectric constant. However, the refractive index n is measured at the frequency of light, and the frequency is significantly different from the dielectric constant measured at the frequency of the electrical signal.

サンプル1は、上述の酸素流量0のTORALに相当する。HF電力、LF電力を同一とし、チャンバ内圧力を徐々に低下させた結果がサンプル1〜4である。チャンバ内圧力を低下させると、堆積速度は徐々に低下している。圧力の低下と共に、ウエハ上に供給されるソースガスが減少することに対応していると考えられる。堆積速度の低下と共に、膜厚の不均一性は低下(均一性が向上)している。屈折率は、堆積速度の低下と共に徐々に増加している。   Sample 1 corresponds to the above-described TORAL with an oxygen flow rate of 0. Samples 1 to 4 are obtained by making the HF power and the LF power the same and gradually reducing the pressure in the chamber. When the pressure in the chamber is decreased, the deposition rate is gradually decreased. It is considered that this corresponds to a decrease in the source gas supplied onto the wafer as the pressure decreases. As the deposition rate decreases, the film thickness non-uniformity decreases (uniformity improves). The refractive index gradually increases with decreasing deposition rate.

膜厚の均一性が比較的よく、屈折率の増加が余り大きくなく、プラズマの安定性もよい圧力3.5torrのサンプル3に着目し、硬度、ヤング率、比誘電率を測定した。なお、前述の実験結果により、サンプル1の硬度は3、ヤング率は約20GPa、比誘電率は約3.0である。サンプル3の硬度は約4.0に増加し、ヤング率も23.6に増加している。比誘電率は約3.0を保っている。従って、サンプル3は、サンプル1と比べ物理的強度が増加し、比誘電率は同一の値を保つ優れた低誘電率絶縁層と言える。以下、この新規なSiOCを、SiOC−Aと呼ぶ。   The hardness, Young's modulus, and relative dielectric constant were measured by paying attention to sample 3 at a pressure of 3.5 torr, where the uniformity of the film thickness was relatively good, the refractive index did not increase much, and the plasma stability was good. According to the above experimental results, the hardness of sample 1 is 3, the Young's modulus is about 20 GPa, and the relative dielectric constant is about 3.0. The hardness of Sample 3 is increased to about 4.0, and the Young's modulus is also increased to 23.6. The relative dielectric constant is maintained at about 3.0. Therefore, it can be said that Sample 3 is an excellent low dielectric constant insulating layer having an increased physical strength as compared with Sample 1 and maintaining the same relative dielectric constant. Hereinafter, this novel SiOC is referred to as SiOC-A.

サンプル5〜9は、圧力を3.5torrに固定し、HF電力、LF電力を増加させた時の結果を示す。HF電力、LF電力を共に増加させていくと、サンプル6を例外として、堆積速度は徐々に増加している。膜厚の不均一、屈折率には一定の規則性は見出せないようである。プラズマの安定性等も考慮し、サンプル6と9の物性値を測定した。サンプル6は、硬度3.5、ヤング率24.7、比誘電率3.2を有する。サンプル9は、硬度4.4、ヤング率30.1、比誘電率3.3を有する。硬度及びヤング率の物理的強度は前述のTORALよりも優れているが、比誘電率が3.2以上となってしまう。低誘電率絶縁層としては用途が限られるであろう。単に高周波電力を増加させると、比誘電率が増加するであろうことが推察される。   Samples 5 to 9 show results when the pressure is fixed at 3.5 torr and the HF power and LF power are increased. When both HF power and LF power are increased, the deposition rate gradually increases with the exception of sample 6. It seems that there is no regularity in the film thickness nonuniformity and refractive index. The physical properties of Samples 6 and 9 were measured in consideration of plasma stability and the like. Sample 6 has a hardness of 3.5, a Young's modulus of 24.7, and a relative dielectric constant of 3.2. Sample 9 has a hardness of 4.4, a Young's modulus of 30.1, and a relative dielectric constant of 3.3. The physical strength of hardness and Young's modulus is superior to the above-mentioned TORAL, but the relative dielectric constant becomes 3.2 or more. The use as a low dielectric constant insulating layer will be limited. It is speculated that simply increasing the high frequency power will increase the dielectric constant.

サンプル10〜14は、チャンバ内圧力を3.5torr、下電極に与えるLF電力を200Wに固定し、上電極に与えるHF電力のみを400Wから600Wに増加させた結果を示す。サンプル10が例外的に高い堆積速度、低い膜厚不均一を示すが、サンプル11〜14は、HF電力の増加と共に徐々に増加する堆積速度を示している。膜厚の不均一はサンプル13、14において一段と低い。屈折率はHF電力の増加と共に低下する傾向が見られるようである。特にサンプル14において屈折率が低い。サンプル14の物理特性は、硬度3.6GPa、ヤング率23.4GPa、比誘電率3.2であった。硬度、ヤング率は高いが、比誘電率も高く、低誘電率絶縁層としての用途は限定される。   Samples 10 to 14 show the results of increasing the chamber internal pressure to 3.5 torr, fixing the LF power applied to the lower electrode to 200 W, and increasing only the HF power applied to the upper electrode from 400 W to 600 W. Sample 10 exhibits an exceptionally high deposition rate and low film thickness non-uniformity, while Samples 11-14 show a gradually increasing deposition rate with increasing HF power. The non-uniform film thickness is much lower in Samples 13 and 14. It appears that the refractive index tends to decrease with increasing HF power. In particular, the refractive index of sample 14 is low. The physical properties of Sample 14 were a hardness of 3.6 GPa, a Young's modulus of 23.4 GPa, and a relative dielectric constant of 3.2. Although its hardness and Young's modulus are high, its relative dielectric constant is also high, and its use as a low dielectric constant insulating layer is limited.

サンプル15〜18は、チャンバ内圧力を4.0torrに固定し、HF電力、LF電力を変化させた結果を示す。サンプル15は、サンプル1と同一条件であるが、堆積速度は若干高く、膜厚の不均一は減少している。同一条件のプロセス内のばらつきを示すと考えられる。サンプル16〜18は、上電極に与えるHF電力を600Wに固定し、下電極に与えるLF電力を0,200,400Wと変化させた結果である。サンプル16は、下電極に与えるLF電力を0とし、とした結果を示す。堆積速度が89と著しく減少している。サンプル16、17、18において、堆積速度がLF電力の増加と共に急激に増大し、膜厚の不均一は減少している。屈折率は堆積速度の増加と共に増大するようである。下電極に与えるLF電力が、成膜に大きな影響を与えることが判る。   Samples 15 to 18 show the results of changing the HF power and LF power while fixing the pressure in the chamber to 4.0 torr. Sample 15 has the same conditions as Sample 1, but the deposition rate is slightly higher and the film thickness non-uniformity is reduced. It is thought that it shows the dispersion | variation in the process of the same conditions. Samples 16 to 18 are the results of fixing the HF power applied to the upper electrode to 600 W and changing the LF power applied to the lower electrode to 0, 200, and 400 W. Sample 16 shows the results assuming that the LF power applied to the lower electrode is zero. The deposition rate is significantly reduced to 89. In Samples 16, 17, and 18, the deposition rate increases rapidly with increasing LF power, and the film thickness non-uniformity decreases. The refractive index appears to increase with increasing deposition rate. It can be seen that the LF power applied to the lower electrode has a great influence on the film formation.

サンプル19〜22は、チャンバ内圧力を4.5torrに増大した場合を示す。サンプル19〜21においては、下電極に与えるLF電力を200Wに固定し、上電極に与えるHF電力を600,800,900Wと増大させた場合を示す。上電極に与えるHF電力の増加と共に、堆積速度は増加している。サンプル20、21は、サンプル19と比べ膜厚の不均一がかなり減少している。屈折率は、サンプル19〜21においてサンプル1〜18よりも低い値を示している。膜厚の不均一も低く、プラズマの安定性にも優れたサンプル20の物性を測定すると、硬度2.0、ヤング率17.3、比誘電率2.85であった。硬度2.0はCORALとほぼ同一であるが、ヤング率17.3はCORALよりも優れ、比誘電率2.85はCORALよりも低い。比誘電率が低く、ヤング率も改善されるため、種々の用途において低誘電率絶縁層として利用可能であろう。以下この新規なSiOCをSiOC−Bと呼ぶ。   Samples 19 to 22 show the case where the pressure in the chamber is increased to 4.5 torr. Samples 19 to 21 show a case where the LF power applied to the lower electrode is fixed at 200 W and the HF power applied to the upper electrode is increased to 600, 800, 900 W. As the HF power applied to the upper electrode increases, the deposition rate increases. Samples 20 and 21 have significantly reduced non-uniform film thickness compared to sample 19. The refractive index of Samples 19 to 21 is lower than that of Samples 1 to 18. When the physical properties of Sample 20 with low non-uniform film thickness and excellent plasma stability were measured, the hardness was 2.0, the Young's modulus was 17.3, and the relative dielectric constant was 2.85. Hardness 2.0 is almost the same as CORAL, but Young's modulus 17.3 is better than CORAL and relative dielectric constant 2.85 is lower than CORAL. Since the relative dielectric constant is low and the Young's modulus is improved, it can be used as a low dielectric constant insulating layer in various applications. Hereinafter, this novel SiOC is referred to as SiOC-B.

サンプル22は、サンプル21と比較して下電極に与えるLF電力を100W増大した場合である。堆積速度が大きく増加し、膜厚の均一性はよいが、屈折率は増加している。
サンプル23、24は、チャンバ内圧力をさらに5.0torrと増加させ、下電極に与えるLF電力を200Wとした場合を示す。上電極に与えるHF電力は、1100W、1200Wとした。HF電力の増加と共に堆積速度は増加し、膜厚の不均一も増加するが、屈折率は徐々に低下している。
Sample 22 is a case where the LF power applied to the lower electrode is increased by 100 W compared to sample 21. The deposition rate is greatly increased and the film thickness is uniform, but the refractive index is increased.
Samples 23 and 24 show the case where the pressure in the chamber is further increased to 5.0 torr and the LF power applied to the lower electrode is 200 W. The HF power applied to the upper electrode was 1100 W and 1200 W. As the HF power increases, the deposition rate increases and the film thickness non-uniformity also increases, but the refractive index gradually decreases.

ソースガスとして、酸素を供給せず、TMCTSとCOを用い、比誘電率の低い絶縁層を形成できることが判明した。特に、サンプル3と20が比誘電率が低く、低誘電率絶縁層として優れている。但し、サンプル3においては、プラズマ状態が若干不安定であり、プラズマ消弧の際、流れているソースガスに起因すると見られるパーティクルがウエハ上に発生する。 It was found that an insulating layer having a low relative dielectric constant can be formed by using TMCTS and CO 2 without supplying oxygen as a source gas. In particular, Samples 3 and 20 have a low relative dielectric constant and are excellent as low dielectric constant insulating layers. However, in the sample 3, the plasma state is slightly unstable, and particles that appear to be caused by the flowing source gas are generated on the wafer when the plasma is extinguished.

そこで、プラズマCVD工程に続き、COガスのみを供給し、COプラズマを発生させ、プラズマCVDをCOプラズマ処理に切り換えることによって、CVDを終了させると共にCVD膜表面を軽く酸化する工程を行なった。COプラズマ発生条件は、CO流量5000sccm、圧力1torr、HF電力150Wである。サンプル3においては、直径20cmのウエハ上に0.1μmΦのパーティクルが数万個発生するが、プラズマ消弧時にCOプラズマを発生させることにより、0.1μmΦのパーティクル数は数100以下、良好な場合には100個程度まで減少させることができた。図10のプラズマCVD装置において、SiOC膜堆積を6段階に分けて行ったが、各ステージでSiOC膜堆積に続いてCOプラズマ処理を行なった。 Therefore, following the plasma CVD process, only CO 2 gas is supplied to generate CO 2 plasma, and the plasma CVD is switched to the CO 2 plasma treatment, thereby terminating the CVD and lightly oxidizing the CVD film surface. It was. The CO 2 plasma generation conditions are a CO 2 flow rate of 5000 sccm, a pressure of 1 torr, and an HF power of 150 W. In sample 3, tens of thousands of 0.1 μmΦ particles are generated on a wafer having a diameter of 20 cm. By generating CO 2 plasma during plasma extinction, the number of 0.1 μmΦ particles is several hundred or less, which is good. In some cases, the number could be reduced to about 100. In the plasma CVD apparatus of FIG. 10, although carried out by dividing the SiOC film deposited on six stages, was conducted CO 2 plasma treatment following the SiOC film deposited at each stage.

サンプル3をSiOC−Aとし、サンプル3と同一条件でプラズマ消弧時にCOプラズマを発生させたサンプルをSiOC−A;POXとし、サンプル20をSiOC‐Bとし、その組成を測定した。なお、組成の測定精度は±2at%近い誤差を有する。 Sample 3 was SiOC-A, a sample in which CO 2 plasma was generated at the time of plasma extinction under the same conditions as sample 3 was SiOC-A; POX, sample 20 was SiOC-B, and the composition was measured. The composition measurement accuracy has an error close to ± 2 at%.

図12は、SiOC−A、SiOC−A:POX、SiOC‐Bの組成をCORALの組成と共に示す表である。なお、比誘電率を併せて示す。SiOC−Aは、酸素流量0のTORALと同一の組成である。SiOC−Aに対してSiOC‐Bは、炭素、シリコンがそれぞれ3at%減少し、水素が7at%増加している。酸素流量を減少していくときに見られたTORALの炭素組成依存性とは異なる現象が生じているようである。SiOC−Aと較べて、圧力を高く、HF電力を大幅に高くしているため、異なる現象が生じる可能性は否定できない。CORALと較べると、圧力、HF電力を高く、LF電力を低くしている。   FIG. 12 is a table showing the compositions of SiOC-A, SiOC-A: POX, and SiOC-B together with the composition of CORAL. The relative dielectric constant is also shown. SiOC-A has the same composition as TORAL with an oxygen flow rate of 0. In contrast to SiOC-A, in SiOC-B, carbon and silicon are each reduced by 3 at%, and hydrogen is increased by 7 at%. It seems that a phenomenon different from the dependence of TORAL on the carbon composition observed when the oxygen flow rate is decreased appears. Since the pressure is higher and the HF power is significantly higher than that of SiOC-A, the possibility of different phenomena cannot be denied. Compared to CORAL, the pressure and HF power are higher and the LF power is lower.

SiOC−Aと比較した時、水素の増加によりクロスリンクが減少し、機械的強度が低下していると考えられる。但し、CORALと較べれば、水素組成は大幅に(約5at%)少なく、炭素組成は約2at%多い。炭素組成の測定値は17.8,17.9の値であった。測定誤差を考慮すると、約18at%以上(17at%以上)の前述の好ましい範囲に入っている。CORALの炭素組成より1at%以上多いといえる。機械的強度はCORALより高く、比誘電率は2.85とCORALよりも低い。   When compared with SiOC-A, it is considered that crosslinks are reduced due to an increase in hydrogen, and mechanical strength is reduced. However, compared to CORAL, the hydrogen composition is significantly (about 5 at%) less and the carbon composition is about 2 at% more. The measured values of the carbon composition were 17.8 and 17.9. Considering the measurement error, it is within the above-mentioned preferable range of about 18 at% or more (17 at% or more). It can be said that it is 1 at% or more higher than the carbon composition of CORAL. The mechanical strength is higher than CORAL, and the relative dielectric constant is 2.85, which is lower than CORAL.

水素組成は、約27at%でCORALの約32at%より明らかに低い。CORALより優れた機械的強度に対応すると考えられる。水素組成約27at%以下(28at%以下)で機械的強度に優れ、比誘電率が約3.1以下のシリコンオキシカーバイドが実現されている。水素組成は約29at%以下(30at%以下)であれば、CORALより機械的強度に優れ、比誘電率が約3.1以下の低誘電率シリコンオキシカーバイドが実現可能であろう。   The hydrogen composition is about 27 at% and is clearly lower than about 32 at% of CORAL. Corresponding to mechanical strength superior to CORAL. Silicon oxycarbide having a hydrogen composition of about 27 at% or less (28 at% or less) and excellent mechanical strength and a relative dielectric constant of about 3.1 or less has been realized. If the hydrogen composition is about 29 at% or less (30 at% or less), a low dielectric constant silicon oxycarbide having a mechanical strength superior to CORAL and a relative dielectric constant of about 3.1 or less can be realized.

SiOC−A:POXは、SiOC−Aの成長後に図10に示す6ステージの各々で2秒間のCOプラズマで表面処理を行ったものであり、組成はSiOC−Aとほぼ同一と考えられる。測定した組成は、SiOC−Aから大きな変化を示しているが、大気中に取り出した後に酸化した表面に水分等が吸着する影響があろう。比誘電率は増加してしまうが、ウエハ表面上のパーティクル数の著しい減少が得られる。 SiOC-A: POX is obtained by performing surface treatment with CO 2 plasma for 2 seconds in each of the six stages shown in FIG. 10 after the growth of SiOC-A, and the composition is considered to be almost the same as that of SiOC-A. Although the measured composition shows a large change from SiOC-A, it may have an effect of adsorbing moisture or the like on the oxidized surface after being taken out into the atmosphere. Although the dielectric constant increases, a significant reduction in the number of particles on the wafer surface is obtained.

図13は、SiOC−AとSiCO‐Bの赤外吸収を、参照(CORAL)と比較して示すスペクトルである。横軸は波数をcm−1で示し、縦軸は吸収強度を示す。参照Refに比べ、C‐Hの吸収を示す3000cm−1近傍の吸収が減少している。同様、1270cm−1付近のC‐Hの吸収も減少している。逆に、1360cm−1近傍のSi‐CH−Siの吸収は参照Refよりも増大している。この吸収スペクトルから参照Refと比べ、SiOC−A、SiOC−Bは、C‐Hボンドが減少し、クロスリンクが増加していることが分かる。クロスリンクの増加により、物理的強度が増大すると考えられる。 FIG. 13 is a spectrum showing the infrared absorption of SiOC-A and SiCO-B compared to the reference (CORAL). The horizontal axis represents the wave number in cm −1 and the vertical axis represents the absorption intensity. Compared to the reference Ref, the absorption near 3000 cm −1 indicating the absorption of CH is decreased. Similarly, the absorption of C—H near 1270 cm −1 also decreases. Conversely, the absorption of Si—CH 2 —Si near 1360 cm −1 is increased over the reference Ref. From this absorption spectrum, it can be seen that SiO bonds are reduced and cross links are increased in SiOC-A and SiOC-B as compared with reference Ref. It is considered that the physical strength increases due to an increase in cross links.

以上の実験結果に基づき、半導体装置の多層配線を形成する実施例を以下に説明する。図4(A)、(B)、図5(C)、(D)は、本発明の実施例による半導体集積回路装置の製造方法を説明する断面図である。   Based on the above experimental results, an embodiment for forming a multilayer wiring of a semiconductor device will be described below. 4A, 4B, 5C, and 5D are cross-sectional views illustrating a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.

図4(A)に示すように、シリコン基板100に素子分離領域、MOSトランジスタ等の素子構造等を形成した後、気相成長によりホスホシリケートガラス(PSG)層11を基板温度600℃で約1.5μm成膜する。化学機械研磨(CMP)によりPSG層11表面を平坦化した後、表面にレジスト層を形成し、電極取り出し用の開口を有するレジストパターンを作成する。レジストパターンをマスクにPSG層11をエッチングし、下層の接続領域を露出するビア孔を形成し、その後レジストパターンを除去する。例えばTi等のバリア層を成膜した後、W層をCVD等により成膜し、電極取り出し用ビア孔を埋め込む。PSG層11表面上に堆積したW層等をCMPで除去し、タングステンプラグ12を形成する。   As shown in FIG. 4A, after an element isolation region, an element structure such as a MOS transistor, etc. are formed on a silicon substrate 100, a phosphosilicate glass (PSG) layer 11 is formed at a substrate temperature of 600.degree. 5 μm film is formed. After planarizing the surface of the PSG layer 11 by chemical mechanical polishing (CMP), a resist layer is formed on the surface, and a resist pattern having an opening for electrode extraction is created. The PSG layer 11 is etched using the resist pattern as a mask to form a via hole that exposes the lower connection region, and then the resist pattern is removed. For example, after a barrier layer such as Ti is formed, a W layer is formed by CVD or the like, and the via hole for electrode extraction is embedded. The W layer and the like deposited on the surface of the PSG layer 11 are removed by CMP to form a tungsten plug 12.

タングステンプラグ12を覆うように、酸素遮蔽能を有するエッチストッパ層であるSiC層14を厚さ約70nm、ノベラス社の登録商標ESL3を用いて成膜する。次に、上述のTORALであるシリコンオキシカーバイド(SiOC)層15を厚さ550nm成膜する。SiC層14上に、密着性,物理的強度を向上したシリコンオキシカーバイド層15が形成される。   A SiC layer 14 that is an etch stopper layer having an oxygen shielding ability is formed to cover the tungsten plug 12 using a registered trademark ESL3 of about 70 nm in thickness by Novellus. Next, the above-described TORAL silicon oxycarbide (SiOC) layer 15 is formed to a thickness of 550 nm. A silicon oxycarbide layer 15 with improved adhesion and physical strength is formed on the SiC layer 14.

SiOC層15表面上に、ミドルストッパ層として機能するSiC層17をノベラス社の登録商標ESL2を用い、厚さ約30nm堆積し、その上に上述のTORALであるSiOC層18を厚さ約370nm成膜する。SiOC層18もSiC層17に対して密着性よく,向上した物理的強度を持って形成される。さらに表面にSiN膜等の反射防止膜ARC1等を形成する。   A SiC layer 17 functioning as a middle stopper layer is deposited on the surface of the SiOC layer 15 by using a registered trademark ESL2 manufactured by Novellus Co., Ltd., with a thickness of about 30 nm. Film. The SiOC layer 18 is also formed with improved physical strength and good adhesion to the SiC layer 17. Further, an antireflection film ARC1 such as a SiN film is formed on the surface.

反射防止膜ARC1の上に、ビア開口パターンを有するホトレジスト層PR1を形成する。このホトレジストパターンPR1をエッチングマスクとし、反射防止膜ARC1、SiOC層18、SiC層17、SiOC層15をエッチングする。   A photoresist layer PR1 having a via opening pattern is formed on the antireflection film ARC1. Using the photoresist pattern PR1 as an etching mask, the antireflection film ARC1, the SiOC layer 18, the SiC layer 17, and the SiOC layer 15 are etched.

図4(B)に示すように、ホトレジストパターンPR1を除去し、新たに配線パターン用開口を有するホトレジスト層PR2を形成する。なお、先に形成したビア孔には、詰物Fを充填する。詰物Fは、例えば感光性を除去したレジスト材料である。配線パターン用開口を有するホトレジスト層PR2をエッチングマスクとし、反射防止膜ARC1、SiOC層18をエッチングする。その後、ホトレジストパターンPR2、詰物Fを除去し、露出したSiC層17及び14を選択的にエッチングする。このようにして、デュアルダマシン用凹部が形成される。   As shown in FIG. 4B, the photoresist pattern PR1 is removed, and a photoresist layer PR2 having a new wiring pattern opening is formed. The previously formed via hole is filled with the filling F. The filling F is a resist material from which photosensitivity is removed, for example. Using the photoresist layer PR2 having the wiring pattern opening as an etching mask, the antireflection film ARC1 and the SiOC layer 18 are etched. Thereafter, the photoresist pattern PR2 and the filling F are removed, and the exposed SiC layers 17 and 14 are selectively etched. In this way, a dual damascene recess is formed.

図5(C)に示すように、デュアルダマシン用凹部表面上に、先ずスパッタリングで厚さ約30nmのTaNバリア層19a、厚さ約30nmのCuシード層19bを形成する。Cu層19bは、メッキ用のシード層となる。Cu層19bの表面上に、Cu層19cをメッキで成膜する。このようにして、デュアルダマシン用凹部をCu配線で埋め込む。SiOC層(反射防止膜ARC1を含む)上方に堆積した不要なCu層等をCMPにより除去する。この時、反射防止膜ARC1をストッパとして用いることもできる。CMPまたはエッチングにより反射防止膜ARC1も除去する。   As shown in FIG. 5C, a TaN barrier layer 19a having a thickness of about 30 nm and a Cu seed layer 19b having a thickness of about 30 nm are first formed on the concave surface for dual damascene by sputtering. The Cu layer 19b serves as a seed layer for plating. A Cu layer 19c is formed by plating on the surface of the Cu layer 19b. In this way, the dual damascene recess is filled with the Cu wiring. An unnecessary Cu layer or the like deposited above the SiOC layer (including the antireflection film ARC1) is removed by CMP. At this time, the antireflection film ARC1 can also be used as a stopper. The antireflection film ARC1 is also removed by CMP or etching.

図5(D)に示すように、形成したCu配線19を覆うように、厚さ約70nmのSiC層24を、ノベラス社登録商標ESL3を用いて形成する。このSiC層は、銅拡散防止膜の機能を有する。   As shown in FIG. 5D, an SiC layer 24 having a thickness of about 70 nm is formed using Novellus registered trademark ESL3 so as to cover the formed Cu wiring 19. This SiC layer has a function of a copper diffusion prevention film.

さらに、上層配線用の層間絶縁膜として、上述同様のTORALであるSiOC層25を厚さ約550nm形成し、その上にSiC層27を厚さ約30nm形成し、さらにTORALであるSiOC層28を厚さ約370nm形成する。SiOC層28表面上に反射防止膜ARC2を形成し、絶縁積層構造を完成する。図4(A)、(B)、図5(C)の工程と同様の工程を行うことにより、層間絶縁膜24、25、27、28に埋め込んだデュアルダマシン配線を形成する。   Further, as an interlayer insulating film for upper layer wiring, a SiOC layer 25 that is TORAL similar to the above is formed with a thickness of about 550 nm, a SiC layer 27 is formed thereon with a thickness of about 30 nm, and a SiOC layer 28 that is TORAL is further formed. A thickness of about 370 nm is formed. An antireflection film ARC2 is formed on the surface of the SiOC layer 28 to complete the insulating laminated structure. Dual damascene wirings embedded in the interlayer insulating films 24, 25, 27, and 28 are formed by performing the same processes as those shown in FIGS. 4A, 4B, and 5C.

必要に応じ、同様の工程を繰り返し、必要層数の配線層を形成する。さらに、酸化シリコン層を層間膜とし、その上にAlアルミパッドを形成する。このような構成により、例えば第2配線層として容量180fF/mmの配線を形成することができた。400℃、30分間の熱処理を5回繰り返し、膜剥がれの有無を測定した。膜剥がれは全く見られなかった。   If necessary, the same process is repeated to form the required number of wiring layers. Further, the silicon oxide layer is used as an interlayer film, and an Al aluminum pad is formed thereon. With such a configuration, for example, a wiring having a capacitance of 180 fF / mm could be formed as the second wiring layer. Heat treatment at 400 ° C. for 30 minutes was repeated 5 times, and the presence or absence of film peeling was measured. No film peeling was observed.

SiC層の上に、従来のシリコンオキシカーバイド膜(ノベラス社、登録商標CORAL)を形成した場合、同じ膜厚で形成した多層配線では、熱サイクル試験において下地SiC層とCORAL層界面で剥がれが見られた。   When a conventional silicon oxycarbide film (Novelas, registered trademark CORAL) is formed on the SiC layer, the multilayer wiring formed with the same film thickness shows peeling at the interface between the underlying SiC layer and the CORAL layer in the thermal cycle test. It was.

なお、他の新規なシリコンオキシカーバイドを用いることもできる。
変形例として、シリコンオキシカーバイド層15、25として、厚さ350nmのSiOC−A層を用い、シリコンオキシカーバード層18、28として、厚さ550nmのSiOC−A層を用いた。SiOC−Aの成膜条件は、TMCTS流量1ml/min、CO流量5000sccm、圧力3.5toor、HF電力300W,LF電力200Wである。この構成においても、400℃、30分間の熱処理を5回繰り返した結果、膜剥れは全くみられなかった。2層目配線層の容量は約180fF/mmであった。シリコンオキシカーバイド層をノベラス社のCORALで作成した場合、熱サイクル試験において下地SiC層との界面で剥れが生じた。
Other novel silicon oxycarbides can also be used.
As a modification, a SiOC-A layer having a thickness of 350 nm was used as the silicon oxycarbide layers 15 and 25, and a SiOC-A layer having a thickness of 550 nm was used as the silicon oxycarbide layers 18 and 28. The deposition conditions for SiOC-A are TMCTS flow rate 1 ml / min, CO 2 flow rate 5000 sccm, pressure 3.5 toor, HF power 300 W, and LF power 200 W. Even in this configuration, as a result of repeating the heat treatment at 400 ° C. for 30 minutes five times, no film peeling was observed. The capacity of the second wiring layer was about 180 fF / mm. When the silicon oxycarbide layer was formed by CORAL of Novellus, peeling occurred at the interface with the underlying SiC layer in the thermal cycle test.

SiOC−Aは、チャンバー内圧力が3.5torrと比較的低く、プラズマが不安定になりやすい。プラズマを消弧する際、パーティクルが発生しやすい。プラズマを消弧する際、ソースガスを停止し、COガスを供給して、COプラズマを2秒発生させた後、焼弧するとパーティクルが防止できる。比誘電率が若干増加する可能性があるが、パーティクルを防止した絶縁層が形成できる。 SiOC-A has a relatively low chamber internal pressure of 3.5 torr, and the plasma tends to become unstable. When the plasma is extinguished, particles are likely to be generated. When the plasma is extinguished, the source gas is stopped, the CO 2 gas is supplied, and the CO 2 plasma is generated for 2 seconds. Although the dielectric constant may increase slightly, an insulating layer that prevents particles can be formed.

1例として、上記変形例において、6分割したSiOC層形成の各SiOC−A成膜工程に続き、CO流量:5000sccm、圧力1torr、HF電力150Wで発生させたCOプラズマによる表面処理を消弧毎に2秒行った。すなわち、SiOC層15,18,25,28をSiOC−A:POXで形成した。この場合も、400℃、30分間の熱処理を5回繰り返した結果、膜剥れは全くみられなかった。2層目配線層の容量測定を行った結果、約180fF/mmの容量であった。 As an example, in the above modification, following each SiOC-A film forming step of forming a six-divided SiOC layer, the surface treatment by CO 2 plasma generated at a CO 2 flow rate of 5000 sccm, a pressure of 1 torr, and an HF power of 150 W is turned off. 2 seconds per arc. That is, the SiOC layers 15, 18, 25, and 28 were formed of SiOC-A: POX. Also in this case, as a result of repeating the heat treatment at 400 ° C. for 30 minutes five times, no film peeling was observed. As a result of measuring the capacitance of the second wiring layer, the capacitance was about 180 fF / mm.

SiOC‐Bを用いることもできる。1例として、上記変形例において、シリコンオキシカーバイド層15、18、25、28として、TMCTS流量:1ml/min、CO流量:5000sccm、圧力:4.5torr、HF電力:800W、LF電力:200WでSiOC−Bのシリコンカーバイド層を成膜した。2層目配線の容量測定を行った結果、約180fF/mmであった。400℃、30分間の熱処理を5回繰り返した結果、膜剥れは全く見られなかった。 SiOC-B can also be used. As an example, in the above modification, as the silicon oxycarbide layers 15, 18, 25, 28, the TMCTS flow rate: 1 ml / min, the CO 2 flow rate: 5000 sccm, the pressure: 4.5 torr, the HF power: 800 W, and the LF power: 200 W. Then, a silicon carbide layer of SiOC-B was formed. As a result of measuring the capacitance of the second layer wiring, it was about 180 fF / mm. As a result of repeating the heat treatment at 400 ° C. for 30 minutes five times, no film peeling was observed.

SiOC−Bは、チャンバ内圧力が比較的高く、プラズマが安定化でき、パーティクル発生を低減することができる。さらに、比誘電率を一層低くすることができ、配線間容量の減少に有効である。   SiOC-B has a relatively high pressure in the chamber, can stabilize the plasma, and can reduce particle generation. Furthermore, the relative dielectric constant can be further reduced, which is effective in reducing the capacitance between wirings.

上述の実施例においては、エッチストッパ層(銅拡散防止膜)以外の層間絶縁膜をTORALによるシリコンオキシカーバイド層で形成した。TORAL層を中間層として他の層と組み合わせて用いることも可能である。TORAL層としては、酸素流量50sccm〜0sccmのシリコンオキシカーバイドを用いることができる。   In the above-described embodiment, the interlayer insulating film other than the etch stopper layer (copper diffusion prevention film) is formed of a silicon oxycarbide layer made of TORAL. It is also possible to use the TORAL layer in combination with other layers as an intermediate layer. As the TORAL layer, silicon oxycarbide having an oxygen flow rate of 50 sccm to 0 sccm can be used.

図6(A)、(B)は、本発明の他の実施例による半導体集積回路装置の配線形成工程を示す断面図である。
図6(A)に示すように、シリコン基板10の上に、上述の実施例同様PSGによる層間絶縁膜11、下層配線12を形成する。下層配線12表面を覆うように、エッチストッパ用のSiC層14を厚さ約50nm、ノベラス社の登録商標ESL3を用いて成膜する。
6A and 6B are cross-sectional views showing a wiring formation process of a semiconductor integrated circuit device according to another embodiment of the present invention.
As shown in FIG. 6A, an interlayer insulating film 11 and a lower layer wiring 12 made of PSG are formed on a silicon substrate 10 as in the above-described embodiment. An SiC layer 14 for an etch stopper is formed with a thickness of about 50 nm using Novellus registered trademark ESL3 so as to cover the surface of the lower layer wiring 12.

SiC層14の上に、TORALであるSiOC層15xを厚さ約50nm堆積する。このSiOC層15xは、上述のように下地SiC層との密着性が改善されている。TORALのSiOC15xの上に、従来同様のCORALであるSiOC層15yを厚さ約500nm成膜する。次に、ミドルストッパとしてSiC層17を厚さ約30nm、ノベラス社の登録商標ESL2を用いて成膜し、その上にTORALのSiOC層18xをライナとして厚さ約50nm形成する。TORALのSiOC層18xの上に、CORALのSiOC18yを厚さ約320nm成膜する。SiOC層18yの上に、SiN等の反射防止膜ARC1を形成する。   A SiOC layer 15x which is TORAL is deposited on the SiC layer 14 to a thickness of about 50 nm. This SiOC layer 15x has improved adhesion to the underlying SiC layer as described above. On the TORAL SiOC 15x, a SiOC layer 15y which is the same CORAL as the conventional film is formed to a thickness of about 500 nm. Next, a SiC layer 17 having a thickness of about 30 nm as a middle stopper is formed using Novellus registered trademark ESL2, and a TORAL SiOC layer 18x is formed thereon with a liner having a thickness of about 50 nm. A CORAL SiOC 18y is formed to a thickness of about 320 nm on the TORAL SiOC layer 18x. An antireflection film ARC1 such as SiN is formed on the SiOC layer 18y.

その後、図4、図5に示す工程同様、ホトレジストマスク形成、エッチング工程を行いデュアルダマシン用凹部を形成する。
図6(B)に示すように、デュアルダマシン用凹部にTaN層、Cu層をスパッタリングし、その上にメッキCu層を形成し、CMPにより平坦化することにより、デュアルダマシン銅配線19を形成する。銅配線19を覆って、銅拡散防止層として、厚さ70nmのSiC層24をノベラス社のESL3を用いて成膜する。
Thereafter, as in the steps shown in FIGS. 4 and 5, a photoresist mask formation and an etching step are performed to form a dual damascene recess.
As shown in FIG. 6B, a dual damascene copper wiring 19 is formed by sputtering a TaN layer and a Cu layer in a recess for dual damascene, forming a plated Cu layer thereon, and planarizing by CMP. . The copper wiring 19 is covered, and a 70 nm thick SiC layer 24 is formed as a copper diffusion prevention layer using ESL3 manufactured by Novellus.

同様の工程を繰り返すことにより、所望層数の配線層を形成する。このような構成により、例えば2層目配線層の容量として、約180fF/mmを得ることができた。
400℃、30分間の熱処理を5回繰り返し、膜剥がれが生じるか否かを観察した。膜剥がれは全く見られなかった。
By repeating the same process, a desired number of wiring layers are formed. With such a configuration, for example, about 180 fF / mm could be obtained as the capacitance of the second wiring layer.
The heat treatment at 400 ° C. for 30 minutes was repeated 5 times to observe whether film peeling occurred. No film peeling was observed.

TORALに代え、他の新規なSiOCを用いることもできる。SiOC層15x、18xとして、SiOC−Aにより、厚さ50nmのシリコンオキシカーバイド層を形成した。多層配線に於ける2層目配線の容量測定を行った結果、約180fF/mmの寄生容量であった。400℃、30分間の熱処理を5回繰り返した結果、膜剥がれは全く見られなかった。   Instead of TORAL, other novel SiOC can be used. As the SiOC layers 15x and 18x, a silicon oxycarbide layer having a thickness of 50 nm was formed by SiOC-A. As a result of measuring the capacitance of the second-layer wiring in the multilayer wiring, the parasitic capacitance was about 180 fF / mm. As a result of repeating the heat treatment at 400 ° C. for 30 minutes five times, no film peeling was observed.

従来のCORALによるSiOC層と、下地エッチストッパ層であるSiC層との間にTORAL、またはSiOC−AによるSiOC層を介在させることにより、密着力を向上できることが分った。   It has been found that the adhesion can be improved by interposing the SiOC layer of TORAL or SiOC-A between the conventional CORC SiOC layer and the SiC layer as the base etch stopper layer.

上述の実施例のおいては、銅拡散防止機能を有するSiC層の撥水性に近い表面上に、TORALによるSiOC層を形成し、SiC層とSiOC層との間の密着性を向上させた多層配線を得た。   In the above-described embodiment, a multilayer formed by forming a SiOC layer by TORAL on the surface near the water repellency of the SiC layer having a copper diffusion preventing function and improving the adhesion between the SiC layer and the SiOC layer. Got the wiring.

密着性の向上は、形成したSiC層の表面処理によっても行なうことができる。
図7(A)、(B)は、本発明のさらに他の実施例による半導体集積回路装置の多層配線形成工程を示すシリコン基板の断面図である。前述の実施例同様、半導体基板10の表面上に、層間絶縁膜11、下層配線12を形成し、その表面をノベラス社の登録商標ESL3を用いた厚さ約70nmのSiC層14で覆う。このSiC層14表面をCO2プラズマで処理した。図10のCVD装置において、第1ステージS0でCO2プラズマ処理を行なった。処理条件は、CO2流量5000sccm、圧力4torr、RF電力200W、処理時間5秒であった。このCO2プラズマ処理は、SiC層14表面に親水化表面14xを形成すると考えられる。
Adhesion can also be improved by surface treatment of the formed SiC layer.
7A and 7B are cross-sectional views of a silicon substrate showing a multilayer wiring forming process of a semiconductor integrated circuit device according to still another embodiment of the present invention. Similar to the above-described embodiment, the interlayer insulating film 11 and the lower layer wiring 12 are formed on the surface of the semiconductor substrate 10, and the surfaces are covered with an SiC layer 14 having a thickness of about 70 nm using Novellus registered trademark ESL3. The surface of this SiC layer 14 was treated with CO 2 plasma. In the CVD apparatus of FIG. 10, CO 2 plasma treatment was performed in the first stage S0. The processing conditions were a CO 2 flow rate of 5000 sccm, a pressure of 4 torr, an RF power of 200 W, and a processing time of 5 seconds. This CO 2 plasma treatment is considered to form a hydrophilic surface 14 x on the surface of the SiC layer 14.

親水化処理したSiC層14表面上に、ノベラス社の登録商標CORALを用いSiOC層15yを厚さ約550nm形成し、さらにその上に厚さ約30nmのSiC層17をノベラス社の登録商標ESL2を用い、ミドルストッパとして形成する。SiC層17表面を前述同様のCO2プラズマで処理し、親水化表面17xを形成する。この上に、ノベラス社CORALを用いたSiOC層18yを厚さ約370nm成膜する。SiOC層18y表面上に、SiN膜等の反射防止膜ARC1を形成する。 A SiOC layer 15y having a thickness of about 550 nm is formed on the surface of the hydrophilic SiC layer 14 using a registered trademark CORAL of Novellus, and a SiC layer 17 having a thickness of about 30 nm is further formed on the surface of the SiC layer 14 by using a registered trademark ESL2 of Novellus. Used as a middle stopper. The surface of the SiC layer 17 is treated with the same CO 2 plasma as described above to form a hydrophilic surface 17x. On this, a SiOC layer 18y using Novellus CORAL is formed to a thickness of about 370 nm. An antireflection film ARC1 such as a SiN film is formed on the surface of the SiOC layer 18y.

その後、前述の実施例同様のホトリソグラフィ、エッチング等を行うことにより、デュアルダマシン用凹部を形成する。
図7(B)に示すように、デュアルダマシン用凹部表面上にTaN層、Cu層をそれぞれ約30nmスパッタリングで形成し、その表面上にCu層をメッキで成膜する。SiOC層18y表面上の不要の配線層をCMP等により除去することにより、デュアルダマシン銅配線19を完成する。銅配線19を覆って、銅拡散防止層として、厚さ70nmのSiC層24をノベラス社のESL3を用いて成膜する。
Thereafter, a dual damascene recess is formed by performing photolithography, etching, and the like similar to the above-described embodiments.
As shown in FIG. 7B, a TaN layer and a Cu layer are formed on the surface of the recess for dual damascene by sputtering of about 30 nm, respectively, and a Cu layer is formed on the surface by plating. An unnecessary wiring layer on the surface of the SiOC layer 18y is removed by CMP or the like, thereby completing the dual damascene copper wiring 19. The copper wiring 19 is covered, and a 70 nm thick SiC layer 24 is formed as a copper diffusion prevention layer using ESL3 manufactured by Novellus.

同様の工程を繰り返すことにより、必要層数の配線層を形成できることは前述の実施例同様である。このような構成により、例えば2層目配線層の容量として約180fF/mmを得ることができる。400℃、30分間の熱処理を5回繰り返し、膜剥がれの有無を調べた。膜剥れは全く見られなかった。   The same number of wiring layers as required can be formed by repeating the same process, as in the previous embodiment. With such a configuration, for example, about 180 fF / mm can be obtained as the capacitance of the second wiring layer. The heat treatment at 400 ° C. for 30 minutes was repeated 5 times, and the presence or absence of film peeling was examined. No film peeling was observed.

多層配線構造において、配線密度の高い下層配線層用の層間絶縁膜としては、比誘電率約2.9〜3.1のSiOCに代え、さらに比誘電率の低い有機絶縁膜(例えば比誘電率約2.6の登録商標SiLK)を用いることが望ましい場合もある。   In the multilayer wiring structure, an interlayer insulating film for a lower wiring layer having a high wiring density is replaced with SiOC having a relative dielectric constant of about 2.9 to 3.1, and an organic insulating film having a lower relative dielectric constant (for example, a relative dielectric constant). It may be desirable to use about 2.6 registered trademark SiLK).

図8は、本発明の他の実施例のよる多層配線構造の構成を示す断面図である。シリコン基板100に必要な構造を作成した後、厚さ約1.5μmのPSG層11を成膜し、タングステンプラグ12を埋め込む。   FIG. 8 is a cross-sectional view showing the structure of a multilayer wiring structure according to another embodiment of the present invention. After forming a necessary structure in the silicon substrate 100, a PSG layer 11 having a thickness of about 1.5 μm is formed and a tungsten plug 12 is embedded.

Wプラグ12の表面を覆うように、SiC層21を厚さ約30nm堆積し、その上に厚さ約450nmの有機絶縁(ダウケミカル社,登録商標SiLKーJ150)層22を成
膜する。有機絶縁膜22表面を厚さ約100nmの酸化シリコン層23で覆う。これらの積層により、第1層間絶縁層21、22、23が形成される。
An SiC layer 21 having a thickness of about 30 nm is deposited so as to cover the surface of the W plug 12, and an organic insulating (Dow Chemical Co., registered trademark SiLK-J150) layer 22 having a thickness of about 450 nm is formed thereon. The surface of the organic insulating film 22 is covered with a silicon oxide layer 23 having a thickness of about 100 nm. The first interlayer insulating layers 21, 22, and 23 are formed by stacking these layers.

第1層間絶縁層に、配線用溝を形成し、銅配線34を埋め込む。銅配線34表面を平坦化した後、その表面を覆うように、厚さ約50nmのSiC層36、厚さ約450nmの有機絶縁(ダウケミカル社,登録商標SiLKーJ350)層37、厚さ約100nmの
酸化シリコン層38を成膜する。さらに、酸化シリコン層38表面上にSiNのハードマスク層HMを厚さ約50nm成膜する。
A wiring groove is formed in the first interlayer insulating layer, and the copper wiring 34 is embedded. After planarizing the surface of the copper wiring 34, an SiC layer 36 having a thickness of about 50 nm, an organic insulating (Dow Chemical Co., registered trademark SiLK-J350) layer 37 having a thickness of about 450 nm are formed so as to cover the surface. A 100 nm silicon oxide layer 38 is formed. Further, a SiN hard mask layer HM is formed on the surface of the silicon oxide layer 38 to a thickness of about 50 nm.

ホトレジストマスクとハードマスクHMのパターンとを用い、デュアルダマシン用凹部を形成する。デュアルダマシン凹部を形成した後、バリアメタル層、シード層をスパッタリングし、Cu層をメッキで埋め込み、デュアルダマシン用Cu配線29を形成する。なお、デュアルダマシン配線29の表面平坦化のCMPにおいて、ハードマスク層HMは消滅してもよい。   A dual damascene recess is formed using a photoresist mask and a pattern of a hard mask HM. After the dual damascene recess is formed, the barrier metal layer and the seed layer are sputtered, and the Cu layer is buried by plating to form a dual damascene Cu wiring 29. In the CMP for planarizing the surface of the dual damascene wiring 29, the hard mask layer HM may disappear.

デュアルダマシン配線29表面を覆うように、厚さ約70nmのSiC層14をノベラス社の登録商標ESL3を用いて成膜する。SiC層14の上に厚さ約350nmのTORALによるSiOC層15を成膜する。さらにその表面上に厚さ約30nmのSiC層17、厚さ約550nmのSiOC層(TORAL層)18を同様に成膜する。   A SiC layer 14 having a thickness of about 70 nm is formed using Novellus registered trademark ESL3 so as to cover the surface of the dual damascene wiring 29. A SiOC layer 15 of TORAL having a thickness of about 350 nm is formed on the SiC layer 14. Further, an SiC layer 17 having a thickness of about 30 nm and an SiOC layer (TORAL layer) 18 having a thickness of about 550 nm are similarly formed on the surface.

ホトリソグラフィ、エッチングにより、前述同様のデュアルダマシン用凹部を形成し、銅配線19で埋め込む。同様の工程を繰り返すことにより、3層目配線層の上に層間絶縁膜としてSiOC層を用いた4層目配線を形成することができる。必要に応じ、配線層を積層することも可能である。   A dual damascene recess similar to that described above is formed by photolithography and etching, and is filled with the copper wiring 19. By repeating the same process, the fourth layer wiring using the SiOC layer as the interlayer insulating film can be formed on the third layer wiring layer. If necessary, wiring layers can be laminated.

このようにして作成した多層配線に対し、400℃、30分間の熱処理を5回繰り返した。膜剥れは全く見られなかった。
TORALに代え、他の新規SiOCを用いることもできる。1例として、上記構造においてSiOC層15,18をSiOC−Bで形成した。成膜条件は上述のものである。400℃、30分間の熱処理を5回繰り返した。膜剥がれは全く見られなかった。
The heat treatment at 400 ° C. for 30 minutes was repeated 5 times for the multilayer wiring thus prepared. No film peeling was observed.
Instead of TORAL, other novel SiOC can be used. As an example, in the above structure, the SiOC layers 15 and 18 are formed of SiOC-B. The film forming conditions are as described above. Heat treatment at 400 ° C. for 30 minutes was repeated 5 times. No film peeling was observed.

熱膨張率の大きい有機絶縁層27と、比較的熱膨張係数が小さいSiOC層15との境界領域は、大きな熱応力がかかることが想定される。しかしながら、3層目層間絶縁膜にはクラックは発生しなかった。   It is assumed that a large thermal stress is applied to the boundary region between the organic insulating layer 27 having a large coefficient of thermal expansion and the SiOC layer 15 having a relatively small coefficient of thermal expansion. However, no cracks occurred in the third interlayer insulating film.

新規SiOC層に代え、CORALによるSiOC層を用いた場合、同様の熱サイクル試験においてSiC層とCORALよるSiOC層との界面において剥れが見られた。又、3層目配線から端を発したと思われるクラックが発生した。   When a SiOC layer by CORAL was used instead of the new SiOC layer, peeling was observed at the interface between the SiC layer and the SiOC layer by CORAL in the same thermal cycle test. Moreover, the crack which seems to have originated from the 3rd layer wiring generate | occur | produced.

多層配線の層数が増加した場合、配線層に応じて種々の層間絶縁膜を用いることができる。
図9は、多層配線構造を有する半導体集積回路装置の構成を概略的に示す。シリコン基板1の表面上には、シャロートレンチアイソレーションによる素子分離領域2が形成され、活性領域表面上にゲート電極3が形成され、MOSトランジスタ構造が作成される。ゲート電極3を埋め込むように、PSG層4が成膜され、Wプラグ5が埋め込まれる。さらにその表面に酸化シリコン層6が成膜され、ビア導電体7が埋め込まれる。
When the number of layers of the multilayer wiring is increased, various interlayer insulating films can be used depending on the wiring layer.
FIG. 9 schematically shows a configuration of a semiconductor integrated circuit device having a multilayer wiring structure. An element isolation region 2 by shallow trench isolation is formed on the surface of the silicon substrate 1, and a gate electrode 3 is formed on the surface of the active region, thereby forming a MOS transistor structure. A PSG layer 4 is formed so as to embed the gate electrode 3, and a W plug 5 is embedded. Further, a silicon oxide layer 6 is formed on the surface, and a via conductor 7 is embedded.

酸化シリコン層6の上に、有機絶縁膜による第1層間絶縁膜IL1が成膜され、銅配線W1が埋め込まれる。その上に第2層間絶縁膜IL2、第3層間絶縁膜IL3、第4層間絶縁膜IL4が同様に有機絶縁膜を用いて形成される。各層間絶縁膜には、銅配線W2、W3、W4が埋め込まれる。   A first interlayer insulating film IL1 made of an organic insulating film is formed on the silicon oxide layer 6, and a copper wiring W1 is embedded. A second interlayer insulating film IL2, a third interlayer insulating film IL3, and a fourth interlayer insulating film IL4 are similarly formed using an organic insulating film thereon. Copper wirings W2, W3, and W4 are embedded in each interlayer insulating film.

第4配線層の上に、SiOC層を用いた層間絶縁膜IL5が形成され、銅配線W5が埋め込まれる。第5層間絶縁膜IL5の上には、同様の構成の第6層間絶縁膜IL6、第7層間絶縁膜IL7、第8層間絶縁膜IL8が順次積層され、各層間絶縁膜には銅配線W6、W7、W8が埋め込まれる。   An interlayer insulating film IL5 using a SiOC layer is formed on the fourth wiring layer, and a copper wiring W5 is embedded. On the fifth interlayer insulating film IL5, a sixth interlayer insulating film IL6, a seventh interlayer insulating film IL7, and an eighth interlayer insulating film IL8 having the same configuration are sequentially stacked, and the copper wiring W6, W7 and W8 are embedded.

第8配線W8の上には、酸化シリコンによる層間絶縁膜IL9が堆積され、銅配線W9が埋め込まれる。さらにその上に、酸化シリコンよる層間絶縁膜IL10、銅配線W10が形成され、酸化シリコンによる層間絶縁膜IL11aで覆われる。層間絶縁膜IL11aにビア導電体が埋め込まれ、層間絶縁膜IL11bで覆われる。パッド用開口を形成し、層間絶縁膜IL11aに埋め込まれたビア導電体の上に、AlによるパッドPD(および最上配線)が形成される。パッドPD上には、保護層PSが形成される。   On the eighth wiring W8, an interlayer insulating film IL9 made of silicon oxide is deposited, and a copper wiring W9 is embedded. Further thereon, an interlayer insulating film IL10 made of silicon oxide and a copper wiring W10 are formed and covered with an interlayer insulating film IL11a made of silicon oxide. A via conductor is embedded in the interlayer insulating film IL11a and covered with the interlayer insulating film IL11b. A pad opening is formed, and an Al pad PD (and an uppermost wiring) is formed on the via conductor embedded in the interlayer insulating film IL11a. A protective layer PS is formed on the pad PD.

この多層配線構造においては、配線間隔の最も狭い第1〜第4配線には層間絶縁膜として有機絶縁膜が用いられ、その上の第5〜第8配線層に対しては層間絶縁膜としてSiOC層が用いられ、さらにその上の第9〜第11層間絶縁膜には酸化シリコン層が用いられる。配線間隔の変化に応じ、適切な層間絶縁膜を選択することにより、信頼性が高く、高性能の多層配線を形成することができる。   In this multilayer wiring structure, an organic insulating film is used as an interlayer insulating film for the first to fourth wirings having the narrowest wiring interval, and SiOC is used as an interlayer insulating film for the fifth to eighth wiring layers thereon. A layer is used, and a silicon oxide layer is used for the ninth to eleventh interlayer insulating films thereon. By selecting an appropriate interlayer insulating film in accordance with the change in the wiring interval, a highly reliable and high performance multilayer wiring can be formed.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、多層配線構造は半導体集積回路装置に限定されるものではない。配線層の層数は任意に選択できる。配線材料としてCu以外の材料を用いることも可能である。より高い比誘電率が許される場合には、約3.1以上の比誘電率を有するTORAL層を用いることも可能である。その他種々の変更、改良、組合わせが可能なことは当業者にとって自明であろう。以下,本発明の特徴を付記する。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. For example, the multilayer wiring structure is not limited to the semiconductor integrated circuit device. The number of wiring layers can be arbitrarily selected. It is also possible to use materials other than Cu as the wiring material. If a higher dielectric constant is allowed, a TORAL layer having a relative dielectric constant of about 3.1 or higher can be used. It will be apparent to those skilled in the art that various other changes, modifications, and combinations are possible. The features of the present invention will be additionally described below.

(付記1)(1) 水素を含み、炭素含有量が約18at%以上であり、比誘電率が約3.1以下であるシリコンオキシカーバイド。
(付記2)(2) 前記炭素含有量が約25at%以下である付記1記載のシリコンオキシカーバイド。
(Appendix 1) (1) Silicon oxycarbide containing hydrogen, having a carbon content of about 18 at% or more and a relative dielectric constant of about 3.1 or less.
(Supplementary note 2) (2) The silicon oxycarbide according to supplementary note 1, wherein the carbon content is about 25 at% or less.

(付記3) ソースガスとして、テトラメチルシクロテトラシロキサンを用いた付記1記載のシリコンオキシカーバイド。
(付記4)(3) 水素含有量が30at%以下であり、比誘電率が約3.1以下であるシリコンオキシカーバイド。
(Supplementary note 3) The silicon oxycarbide according to supplementary note 1, wherein tetramethylcyclotetrasiloxane is used as a source gas.
(Supplementary Note 4) (3) Silicon oxycarbide having a hydrogen content of 30 at% or less and a relative dielectric constant of about 3.1 or less.

(付記5) 前記水素含有量が28at%以下である付記4記載のシリコンオキシカーバイド。
(付記6)(4) 下地を準備する工程と、
ソースガスとして、テトラメチルシクロテトラシロキサン、炭酸ガス、炭酸ガスの流量に対して3%以下の流量の酸素を用い、気相成長により、前記下地上にシリコンオキシカーバイド層を成長する工程と、
を含むシリコンオキシカーバイド層を成長する方法。
(Supplementary note 5) The silicon oxycarbide according to supplementary note 4, wherein the hydrogen content is 28 at% or less.
(Appendix 6) (4) A step of preparing a base;
Using tetramethylcyclotetrasiloxane, carbon dioxide, oxygen at a flow rate of 3% or less as a source gas, and growing a silicon oxycarbide layer on the substrate by vapor phase growth;
A method of growing a silicon oxycarbide layer comprising:

(付記7)(5) 前記酸素が0%である付記6記載のシリコンオキシカーバイド層を成長する方法。
(付記8) 前記気相成長が、4toorより低い圧力で行われる付記6記載のシリコンオキシカーバイド層を成長する方法。
(Appendix 7) (5) The method for growing the silicon oxycarbide layer according to Appendix 6, wherein the oxygen is 0%.
(Supplementary note 8) The method for growing a silicon oxycarbide layer according to supplementary note 6, wherein the vapor phase growth is performed at a pressure lower than 4 torr.

(付記9) さらに、前記シリコンオキシカーバイド層の成長に続いて、COプラズマ処理する工程を含む付記8記載のシリコンオキシカーバイド層を成長する方法。
(付記10) 前記気相成長が、4toorより高い圧力で行われる付記6記載のシリコンオキシカーバイド層を成長する方法。
(Supplementary note 9) The method for growing the silicon oxycarbide layer according to supplementary note 8, further comprising a step of performing a CO 2 plasma treatment subsequent to the growth of the silicon oxycarbide layer.
(Supplementary note 10) The method for growing a silicon oxycarbide layer according to supplementary note 6, wherein the vapor phase growth is performed at a pressure higher than 4 torr.

(付記11) 前記気相成長が,プラズマ促進気相成長である付記6記載のシリコン
オキシカーバイド層を成長する方法。
(付記12) 半導体基板と、半導体基板上方に形成された銅配線と、銅配線を覆うシリコンカーバイド層と、シリコンカーバイド層を覆い、水素を含み、炭素含有量が約18at%以上であり、比誘電率が約3.1以下である第1のシリコンオキシカーバイド層とを有する半導体装置。
(Supplementary note 11) The method for growing a silicon oxycarbide layer according to supplementary note 6, wherein the vapor phase growth is plasma enhanced vapor phase growth.
(Supplementary Note 12) A semiconductor substrate, a copper wiring formed above the semiconductor substrate, a silicon carbide layer covering the copper wiring, a silicon carbide layer covering the silicon substrate, containing hydrogen and having a carbon content of about 18 at% or more, And a first silicon oxycarbide layer having a dielectric constant of about 3.1 or less.

(付記13) 前記第1のシリコンオキシカーバイド層の炭素含有量が25at%以下である付記12記載の半導体装置。
(付記14) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、炭素含有量が第1のシリコンオキシカーバイド層より1at%以上低い第2のシリコンオキシカーバイド層を有する付記12記載の半導体装置。
(Additional remark 13) The semiconductor device of Additional remark 12 whose carbon content of a said 1st silicon oxycarbide layer is 25 at% or less.
(Supplementary note 14) The supplementary note 12, further comprising a second silicon oxycarbide layer formed on and in contact with the first silicon oxycarbide layer and having a carbon content of 1 at% or more lower than that of the first silicon oxycarbide layer. Semiconductor device.

(付記15) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、酸化シリコンより低い比誘電率を有する低誘電率絶縁層を有する付記12記載の半導体装置。     (Supplementary note 15) The semiconductor device according to supplementary note 12, further comprising a low dielectric constant insulating layer formed on and in contact with the first silicon oxycarbide layer and having a relative dielectric constant lower than that of silicon oxide.

(付記16) 半導体基板と、
半導体基板上方に形成された銅配線と、
銅配線を覆うシリコンカーバイド層と、シリコンカーバイド層を覆い、水素を含み、水素含有量が30at%以下であり、比誘電率が約3.1以下である第1のシリコンオキシカーバイド層と、
を有する半導体装置。
(Supplementary Note 16) a semiconductor substrate;
A copper wiring formed above the semiconductor substrate;
A silicon carbide layer covering the copper wiring, a first silicon oxycarbide layer covering the silicon carbide layer, containing hydrogen, having a hydrogen content of 30 at% or less and a relative dielectric constant of about 3.1 or less;
A semiconductor device.

(付記17) 前記水素含有量が、28at%以下である付記16記載の半導体装置。
(付記18) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、水素含有量が第1のシリコンオキシカーバイド層より2at%以上高い第2のシリコンオキシカーバイド層を有する付記16記載の半導体装置。
(Supplementary note 17) The semiconductor device according to supplementary note 16, wherein the hydrogen content is 28 at% or less.
(Supplementary note 18) The supplementary note 16, further comprising a second silicon oxycarbide layer formed on and in contact with the first silicon oxycarbide layer and having a hydrogen content of 2 at% or more higher than that of the first silicon oxycarbide layer. Semiconductor device.

(付記19) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、酸化シリコンより低い比誘電率を有する低誘電率絶縁層を有する付記16記載の半導体装置。     (Supplementary note 19) The semiconductor device according to supplementary note 16, further comprising a low dielectric constant insulating layer formed on and in contact with the first silicon oxycarbide layer and having a relative dielectric constant lower than that of silicon oxide.

(付記20)(6) 半導体基板と、
半導体基板上方に形成された銅配線と、
銅配線を覆うシリコンカーバイド層と、シリコンカーバイド層を覆い、水素を含み、炭素含有量が17at%以上、または水素含有量が30at%以下であり、比誘電率が約3.1以下である第1のシリコンオキシカーバイド層と、
を有する半導体装置。
(Appendix 20) (6) a semiconductor substrate;
A copper wiring formed above the semiconductor substrate;
A silicon carbide layer covering the copper wiring, a silicon carbide layer covering the silicon carbide layer, containing hydrogen, having a carbon content of 17 at% or more, or a hydrogen content of 30 at% or less, and a relative dielectric constant of about 3.1 or less. 1 silicon oxycarbide layer;
A semiconductor device.

(付記21) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、第1のシリコンオキシカーバイド層と較べ、炭素含有量が2at%以上低いか、水素含有量が2at%以上高い第2のシリコンオキシカーバイド層を有する付記20記載の半導体装置。     (Additional remark 21) Further, the first silicon oxycarbide layer is formed in contact with the first silicon oxycarbide layer, and the carbon content is lower by 2 at% or higher or the hydrogen content is higher by 2 at% or higher than the first silicon oxycarbide layer. Item 20. The semiconductor device according to appendix 20, having two silicon oxycarbide layers.

(付記22) さらに、前記第1のシリコンオキシカーバイド層上に接して形成され、酸化シリコンより低い比誘電率を有する低誘電率絶縁層を有する付記20記載の半導体装置。     (Supplementary note 22) The semiconductor device according to supplementary note 20, further comprising a low dielectric constant insulating layer formed on and in contact with the first silicon oxycarbide layer and having a relative dielectric constant lower than that of silicon oxide.

(付記23)(7) 半導体基板と、半導体基板上方に形成された銅配線と、銅配線を覆うシリコンカーバイド層とを有する下地構造を準備する工程と、
前記下地構造上に、ソースガスとして、テトラメチルシクロテトラシロキサン、炭酸ガス、炭酸ガスの流量に対して3%以下の流量の酸素を用い、気相成長でシリコンオキシカーバイド層を成長する工程と、
を含む半導体装置の製造方法。
N (付記24)(8) 前記酸素の流量が0%である付記23記載の半導体装置の製
造方法。
N (付記25)(9) 前記シリコンオキシカーバイド層を成長する工程の後、続い
てCOプラズマで表面を軽く酸化する工程を含む付記23記載の半導体装置の製造方法。
(Supplementary Note 23) (7) A step of preparing a base structure having a semiconductor substrate, a copper wiring formed above the semiconductor substrate, and a silicon carbide layer covering the copper wiring;
A step of growing a silicon oxycarbide layer by vapor phase growth on the base structure using tetramethylcyclotetrasiloxane, carbon dioxide, oxygen having a flow rate of 3% or less with respect to the flow rate of carbon dioxide as a source gas;
A method of manufacturing a semiconductor device including:
N (Supplementary Note 24) (8) The method for manufacturing a semiconductor device according to Supplementary Note 23, wherein a flow rate of the oxygen is 0%.
N (Supplementary note 25) (9) The method for manufacturing a semiconductor device according to supplementary note 23, further comprising a step of lightly oxidizing the surface with CO 2 plasma after the step of growing the silicon oxycarbide layer.

(付記26) さらに、前記シリコンオキシカーバイド層を含む絶縁層に凹部を形成し,配線を埋め込む工程を含む付記23記載の半導体装置の製造方法。
(付記27) 半導体基板と、半導体基板上方に形成された銅配線と、銅配線を覆うシリコンカーバイド層とを有する下地構造を準備する工程と、
前記下地構造のシリコンカーバイド層表面を、O2より分子量が大きく、酸素を含む弱
酸化性ガスのプラズマで親水化処理する工程と、
親水化処理したシリコンカーバイド層表面上に、酸化シリコンより比誘電率の小さい低誘電率絶縁層を形成する工程と、
を含む半導体装置の製造方法。
(Supplementary note 26) The method for manufacturing a semiconductor device according to supplementary note 23, further comprising a step of forming a recess in the insulating layer including the silicon oxycarbide layer and embedding the wiring.
(Supplementary note 27) A step of preparing a base structure having a semiconductor substrate, a copper wiring formed above the semiconductor substrate, and a silicon carbide layer covering the copper wiring;
Hydrophilizing the surface of the silicon carbide layer of the base structure with a plasma of a weak oxidizing gas having a molecular weight larger than O 2 and containing oxygen;
Forming a low dielectric constant insulating layer having a dielectric constant smaller than that of silicon oxide on the surface of the silicon carbide layer subjected to the hydrophilic treatment;
A method of manufacturing a semiconductor device including:

(付記28) 前記プラズマで親水化処理する工程が、前記下地構造をプラズマのダウンフローに曝す工程である付記27記載の半導体装置の製造方法。
(付記29) 前記プラズマで処理する工程が、前記低誘電率絶縁層を形成する工程と同一チャンバ内で行われる付記27記載の半導体装置の製造方法。
(Supplementary note 28) The method for manufacturing a semiconductor device according to supplementary note 27, wherein the step of hydrophilizing with the plasma is a step of exposing the underlying structure to a plasma downflow.
(Supplementary note 29) The method for manufacturing a semiconductor device according to supplementary note 27, wherein the step of processing with plasma is performed in the same chamber as the step of forming the low dielectric constant insulating layer.

(付記30) 前記弱酸化性ガスがCO2である付記27記載の半導体装置の製造方
法。
(Supplementary Note 30) The method of producing the weak oxidizing gas of Supplementary Notes 27 wherein the CO 2.

本発明等が行った実験を説明するための表及びグラフである。It is the table | surface and graph for demonstrating the experiment which this invention etc. conducted. 本発明等が行った実験を説明するための表及びグラフである。It is the table | surface and graph for demonstrating the experiment which this invention etc. conducted. 作成したSiOC層の密着性を調べるスタッドプル試験の結果を示すグラフである。It is a graph which shows the result of the stud pull test which investigates the adhesiveness of the produced SiOC layer. 本発明の実施例による半導体集積回路装置の多層配線構造を製造する工程を説明する半導体基板の断面図である。It is sectional drawing of the semiconductor substrate explaining the process of manufacturing the multilayer wiring structure of the semiconductor integrated circuit device by the Example of this invention. 本発明の実施例による半導体集積回路装置の多層配線構造を製造する工程を説明する半導体基板の断面図である。It is sectional drawing of the semiconductor substrate explaining the process of manufacturing the multilayer wiring structure of the semiconductor integrated circuit device by the Example of this invention. 本発明の他の実施例による多層配線作成工程を説明するための半導体基板の断面図である。It is sectional drawing of the semiconductor substrate for demonstrating the multilayer wiring preparation process by the other Example of this invention. 本発明のさらに他の実施例による多層配線構造の製造工程を説明するための半導体基板の断面図である。It is sectional drawing of the semiconductor substrate for demonstrating the manufacturing process of the multilayer wiring structure by other Example of this invention. 本発明の他の実施例による多層配線構造の製造工程を説明するための半導体基板の断面図である。It is sectional drawing of the semiconductor substrate for demonstrating the manufacturing process of the multilayer wiring structure by the other Example of this invention. 多層配線構造を有する半導体集積回路装置の構成を概略的に示す断面図である。It is sectional drawing which shows schematically the structure of the semiconductor integrated circuit device which has a multilayer wiring structure. プラズマCVD装置の構成を概略的に示す斜視図である。It is a perspective view which shows the structure of a plasma CVD apparatus roughly. サンプルの成膜条件と測定結果を示す表である。It is a table | surface which shows the film-forming conditions and measurement result of a sample. 選ばれたサンプルの組成を示す表である。It is a table | surface which shows the composition of the selected sample. 選ばれたサンプルの赤外吸収スペクトルを示すグラフである。It is a graph which shows the infrared absorption spectrum of the selected sample.

符号の説明Explanation of symbols

11 PSG層
12 下層配線
14、17 SiC層
15、18 SiOC(TORAL)層
ARC 反射防止膜
PR ホトレジスト
19 デュアルダマシン配線
21 SiC層
22 有機絶縁層
23 酸化シリコン層
24 SiC層
25 SiOC層
27 SiC層
28 SiOC層
29 デュアルダマシン配線
34 有機絶縁層
36 SiC層
37 有機絶縁層
38 酸化シリコン層
100 半導体基板
IL 層間絶縁膜
W 配線
HM ハードマスク(SiN層)
PD パッド
11 PSG layer 12 Lower layer wiring 14, 17 SiC layer 15, 18 SiOC (TORAL) layer ARC Antireflection film PR Photo resist 19 Dual damascene wiring 21 SiC layer 22 Organic insulating layer 23 Silicon oxide layer 24 SiC layer 25 SiOC layer 27 SiC layer 28 SiOC layer 29 Dual damascene wiring 34 Organic insulating layer 36 SiC layer 37 Organic insulating layer 38 Silicon oxide layer 100 Semiconductor substrate IL Interlayer insulating film W Wiring HM Hard mask (SiN layer)
PD pad

Claims (6)

半導体基板と、半導体基板上方に形成された銅配線と、銅配線を覆うシリコンカーバイド層とを有する下地構造を準備する工程と、
前記下地構造のシリコンカーバイド層表面を、O2より分子量が大きく、酸素を含む酸化性ガスのプラズマで親水化処理する工程と、
親水化処理したシリコンカーバイド層表面上に、酸化シリコンより比誘電率の小さい低誘電率絶縁層を形成する工程と、
を含む半導体装置の製造方法。
Preparing a base structure having a semiconductor substrate, a copper wiring formed above the semiconductor substrate, and a silicon carbide layer covering the copper wiring;
Hydrophilizing the surface of the silicon carbide layer of the base structure with plasma of an oxidizing gas having a molecular weight larger than O 2 and containing oxygen;
Forming a low dielectric constant insulating layer having a dielectric constant smaller than that of silicon oxide on the surface of the silicon carbide layer subjected to the hydrophilic treatment;
A method of manufacturing a semiconductor device including:
前記低誘電率絶縁層が、水素含有量が30at%以下20at%以上であり、比誘電率が3.1以下2.85以上であるシリコンオキシカーバイドである請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the low dielectric constant insulating layer is silicon oxycarbide having a hydrogen content of 30 at% or less and 20 at% or more and a relative dielectric constant of 3.1 or less and 2.85 or more. . 前記シリコンオキシカーバイドが、炭素含有量が18at%以上21at%以下である請求項2記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 2, wherein the silicon oxycarbide has a carbon content of 18 at% or more and 21 at% or less. 前記低誘電率絶縁層が、水素含有量が30at%以下11at%以上であるシリコンオキシカーバイドである請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the low dielectric constant insulating layer is silicon oxycarbide having a hydrogen content of 30 at% or less and 11 at% or more. 前記シリコンオキシカーバイドが、炭素含有量が15at%以上21at%以下である請求項4記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 4, wherein the silicon oxycarbide has a carbon content of 15 at% or more and 21 at% or less. 前記低誘電率絶縁層を形成する工程に続いて、COプラズマで表面を酸化する工程を含む請求項1記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 1, further comprising a step of oxidizing the surface with CO 2 plasma subsequent to the step of forming the low dielectric constant insulating layer.
JP2007179347A 2002-10-30 2007-07-09 Manufacturing method of semiconductor device Expired - Fee Related JP4882893B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007179347A JP4882893B2 (en) 2002-10-30 2007-07-09 Manufacturing method of semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002315900 2002-10-30
JP2002315900 2002-10-30
JP2007179347A JP4882893B2 (en) 2002-10-30 2007-07-09 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003360192A Division JP4338495B2 (en) 2002-10-30 2003-10-21 Silicon oxycarbide, semiconductor device, and method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2007281516A true JP2007281516A (en) 2007-10-25
JP4882893B2 JP4882893B2 (en) 2012-02-22

Family

ID=38682573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007179347A Expired - Fee Related JP4882893B2 (en) 2002-10-30 2007-07-09 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4882893B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056460B2 (en) 2015-08-18 2018-08-21 Fujitsu Limited Semiconductor device and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02219232A (en) * 1989-02-20 1990-08-31 Matsushita Electric Ind Co Ltd Forming method of thin film
JP2001060584A (en) * 1999-06-18 2001-03-06 Applied Materials Inc Plasma treatment for strengthening adhesion of carbon- containing layer and minimizing oxidation of the layer
JP2002203899A (en) * 2000-12-28 2002-07-19 Matsushita Electric Ind Co Ltd Method for forming copper interconnection structure
JP2002256434A (en) * 2001-01-17 2002-09-11 Air Products & Chemicals Inc Method of forming low dielectric constant interlayer dielectric film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02219232A (en) * 1989-02-20 1990-08-31 Matsushita Electric Ind Co Ltd Forming method of thin film
JP2001060584A (en) * 1999-06-18 2001-03-06 Applied Materials Inc Plasma treatment for strengthening adhesion of carbon- containing layer and minimizing oxidation of the layer
JP2002203899A (en) * 2000-12-28 2002-07-19 Matsushita Electric Ind Co Ltd Method for forming copper interconnection structure
JP2002256434A (en) * 2001-01-17 2002-09-11 Air Products & Chemicals Inc Method of forming low dielectric constant interlayer dielectric film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056460B2 (en) 2015-08-18 2018-08-21 Fujitsu Limited Semiconductor device and manufacturing method thereof
US10680073B2 (en) 2015-08-18 2020-06-09 Fujitsu Limited Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
JP4882893B2 (en) 2012-02-22

Similar Documents

Publication Publication Date Title
JP4338495B2 (en) Silicon oxycarbide, semiconductor device, and method of manufacturing semiconductor device
US8778814B2 (en) Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4090740B2 (en) Integrated circuit manufacturing method and integrated circuit
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
TWI402887B (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP5482881B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP5006428B2 (en) Deposition of dielectric barriers using nitrogen-containing precursors
US7960279B2 (en) Semiconductor device and manufacturing method therefor
KR20010082057A (en) semiconductor device and method of manufacturing the same
JP4938222B2 (en) Semiconductor device
TWI295485B (en) Method of improving low-k film property and damascene process using the same
JP4882893B2 (en) Manufacturing method of semiconductor device
TWI286814B (en) Fabrication process of a semiconductor device
KR20070028480A (en) Porous ceramic materials as low-k films in semiconductor devices
KR20040108598A (en) Manufacturing method of semiconductor device
US20060017166A1 (en) Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
US6806182B2 (en) Method for eliminating via resistance shift in organic ILD
JP3843275B2 (en) Manufacturing method of semiconductor device
JP2002134610A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110510

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110805

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111108

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111121

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4882893

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees