JP2007184329A - Gas supply apparatus, substrate processing apparatus, gas supply method - Google Patents

Gas supply apparatus, substrate processing apparatus, gas supply method Download PDF

Info

Publication number
JP2007184329A
JP2007184329A JP2006000241A JP2006000241A JP2007184329A JP 2007184329 A JP2007184329 A JP 2007184329A JP 2006000241 A JP2006000241 A JP 2006000241A JP 2006000241 A JP2006000241 A JP 2006000241A JP 2007184329 A JP2007184329 A JP 2007184329A
Authority
JP
Japan
Prior art keywords
gas supply
processing
gas
branch
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006000241A
Other languages
Japanese (ja)
Other versions
JP4357487B2 (en
Inventor
Kaneyoshi Mizusawa
兼悦 水澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006000241A priority Critical patent/JP4357487B2/en
Priority to US11/615,062 priority patent/US20070151668A1/en
Publication of JP2007184329A publication Critical patent/JP2007184329A/en
Priority to US12/419,367 priority patent/US8221638B2/en
Application granted granted Critical
Publication of JP4357487B2 publication Critical patent/JP4357487B2/en
Priority to US13/524,613 priority patent/US8375893B2/en
Priority to US13/739,764 priority patent/US8561572B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To achieve desired in-plane uniformity by supplying gas from a plurality of portions in a processing chamber through a simple piping system by simple control without being affected by pressure variation. <P>SOLUTION: Prior to processing a wafer, pressure ratio control for regulating the amount of shunt is performed such that the pressure ratio in each branch channel becomes a target pressure ratio for an amount of shunt regulation means 230. When processing gas from a processing gas supply means 210 is shunted to first and second branch lines 204 and 206 and pressure in each branch channel is stabilized, control for the amount of shunt regulation means is switched to pressure constant control for regulating the amount of shunt such that the pressure in the first branch channel at the time of stable pressure is sustained, and then additional gas is supplied by an additional gas supply means 220 to the second branch line 206. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は,処理室内にガスを供給するガス供給装置,基板処理装置,ガス供給方法に関する。   The present invention relates to a gas supply apparatus, a substrate processing apparatus, and a gas supply method for supplying a gas into a processing chamber.

この種の基板処理装置は,処理室内に所定のガスを供給して,半導体ウエハ,液晶基板などの被処理基板(以下,単に「基板」と称する)に対して成膜やエッチングなどの所定の処理を施すようになっている。   This type of substrate processing apparatus supplies a predetermined gas into a processing chamber, and performs predetermined film formation, etching, etc. on a substrate to be processed such as a semiconductor wafer or a liquid crystal substrate (hereinafter simply referred to as “substrate”). Processing is to be performed.

このような基板処理装置としては,例えばプラズマ処理装置が知られている。プラズマ処理装置は,例えば処理室内に基板を載置する載置台を兼ねる下部電極と,基板に向けてガスを噴出するシャワーヘッドを兼ねる上部電極とを配設して構成される。このような平行平板型のプラズマ処理装置では,処理室内の基板上にシャワーヘッドから所定のガスを供給した状態で両電極間に高周波電力を印加してプラズマを生成することによって,成膜やエッチングなど所定の処理を行うようになっている。   For example, a plasma processing apparatus is known as such a substrate processing apparatus. The plasma processing apparatus includes, for example, a lower electrode that also serves as a mounting table for placing a substrate in a processing chamber, and an upper electrode that also serves as a shower head that ejects gas toward the substrate. In such a parallel plate type plasma processing apparatus, film formation or etching is performed by generating plasma by applying high-frequency power between both electrodes while a predetermined gas is supplied from a shower head onto a substrate in a processing chamber. The predetermined process is performed.

特開平8-158072号公報JP-A-8-158072 特開平9-45624号公報JP-A-9-45624

ところで,基板に対して成膜やエッチングなどの所定の処理を施すに当り,エッチングレートやエッチング選択比,成膜レートなどの処理特性を基板面内において均一にし,基板処理の面内均一性を向上することは,従来からの重要課題である。   By the way, when performing predetermined processing such as film formation and etching on the substrate, the processing characteristics such as the etching rate, the etching selectivity, and the film formation rate are made uniform in the substrate surface, and the in-plane uniformity of the substrate processing is improved. Improving has been an important issue from the past.

このような観点から,例えば特許文献1,2では,シャワーヘッド内部を複数のガス室に仕切り,各ガス室ごとにガス供給配管を独立に接続し,基板面内の複数部位に任意の種類又は任意の流量で処理ガスを供給することが提案されている。これによれば,基板面内のガス濃度を局所的に調整して,エッチングの基板処理の面内均一性を向上することができる。   From such a viewpoint, for example, in Patent Documents 1 and 2, the interior of the shower head is partitioned into a plurality of gas chambers, and gas supply pipes are independently connected to each gas chamber, and any kind or It has been proposed to supply process gas at an arbitrary flow rate. According to this, the gas concentration in the substrate surface can be locally adjusted to improve the in-plane uniformity of the etching substrate treatment.

また,実際の基板処理に用いられるガスは,例えば基板の処理に直接関与する処理ガス,このような処理によって生じる反応生成物のデポ(堆積)をコントロールするためのガス,不活性ガス等のキャリアガスなど複数種のガスの組み合わせにより構成され,そのガス種は基板上の被処理材料やプロセス条件に応じて適宜選択して使用される。このため,例えば特許文献2に示すように,シャワーヘッドの各ガス室ごとにそれぞれ接続されたガス供給配管ごとにマスフローコントローラを設けて流量制御を行う必要がある。   The gas used for actual substrate processing is, for example, a processing gas directly involved in substrate processing, a carrier for controlling deposition (deposition) of reaction products generated by such processing, an inert gas carrier, etc. The gas is composed of a combination of a plurality of gases such as a gas, and the gas species is appropriately selected and used according to the material to be processed on the substrate and the process conditions. For this reason, for example, as shown in Patent Document 2, it is necessary to provide a mass flow controller for each gas supply pipe connected to each gas chamber of the shower head to perform flow control.

しかしながら,このような従来の構成では,使用するガスの中に共通するガス種が含まれていても,各ガス室から供給するガスごとにそれぞれガス供給系が設けられ,別々に流量制御が行われるので,配管構成が複雑化し,各配管の流量制御も複雑化するため,例えば広い配管スペースが必要になり,さらに制御負担も増大してしまうという問題があった。   However, in such a conventional configuration, a gas supply system is provided for each gas supplied from each gas chamber even if a common gas type is included in the gas used, and flow control is performed separately. As a result, the piping configuration is complicated and the flow rate control of each piping is complicated, so that, for example, a large piping space is required, and the control burden increases.

また,たとえ処理室内の複数部位から簡単な制御でガスを供給することができたとしても,例えばガスを導入する際の圧力の変動などによって各部位から供給される処理ガスの流量比(分流比)が変動してしまうような制御では,所望の面内均一性を実現できなくなってしまう。このため,圧力変動などの影響を受けないようにガスの供給を制御することも重要である。   Even if the gas can be supplied from a plurality of parts in the processing chamber with simple control, for example, the flow rate ratio (diversion ratio) of the processing gas supplied from each part due to a change in pressure when the gas is introduced. In such a control that fluctuates), the desired in-plane uniformity cannot be realized. For this reason, it is also important to control the gas supply so as not to be affected by pressure fluctuations.

そこで,本発明は,このような問題に鑑みてなされたもので,その目的とするところは,簡単な配管構成で,しかも簡単な制御で処理室内の複数部位からガスを供給することができ,所望の面内均一性を実現できるガス供給装置等を提供することにある。   Therefore, the present invention has been made in view of such problems, and the object of the present invention is to supply gas from a plurality of parts in the processing chamber with a simple piping configuration and simple control. An object of the present invention is to provide a gas supply device or the like that can realize desired in-plane uniformity.

上記課題を解決するために,本発明のある観点によれば,被処理基板を処理する処理室内にガスを供給するガス供給装置であって,前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,所定の付加ガスを供給する付加ガス供給手段と,前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路と,前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行し,前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給する制御手段とを備えることを特徴とするガス供給装置が提供される。   In order to solve the above problems, according to an aspect of the present invention, a gas supply apparatus that supplies a gas into a processing chamber that processes a substrate to be processed, the process supplying a processing gas that processes the substrate to be processed. A gas supply means, a processing gas supply path for flowing a processing gas from the processing gas supply means, a first branch flow path and a second branch flow branching from the processing gas supply path and connected to different parts of the processing chamber, respectively. A branch flow channel, a flow rate adjusting means for adjusting the flow rate of the process gas branched from the process gas supply channel to each branch flow channel based on the pressure in each branch flow channel, and a predetermined additional gas. An additional gas supply means for supplying, an additional gas supply flow path for joining the additional gas from the additional gas supply means to the second branch flow path downstream from the partial flow rate adjusting means, and for processing the substrate to be processed Prior to the processing, A processing gas is supplied by a supply means, and pressure ratio control is performed to adjust the flow rate so that the pressure ratio in each branch flow path becomes a target pressure ratio with respect to the flow rate adjustment means, and each branch flow is controlled. When the pressure in the passage is stabilized, the control for the partial flow rate adjusting means is switched to constant pressure control for adjusting the partial flow rate so as to maintain the pressure in the first branch flow path when the pressure is stable, and then the additional gas There is provided a gas supply device comprising control means for supplying additional gas by the supply means.

上記課題を解決するために,本発明の別の観点によれば,被処理基板を処理する処理室と,この処理室内にガスを供給するガス供給装置と,前記ガス供給装置を制御する制御手段とを備える基板処理装置であって,前記ガス供給装置は,前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,所定の付加ガスを供給する付加ガス供給手段と,前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路とを備え,前記制御手段は,前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行し,前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給することを特徴とする基板処理装置が提供される。   In order to solve the above problems, according to another aspect of the present invention, a processing chamber for processing a substrate to be processed, a gas supply device for supplying a gas into the processing chamber, and a control means for controlling the gas supply device A substrate processing apparatus comprising: a processing gas supply means for supplying a processing gas for processing the substrate to be processed; a processing gas supply path for supplying a processing gas from the processing gas supply means; , A first branch flow path and a second branch flow path branched from the process gas supply path and connected to different parts of the process chamber, and a process branched from the process gas supply path to the branch flow paths A partial flow rate adjusting means for adjusting a partial flow rate of the gas based on a pressure in each branch flow path; an additional gas supply means for supplying a predetermined additional gas; and an additional gas from the additional gas supply means for supplying the partial flow rate Downstream from adjustment means And an additional gas supply flow path that merges with the second branch flow path, and the control means supplies a process gas by the process gas supply means prior to processing the substrate to be processed, and the partial flow rate adjustment When the pressure ratio control for adjusting the divided flow rate is performed so that the pressure ratio in each branch flow path becomes the target pressure ratio, and the pressure in each branch flow path is stabilized, the divided flow rate adjusting means The additional gas is supplied by the additional gas supply means after the control is switched to the constant pressure control for adjusting the partial flow rate so as to maintain the pressure in the first branch flow path when the pressure is stable. A substrate processing apparatus is provided.

上記課題を解決するために,本発明の別の観点によれば,被処理基板を処理する処理室内にガスを供給するガス供給装置を用いるガス供給方法であって,前記ガス供給装置は,前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,所定の付加ガスを供給する付加ガス供給手段と,前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路とを備え,前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行する工程と,前記圧力比制御によって前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給する工程とを有することを特徴とするガス供給方法が提供される。   In order to solve the above problems, according to another aspect of the present invention, there is provided a gas supply method using a gas supply device for supplying a gas into a processing chamber for processing a substrate to be processed. A processing gas supply means for supplying a processing gas for processing a substrate to be processed; a processing gas supply path for supplying a processing gas from the processing gas supply means; and a branch from the processing gas supply path to a different part of the processing chamber. The first branch channel and the second branch channel connected to each other, and the partial flow rate of the processing gas branched from the processing gas supply channel to each branch channel are adjusted based on the pressure in each branch channel. Additional gas supply means for supplying a predetermined additional gas, and additional gas for joining the additional gas from the additional gas supply means to the second branch flow path downstream from the partial flow rate adjusting means. With supply flow path Prior to the processing of the substrate to be processed, a processing gas is supplied by the processing gas supply means, and the partial flow rate is adjusted so that the pressure ratio in each branch flow path becomes the target pressure ratio with respect to the partial flow rate adjusting means. If the pressure in each branch flow path is stabilized by the pressure ratio control and the pressure ratio control in the first branch flow path when the pressure is stable. And a step of supplying an additional gas by the additional gas supply means after switching to a constant pressure control for adjusting the partial flow rate so as to maintain the gas flow rate.

このような本発明によれば,処理ガス供給手段からの処理ガスは,第1,第2分岐流路に分流され,第1分岐流路からは処理ガス供給手段からの処理ガスがそのまま処理室に供給され,第2分岐流路からは所定の付加ガスが付加されて処理ガスのガス成分や流量が調整された上で処理室に供給される。これにより,各分岐流路で共通するガス成分を有する処理ガスは共通の処理ガス供給手段から供給され,さらに第2分岐流路を流れる処理ガスには必要に応じて付加ガスが付加されてガス成分や流量を調整することができるので,必要最小限の配管数で足り,その分簡単な配管構成が可能となり,流量制御も簡単にすることができる。   According to the present invention, the processing gas from the processing gas supply means is divided into the first and second branch flow paths, and the processing gas from the processing gas supply means is directly supplied to the processing chamber from the first branch flow path. A predetermined additional gas is added from the second branch flow path and the gas component and flow rate of the processing gas are adjusted, and then supplied to the processing chamber. As a result, the processing gas having a common gas component in each branch flow path is supplied from the common processing gas supply means, and an additional gas is added to the processing gas flowing in the second branch flow path as necessary. Since the components and flow rate can be adjusted, the minimum number of pipes is sufficient, and a simple pipe configuration can be made correspondingly, and flow control can be simplified.

さらに,付加ガス供給前に分流量調整手段の分流制御を圧力比制御から圧力一定制御に切り換えるので,第2分岐流路に付加ガスを供給したときに第2分岐流路内の圧力が変動しても,第2分岐流路へ流れるべき処理ガスが第1分岐流路に流れ込むことを防止することができる。このため,付加ガス供給の前後で各分岐流路に分流される処理ガスの流量比(分流比)が崩れることを防止することができ,所望の流量比で分流された処理ガスを基板表面上の異なる領域へ供給することができる。これにより,所望の面内均一性を実現することができる。   Further, since the diversion control of the diversion flow adjusting means is switched from the pressure ratio control to the constant pressure control before the additional gas supply, the pressure in the second branch flow path varies when the additional gas is supplied to the second branch flow path. However, it is possible to prevent the processing gas that should flow into the second branch flow path from flowing into the first branch flow path. For this reason, it is possible to prevent the flow rate ratio (diversion ratio) of the processing gas divided into each branch flow path before and after the supply of the additional gas from being lost, and the processing gas divided at the desired flow rate ratio is prevented from flowing on the substrate surface. Can be supplied to different areas. Thereby, desired in-plane uniformity can be realized.

また,上記制御手段は,前記付加ガスの供給開始後に,前記各分岐流路内の圧力が安定すると,その圧力安定時の前記各分岐流路内の圧力比を新たな目標圧力比とし,前記分流量調整手段に対する制御を前記各分岐流路内の圧力比が前記新たな目標圧力比になるように分流量を調整する圧力比制御に切り換えるようにしてもよい。このように,分流量調整手段の制御を圧力一定制御から圧力比制御に戻すことによって,その後に実行される基板処理において,ガス噴出孔のコンダクタンスが変化しても,各分岐流路内の圧力はともに変動するので圧力比は変わらないため,圧力比制御によれば各分岐流路内の圧力比が崩れないように制御することができる。これにより,経時的にガス噴出孔のコンダクタンスが変化しても各分岐流路に分流される処理ガスの流量比が崩れることを防止することができる。   In addition, when the pressure in each branch passage is stabilized after the supply of the additional gas is started, the control means sets the pressure ratio in each branch passage when the pressure is stabilized as a new target pressure ratio, and The control for the partial flow rate adjusting means may be switched to pressure ratio control for adjusting the partial flow rate so that the pressure ratio in each branch flow path becomes the new target pressure ratio. In this way, by returning the control of the partial flow rate adjusting means from the constant pressure control to the pressure ratio control, even if the conductance of the gas ejection holes changes in the subsequent substrate processing, the pressure in each branch channel is changed. Since both fluctuate, the pressure ratio does not change. Therefore, the pressure ratio control can be performed so that the pressure ratio in each branch channel does not collapse. Thereby, even if the conductance of the gas ejection hole changes with time, it is possible to prevent the flow rate ratio of the processing gas diverted to each branch flow path from collapsing.

また,上記分流量調整手段は,例えば前記各分岐流路を流れる処理ガスの流量を調整するためのバルブと前記各分岐流路内の圧力を測定するための圧力センサを備え,前記各圧力センサからの検出圧力に基づいて前記バルブの開閉度を調整することにより,前記処理ガス供給流路からの処理ガスの流量比を調整する。   The partial flow rate adjusting means includes, for example, a valve for adjusting the flow rate of the processing gas flowing through each branch channel and a pressure sensor for measuring the pressure in each branch channel. The flow rate ratio of the processing gas from the processing gas supply flow path is adjusted by adjusting the degree of opening and closing of the valve based on the detected pressure from the processing gas.

また,上記処理ガス供給手段は,複数のガス供給源を備え,前記各ガス供給源から所定流量で混合された処理ガスを前記処理ガス供給路へ供給するようにしてもよい。また,上記付加ガス供給手段は,複数のガス供給源を備え,前記各ガス供給源から選択され或いは所定のガス流量比で混合された付加ガスを前記付加ガス供給路へ供給するようにしてもよい。これによれば,処理ガス供給手段からは各分岐流路で共通する複数のガス成分が混合された処理ガスが供給され,第2分岐流路を流れる処理ガスには必要に応じて付加ガスが付加されてガス成分や流量が調整されるので,配管数がより少なくて済み,より簡単な配管構成が可能となる。   The processing gas supply means may include a plurality of gas supply sources, and supply the processing gas mixed at a predetermined flow rate from the gas supply sources to the processing gas supply path. The additional gas supply means includes a plurality of gas supply sources, and supplies the additional gas selected from the gas supply sources or mixed at a predetermined gas flow ratio to the additional gas supply path. Good. According to this, the processing gas supply means supplies a processing gas in which a plurality of gas components common to each branch flow path are mixed, and an additional gas is added to the processing gas flowing through the second branch flow path as necessary. In addition, since the gas component and flow rate are adjusted, the number of pipes can be reduced and a simpler pipe configuration can be realized.

また,上記第1分岐流路は例えばこの流路を流れる処理ガスが前記処理室内の被処理基板表面上の中心部領域へ向けて供給されるように配設し,前記第2分岐流路は例えばこの流路を流れる処理ガスが前記被処理基板表面上の外周部領域へ向けて供給されるように配設する。これにより,被処理基板の中心部領域と外周部領域における処理の均一性を向上させることができる。   Further, the first branch flow path is disposed so that, for example, the processing gas flowing through the flow path is supplied toward a central region on the surface of the substrate to be processed in the processing chamber, and the second branch flow path is For example, the processing gas flowing through this flow path is arranged so as to be supplied toward the outer peripheral region on the surface of the substrate to be processed. Thereby, the uniformity of processing in the central region and the outer peripheral region of the substrate to be processed can be improved.

また,上記第2分岐流路は,前記処理ガス供給路から分岐する複数の分岐流路からなり,前記各第2分岐流路に前記付加ガス供給手段からの付加ガスを供給可能に構成してもよい。これによれば,被処理基板の外周部領域をさらに複数の領域に分けてそれぞれの領域に処理ガスを供給するように構成することができるので,被処理基板の外周部領域における処理の均一性をより細かく制御することができる。   The second branch flow path includes a plurality of branch flow paths branched from the processing gas supply path, and is configured to be able to supply additional gas from the additional gas supply means to the second branch flow paths. Also good. According to this, it is possible to divide the outer peripheral region of the substrate to be processed into a plurality of regions and supply the processing gas to each region. Can be controlled more finely.

以上説明したように本発明によれば,簡単な配管構成で,しかも簡単な制御で処理室内の複数部位からガスを供給することができ,所望の面内均一性を実現できるガス供給装置等を提供できるものである。   As described above, according to the present invention, it is possible to supply a gas supply device and the like that can supply gas from a plurality of parts in the processing chamber with a simple piping configuration and simple control, and achieve desired in-plane uniformity. It can be provided.

以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説明する。なお,本明細書及び図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。   Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the present specification and drawings, components having substantially the same functional configuration are denoted by the same reference numerals, and redundant description is omitted.

(基板処理装置の構成例)
先ず,本発明の実施形態にかかる基板処理装置について図面を参照しながら説明する。図1は,本実施形態にかかる基板処理装置の概略構成を示す断面図である。ここでは,基板処理装置を平行平板型のプラズマエッチング装置として構成したものである。
(Configuration example of substrate processing equipment)
First, a substrate processing apparatus according to an embodiment of the present invention will be described with reference to the drawings. FIG. 1 is a cross-sectional view showing a schematic configuration of the substrate processing apparatus according to the present embodiment. Here, the substrate processing apparatus is configured as a parallel plate type plasma etching apparatus.

基板処理装置100は,略円筒形状の処理容器により構成される処理室110を有している。処理容器は,例えばアルミニウム合金により形成され,電気的に接地されている。また,処理容器の内壁面はアルミナ膜又はイットリウム酸化膜により被覆されている。   The substrate processing apparatus 100 has a processing chamber 110 constituted by a substantially cylindrical processing container. The processing container is made of, for example, an aluminum alloy and is electrically grounded. The inner wall surface of the processing vessel is covered with an alumina film or an yttrium oxide film.

処理室110内には,基板としてのウエハWを載置する載置台を兼ねる下部電極を構成するサセプタ116が配設されている。具体的には,サセプタ116は,処理室110内の底部略中央に絶縁板112を介して設けられた円柱状のサセプタ支持台114上に支持される。サセプタ116は,例えばアルミニウム合金により形成される。   A susceptor 116 that constitutes a lower electrode that also serves as a mounting table on which a wafer W as a substrate is mounted is disposed in the processing chamber 110. Specifically, the susceptor 116 is supported on a columnar susceptor support 114 provided via an insulating plate 112 at the bottom center in the processing chamber 110. The susceptor 116 is made of, for example, an aluminum alloy.

サセプタ116の上部には,ウエハWを保持する静電チャック118が設けられている。静電チャック118は,内部に電極120を有している。この電極120には,直流電源122が電気的に接続されている。静電チャック118は,直流電源122から電極120に直流電圧が印加されて発生するクーロン力により,その上面にウエハWを吸着できるようになっている。   An electrostatic chuck 118 that holds the wafer W is provided on the susceptor 116. The electrostatic chuck 118 has an electrode 120 inside. A DC power source 122 is electrically connected to the electrode 120. The electrostatic chuck 118 can attract the wafer W on the upper surface thereof by a Coulomb force generated when a DC voltage is applied to the electrode 120 from the DC power supply 122.

また,サセプタ116の上面には,静電チャック118の周囲を囲むように,フォーカスリング124が設けられている。なお,サセプタ116及びサセプタ支持台114の外周面には,例えば石英からなる円筒状の内壁部材126が取り付けられている。   A focus ring 124 is provided on the upper surface of the susceptor 116 so as to surround the periphery of the electrostatic chuck 118. A cylindrical inner wall member 126 made of, for example, quartz is attached to the outer peripheral surfaces of the susceptor 116 and the susceptor support base 114.

サセプタ支持台114の内部には,リング状の冷媒室128が形成されている。冷媒室128は,例えば処理室110の外部に設置されたチラーユニット(図示せず)に,配管130a,130bを介して連通している。冷媒室128には,配管130a,130bを介して冷媒(冷媒液又は冷却水)が循環供給される。これにより,サセプタ116上のウエハWの温度を制御することができる。   A ring-shaped refrigerant chamber 128 is formed inside the susceptor support 114. The refrigerant chamber 128 communicates with a chiller unit (not shown) installed outside the processing chamber 110 via pipes 130a and 130b, for example. A refrigerant (refrigerant liquid or cooling water) is circulated and supplied to the refrigerant chamber 128 via the pipes 130a and 130b. Thereby, the temperature of the wafer W on the susceptor 116 can be controlled.

静電チャック118の上面には,サセプタ116及びサセプタ支持台114内を通るガス供給ライン132が通じている。このガス供給ライン132を介してウエハWと静電チャック118との間にHeガスなどの伝熱ガス(バックサイドガス)を供給できるようになっている。   A gas supply line 132 passing through the inside of the susceptor 116 and the susceptor support 114 is connected to the upper surface of the electrostatic chuck 118. A heat transfer gas (backside gas) such as He gas can be supplied between the wafer W and the electrostatic chuck 118 via the gas supply line 132.

サセプタ116の上方には,下部電極を構成するサセプタ116と平行に対向する上部電極134が設けられている。サセプタ116と上部電極134との間には,プラズマ生成空間PSが形成される。   Above the susceptor 116, an upper electrode 134 facing the susceptor 116 constituting the lower electrode is provided. A plasma generation space PS is formed between the susceptor 116 and the upper electrode 134.

上部電極134は,円板状の内側上部電極138と,この内側上部電極138の外側を囲むリング状の外側上部電極136とを備える。外側上部電極136と内側上部電極138との間には,リング状の誘電体142が介在されている。外側上部電極136と処理室110の内周壁との間には,例えばアルミナからなるリング状の絶縁性遮蔽部材144が気密に介在されている。   The upper electrode 134 includes a disk-shaped inner upper electrode 138 and a ring-shaped outer upper electrode 136 that surrounds the outer side of the inner upper electrode 138. A ring-shaped dielectric 142 is interposed between the outer upper electrode 136 and the inner upper electrode 138. A ring-shaped insulating shielding member 144 made of alumina, for example, is airtightly interposed between the outer upper electrode 136 and the inner peripheral wall of the processing chamber 110.

外側上部電極136には,給電筒152,コネクタ150,上部給電棒148,整合器146を介して第1高周波電源154が電気的に接続されている。第1高周波電源154は,40MHz以上(例えば60MHz)の周波数の高周波電圧を出力できる。   A first high-frequency power source 154 is electrically connected to the outer upper electrode 136 via a power supply tube 152, a connector 150, an upper power supply rod 148, and a matching unit 146. The first high frequency power supply 154 can output a high frequency voltage having a frequency of 40 MHz or more (for example, 60 MHz).

給電筒152は,例えば下面が開口した略円筒状に形成され,下端部が外側上部電極136に接続されている。給電筒152の上面中央部には,コネクタ150によって上部給電棒148の下端部が電気的に接続されている。上部給電棒148の上端部は,整合器146の出力側に接続されている。整合器146は,第1高周波電源154に接続されており,第1高周波電源154の内部インピーダンスと負荷インピーダンスを整合させることができる。   The power supply cylinder 152 is formed in, for example, a substantially cylindrical shape having an open bottom surface, and a lower end portion is connected to the outer upper electrode 136. A lower end portion of the upper power supply rod 148 is electrically connected to the central portion of the upper surface of the power supply tube 152 by a connector 150. The upper end of the upper power feed rod 148 is connected to the output side of the matching unit 146. The matching unit 146 is connected to the first high-frequency power source 154, and can match the internal impedance of the first high-frequency power source 154 with the load impedance.

給電筒152の外側は,処理室110とほぼ同じ径の側壁を有する円筒状の接地導体111により覆われている。接地導体111の下端部は,処理室110の側壁上部に接続されている。接地導体111の上面中央部には,上述した上部給電棒148が貫通しており,接地導体111と上部給電棒148の接触部には,絶縁部材156が介在している。   The outside of the power supply tube 152 is covered with a cylindrical ground conductor 111 having a side wall having substantially the same diameter as the processing chamber 110. A lower end portion of the ground conductor 111 is connected to an upper portion of the side wall of the processing chamber 110. The upper power feed rod 148 described above passes through the center portion of the upper surface of the ground conductor 111, and an insulating member 156 is interposed at the contact portion between the ground conductor 111 and the upper power feed rod 148.

内側上部電極138は,サセプタ116に載置されたウエハW上に所定のガスを噴出するシャワーヘッドを構成する。内側上部電極138は,多数のガス噴出孔160aを有する円形状の電極板160と,電極板160の上面側を着脱自在に支持する電極支持体162を備える。電極支持体162は,電極板160とほぼ同じ径の円板状に形成される。   The inner upper electrode 138 constitutes a shower head that ejects a predetermined gas onto the wafer W placed on the susceptor 116. The inner upper electrode 138 includes a circular electrode plate 160 having a large number of gas ejection holes 160a, and an electrode support 162 that detachably supports the upper surface side of the electrode plate 160. The electrode support 162 is formed in a disk shape having substantially the same diameter as the electrode plate 160.

電極支持体162の内部には,円板状の空間からなるバッファ室163が形成されている。バッファ室163内には環状隔壁部材164が設けられており,この環状隔壁部材164によってバッファ室163内は円板状の空間からなる内側の第1バッファ室163aとこの第1バッファ室163aを囲むリング状の空間からなる外側の第2バッファ室163bとに区画される。この環状隔壁部材164は,例えばOリングにより構成される。   Inside the electrode support 162, a buffer chamber 163 composed of a disk-shaped space is formed. An annular partition member 164 is provided in the buffer chamber 163, and the annular partition member 164 surrounds the first buffer chamber 163 a and the first buffer chamber 163 a inside the disk-shaped space. It is partitioned into an outer second buffer chamber 163b made of a ring-shaped space. The annular partition member 164 is composed of, for example, an O-ring.

ここで,サセプタ116上のウエハWの中心部領域(センタ部)と,中心部領域を囲む外周部領域(エッジ部)とに分けて考えれば,第1バッファ室163aはウエハWのセンタ部に対向し,第2バッファ室163bはウエハWのエッジ部に対向するように構成されている。   Here, if divided into the central region (center portion) of the wafer W on the susceptor 116 and the outer peripheral region (edge portion) surrounding the central region, the first buffer chamber 163a is located at the center portion of the wafer W. The second buffer chamber 163b is configured to face the edge portion of the wafer W.

このような各バッファ室163a,163bの下面には,ガス噴出孔160aが連通している。そして,ウエハWのセンタ部には第1バッファ室163aから所定のガスを噴出することができ,ウエハWのエッジ部には第2バッファ室163bから所定のガスを噴出することができる。各バッファ室163a,163bにはそれぞれ,ガス供給装置200から所定のガスが供給されるようになっている。   The gas ejection holes 160a communicate with the lower surfaces of the buffer chambers 163a and 163b. A predetermined gas can be ejected from the first buffer chamber 163a to the center portion of the wafer W, and a predetermined gas can be ejected from the second buffer chamber 163b to the edge portion of the wafer W. A predetermined gas is supplied from the gas supply device 200 to each of the buffer chambers 163a and 163b.

電極支持体162の上面には,図1に示すように下部給電筒170が電気的に接続されている。下部給電筒170は,上部給電棒148にコネクタ150を介して接続されている。下部給電筒170の途中には,可変コンデンサ172が設けられている。この可変コンデンサ172の静電容量を調整することによって,第1高周波電源154から高周波電圧を印加したときに外側上部電極136の直下に形成される電界強度と,内側上部電極138の直下に形成される電界強度との相対的な比率を調整することができる。   A lower feeding cylinder 170 is electrically connected to the upper surface of the electrode support 162 as shown in FIG. The lower power feeding tube 170 is connected to the upper power feeding rod 148 via the connector 150. A variable capacitor 172 is provided in the middle of the lower power supply tube 170. By adjusting the capacitance of the variable capacitor 172, the electric field strength formed immediately below the outer upper electrode 136 when a high frequency voltage is applied from the first high frequency power supply 154 and formed directly below the inner upper electrode 138. It is possible to adjust the relative ratio with the electric field strength.

処理室110の底部には,排気口174が形成されている。排気口174は,排気管176を介して真空ポンプなどを備えた排気装置178に接続されている。この排気装置178によって処理室110内を排気することによって,処理室110内を所望の真空度に減圧することができる。   An exhaust port 174 is formed at the bottom of the processing chamber 110. The exhaust port 174 is connected to an exhaust device 178 provided with a vacuum pump or the like via an exhaust pipe 176. By exhausting the inside of the processing chamber 110 by the exhaust device 178, the inside of the processing chamber 110 can be decompressed to a desired degree of vacuum.

サセプタ116には,整合器180を介して第2高周波電源182が電気的に接続されている。第2高周波電源182は,例えば2MHz〜20MHzの範囲,例えば2MHzの周波数の高周波電圧を出力できる。   A second high frequency power source 182 is electrically connected to the susceptor 116 via a matching unit 180. The second high frequency power source 182 can output a high frequency voltage having a frequency in the range of 2 MHz to 20 MHz, for example, 2 MHz, for example.

上部電極134の内側上部電極138には,ローパスフィルタ184が電気的に接続されている。ローパスフィルタ184は第1高周波電源154からの高周波を遮断し,第2高周波電源182からの高周波をグランド(ground)に通すためのものである。一方,下部電極を構成するサセプタ116には,ハイパスフィルタ186が電気的に接続されている。ハイパスフィルタ186は第1高周波電源154からの高周波をグランド(ground)に通すためのものである。   A low pass filter 184 is electrically connected to the inner upper electrode 138 of the upper electrode 134. The low-pass filter 184 cuts off the high frequency from the first high frequency power source 154 and passes the high frequency from the second high frequency power source 182 to the ground. On the other hand, a high pass filter 186 is electrically connected to the susceptor 116 constituting the lower electrode. The high-pass filter 186 is for passing the high frequency from the first high frequency power supply 154 to the ground.

(ガス供給装置)
次に,ガス供給装置200について図面を参照しながら説明する。図1は,処理ガスを処理室110内のウエハWのセンタ部へ向けて供給する第1処理ガス(センタ部用処理ガス)と,ウエハWのエッジ部へ向けて供給する第2処理ガス(エッジ部用処理ガス)の2つに分流する場合の例である。なお,本実施形態のように処理ガスを2つに分流する場合に限られず,3つ以上に分流するようにしてもよい。
(Gas supply device)
Next, the gas supply device 200 will be described with reference to the drawings. FIG. 1 shows a first processing gas (center portion processing gas) for supplying a processing gas toward the center portion of the wafer W in the processing chamber 110 and a second processing gas (for processing toward the edge portion of the wafer W). This is an example in the case of branching into two of the edge processing gas. Note that the present invention is not limited to the case where the processing gas is divided into two as in the present embodiment, but may be divided into three or more.

ガス供給装置200は,例えば図1に示すようにウエハ対して成膜やエッチングなどの所定の処理を施すための処理ガスを供給する処理ガス供給手段210と,所定の付加ガスを供給する付加ガス供給手段220とを備える。処理ガス供給手段210は処理ガス供給路を構成する処理ガス供給配管202が接続され,処理ガス供給配管202からは第1分岐流路を構成する第1分岐配管204及び第2分岐流路を構成する第2分岐配管206が分岐している。なお,第1,第2分岐配管204,206は,分流量調整手段230の内部で分岐していてもよく,また分流量調整手段230の外部で分岐していてもよい。   For example, as shown in FIG. 1, the gas supply apparatus 200 includes a processing gas supply means 210 for supplying a processing gas for performing a predetermined processing such as film formation and etching on the wafer, and an additional gas for supplying a predetermined additional gas. Supply means 220. The processing gas supply means 210 is connected to a processing gas supply pipe 202 that constitutes a processing gas supply path, and the processing gas supply pipe 202 constitutes a first branch pipe 204 and a second branch path that constitute a first branch flow path. The second branch pipe 206 is branched. The first and second branch pipes 204 and 206 may be branched inside the divided flow rate adjusting unit 230 or may be branched outside the divided flow rate adjusting unit 230.

これら第1,第2分岐配管204,206はそれぞれ,処理室110の上部電極134の異なる部位,例えば内側上部電極138の第1,第2バッファ室163a,163bに接続される。   These first and second branch pipes 204 and 206 are connected to different parts of the upper electrode 134 of the processing chamber 110, for example, the first and second buffer chambers 163a and 163b of the inner upper electrode 138, respectively.

ガス供給装置200はさらに,第1,第2分岐配管204,206を流れる第1,第2処理ガスの分流量を第1,第2分岐配管204,206内の圧力に基づいて調整する分流量調整手段(フロースプリッタ)230を備える。また,上記付加ガス供給手段220はこの分流量調整手段230の下流側で付加ガス供給配管208を介して第2分岐配管206の途中に接続される。   The gas supply device 200 further adjusts the partial flow rates of the first and second process gases flowing through the first and second branch pipes 204 and 206 based on the pressure in the first and second branch pipes 204 and 206. Adjustment means (flow splitter) 230 is provided. The additional gas supply means 220 is connected to the middle of the second branch pipe 206 via the additional gas supply pipe 208 on the downstream side of the flow rate adjusting means 230.

このようなガス供給装置200によれば,処理ガス供給手段210からの処理ガスは,分流量調整手段230によって分流量が調整されつつ,第1分岐配管204と第2分岐配管206に分流される。そして,第1分岐配管204を流れる第1処理ガスは第1バッファ室163aを介してウエハWのセンタ部に向けて供給され,第2分岐配管206を流れる第2処理ガスは第2バッファ室163bを介してウエハWのエッジ部に向けて供給される。   According to such a gas supply device 200, the processing gas from the processing gas supply unit 210 is divided into the first branch pipe 204 and the second branch pipe 206 while the divided flow rate is adjusted by the divided flow rate adjusting unit 230. . The first processing gas flowing through the first branch pipe 204 is supplied toward the center portion of the wafer W via the first buffer chamber 163a, and the second processing gas flowing through the second branch pipe 206 is supplied to the second buffer chamber 163b. To the edge portion of the wafer W.

このとき,付加ガス供給手段220から付加ガスが供給されると,その付加ガスは付加ガス供給配管208を通って第2分岐配管206に流れて,第2処理ガスと混合される。そして,付加ガスは第2処理ガスとともに,第2バッファ室163bを介してウエハWのエッジ部に向けて供給される。なお,ガス供給装置200の具体的構成例は後述する。   At this time, when the additional gas is supplied from the additional gas supply means 220, the additional gas flows through the additional gas supply pipe 208 to the second branch pipe 206 and is mixed with the second processing gas. The additional gas is supplied to the edge portion of the wafer W through the second buffer chamber 163b together with the second processing gas. A specific configuration example of the gas supply device 200 will be described later.

基板処理装置100には,その各部を制御する制御部300が接続されている。制御部300により,例えばガス供給装置200における処理ガス供給手段210,付加ガス供給手段220,分流量調整手段230などの他,直流電源122,第1高周波電源154及び第2高周波電源182などが制御されるようになっている。   The substrate processing apparatus 100 is connected to a control unit 300 that controls each unit. For example, the control unit 300 controls the DC power source 122, the first high frequency power source 154, the second high frequency power source 182 and the like in addition to the processing gas supply unit 210, the additional gas supply unit 220, the partial flow rate adjustment unit 230, and the like in the gas supply apparatus 200. It has come to be.

(制御部の構成例)
ここで,制御部300の構成例を図面を参照しながら説明する。図2は制御部300の構成例を示すブロック図である。図2に示すように,制御部300は,制御部本体を構成するCPU(中央処理装置)310,CPU310が行う各種データ処理のために使用されるメモリエリア等を設けたRAM(ランダム・アクセス・メモリ)320,操作画面や選択画面などを表示する液晶ディスプレイなどで構成される表示手段330,オペレータによるプロセスレシピの入力や編集など種々のデータの入力及び所定の記憶媒体へのプロセスレシピやプロセス・ログの出力など種々のデータの出力などを行うことができるタッチパネルなどで構成される操作手段340,記憶手段350,インタフェース360を備える。
(Configuration example of control unit)
Here, a configuration example of the control unit 300 will be described with reference to the drawings. FIG. 2 is a block diagram illustrating a configuration example of the control unit 300. As shown in FIG. 2, the control unit 300 includes a CPU (central processing unit) 310 constituting the control unit main body, a RAM (random access memory) provided with a memory area used for various data processing performed by the CPU 310, and the like. Memory) 320, display means 330 including a liquid crystal display for displaying an operation screen, a selection screen, and the like, input of various data such as input and editing of a process recipe by an operator, and process recipes and processes to a predetermined storage medium An operation unit 340, a storage unit 350, and an interface 360 configured with a touch panel or the like capable of outputting various data such as log output are provided.

記憶手段350には,例えば基板処理装置100の種々の処理を実行するための処理プログラム,その処理プログラムを実行するために必要な情報(データ)などが記憶される。記憶手段350は,例えばメモリ,ハードディスク(HDD)などにより構成される。CPU310は必要に応じてプログラムデータ等を読み出して,各種の処理プログラムを実行する。例えばCPU310は,ウエハを処理するのに先立って処理室110内にガス供給装置200を制御して所定のガスを供給するガス供給処理などを実行する。   The storage unit 350 stores, for example, a processing program for executing various processes of the substrate processing apparatus 100, information (data) necessary for executing the processing program, and the like. The storage unit 350 is configured by a memory, a hard disk (HDD), or the like, for example. The CPU 310 reads program data and the like as necessary and executes various processing programs. For example, prior to processing the wafer, the CPU 310 executes a gas supply process for controlling the gas supply device 200 into the processing chamber 110 to supply a predetermined gas.

インタフェース360には,CPU310により制御を行う分流量調整手段230,処理ガス供給手段210,付加ガス供給手段220などの各部が接続される。インタフェース360は,例えば複数のI/Oポートなどにより構成される。   The interface 360 is connected to various components such as a partial flow rate adjusting unit 230, a process gas supply unit 210, and an additional gas supply unit 220 that are controlled by the CPU 310. The interface 360 is configured by a plurality of I / O ports, for example.

上記CPU310と,RAM320,表示手段330,操作手段340,記憶手段350,インタフェース360等とは,制御バス,データバス等のバスラインにより接続されている。   The CPU 310, the RAM 320, the display means 330, the operation means 340, the storage means 350, the interface 360, and the like are connected by a bus line such as a control bus or a data bus.

(ガス供給装置の具体的構成例)
次に,ガス供給装置200の各部の具体的な構成例について説明する。図3は,ガス供給装置200の具体的な構成例を示すブロック図である。処理ガス供給手段210は例えば図3に示すように複数(例えば3つ)のガス供給源212a,212b,212cが収容されたガスボックスにより構成される。各ガス供給源212a〜212cの配管は,これらからの各ガスが合流する処理ガス供給配管202に接続される。各ガス供給源212a〜212cの配管にはそれぞれ,各ガスの流量を調整するためのマスフローコントローラ214a〜214cが設けられている。このような処理ガス供給手段210によれば,各ガス供給源212a〜212cからのガスは所定の流量比で混合されて,処理ガス供給配管202に流れ出て,第1,第2分岐配管204,206に分流される。
(Specific configuration example of gas supply device)
Next, a specific configuration example of each part of the gas supply device 200 will be described. FIG. 3 is a block diagram illustrating a specific configuration example of the gas supply device 200. For example, as shown in FIG. 3, the processing gas supply unit 210 includes a gas box in which a plurality of (for example, three) gas supply sources 212 a, 212 b, and 212 c are accommodated. The pipes of the gas supply sources 212a to 212c are connected to a processing gas supply pipe 202 where the gases from these gas sources merge. Mass flow controllers 214a to 214c for adjusting the flow rates of the respective gases are provided in the pipes of the respective gas supply sources 212a to 212c. According to such a processing gas supply means 210, the gases from the gas supply sources 212a to 212c are mixed at a predetermined flow rate ratio, flow out to the processing gas supply pipe 202, and the first and second branch pipes 204, The current is diverted to 206.

ガス供給源212aには例えば図3に示すようにエッチングガスとしてのフロロカーボン系のフッ素化合物,CF,C,C,CなどのCガスが封入される。ガス供給源212bには,例えばCF系の反応生成物のデポをコントロールするガスとしての例えばOガスが封入され,ガス供給源212cには,キャリアガスとしての希ガス,例えばArガスが封入されている。なお,処理ガス供給手段210のガス供給源の数は,図3に示す例に限られるものではなく,例えば1つでも,2つでもよく,また4つ以上設けてもよい。 For example, as shown in FIG. 3, the gas supply source 212a is filled with C X F Y gas such as fluorocarbon fluorine compound, CF 4 , C 4 F 6 , C 4 F 8 , C 5 F 8 as an etching gas. The The gas supply source 212b is filled with, for example, O 2 gas as a gas for controlling the deposition of a CF-based reaction product, and the gas supply source 212c is filled with a rare gas such as Ar gas as a carrier gas. ing. Note that the number of gas supply sources of the processing gas supply means 210 is not limited to the example shown in FIG. 3, and may be one, two, or four or more, for example.

一方,付加ガス供給手段220は例えば図3に示すように複数(例えば2つ)のガス供給源222a,222bが収容されたガスボックスにより構成される。各ガス供給源222a,222bの配管は,これらからの各ガスが合流する付加ガス供給配管208に接続される。各ガス供給源222a,222bの配管にはそれぞれ,各ガスの流量を調整するためのマスフローコントローラ224a,224bが設けられている。このような付加ガス供給手段220によれば,各ガス供給源222a,222bからのガスは選択されて或は所定のガス流量比で混合されて,付加ガス供給配管208に流れ出て,分流量調整手段230よりも下流側の第2分岐配管206へ供給される。   On the other hand, the additional gas supply means 220 is constituted by a gas box in which a plurality of (for example, two) gas supply sources 222a and 222b are accommodated as shown in FIG. The pipes of the gas supply sources 222a and 222b are connected to an additional gas supply pipe 208 through which the gases from these sources join. Mass flow controllers 224a and 224b for adjusting the flow rate of each gas are provided in the pipes of the gas supply sources 222a and 222b, respectively. According to such additional gas supply means 220, the gas from each gas supply source 222a, 222b is selected or mixed at a predetermined gas flow ratio, and flows out to the additional gas supply pipe 208 to adjust the partial flow rate. It is supplied to the second branch pipe 206 on the downstream side of the means 230.

ガス供給源222aには,例えばエッチングを促進可能なCガスが封入され,ガス供給源222bには,例えばCF系の反応生成物のデポをコントロール可能なOガスが封入されている。なお,付加ガス供給手段220のガス供給源の数は,図3に示す例に限られるものではなく,例えば1つでもよく,また3つ以上設けてもよい。 For example, C X F Y gas capable of promoting etching is sealed in the gas supply source 222a, and O 2 gas capable of controlling the deposition of a CF-based reaction product is sealed in the gas supply source 222b, for example. . The number of gas supply sources of the additional gas supply means 220 is not limited to the example shown in FIG. 3, and may be one, for example, or three or more.

分流量調整手段230は,第1分岐配管204内の圧力を調整する圧力調整部232と,第2分岐配管206内の圧力を調整する圧力調整部234とを備える。具体的には,圧力調整部232は第1分岐配管204内の圧力を検出する圧力センサ232aと第1分岐配管204の開閉度を調整するバルブ232bを備え,圧力調整部234は第2分岐配管206内の圧力を検出する圧力センサ234aと第2分岐配管206の開閉度を調整するバルブ234bを備える。   The partial flow rate adjusting unit 230 includes a pressure adjusting unit 232 that adjusts the pressure in the first branch pipe 204 and a pressure adjusting unit 234 that adjusts the pressure in the second branch pipe 206. Specifically, the pressure adjustment unit 232 includes a pressure sensor 232a that detects the pressure in the first branch pipe 204 and a valve 232b that adjusts the degree of opening and closing of the first branch pipe 204, and the pressure adjustment unit 234 includes the second branch pipe. A pressure sensor 234a for detecting the pressure in 206 and a valve 234b for adjusting the opening / closing degree of the second branch pipe 206 are provided.

圧力調整部232,234は圧力コントローラ240に接続されており,圧力コントローラ240は,制御部300からの指令に応じて,各圧力センサ232a,234aからの検出圧力に基づいて各バルブ232b,234bの開閉度を調整する。例えば制御部300は,圧力比制御によって分流量調整手段230を制御する。この場合,圧力コントローラ240は,第1,第2処理ガスが制御部300からの指令による目標流量比になるように,すなわち第1,第2分岐配管204,206内の圧力が目標圧力比になるように,各バルブ232b,234bの開閉度を調整する。なお,圧力コントローラ240は,分流量調整手段230に制御ボードとして内蔵してもよく,また分流量調整手段230とは別個で構成してもよい。また,圧力コントローラ240は制御部300内に設けるようにしてもよい。   The pressure adjusting units 232 and 234 are connected to the pressure controller 240, and the pressure controller 240 is configured to control the valves 232b and 234b based on the detected pressures from the pressure sensors 232a and 234a in response to a command from the control unit 300. Adjust the degree of opening and closing. For example, the control unit 300 controls the divided flow rate adjusting means 230 by pressure ratio control. In this case, the pressure controller 240 adjusts the pressure in the first and second branch pipes 204 and 206 to the target pressure ratio so that the first and second process gases have a target flow rate ratio according to a command from the control unit 300. Thus, the opening / closing degree of each valve 232b, 234b is adjusted. The pressure controller 240 may be incorporated as a control board in the divided flow rate adjusting means 230, or may be configured separately from the divided flow rate adjusting means 230. The pressure controller 240 may be provided in the control unit 300.

このような基板処理装置100では,例えばウエハに対してエッチングなどの処理を行うのに先立って,ガス供給装置200によって処理室110内に所定のガスが供給される。具体的には,先ず処理ガス供給手段210からの処理ガスの供給が開始され,分流量調整手段230は圧力比制御される。そして,第1,第2分岐配管204,206内の圧力比が目標圧力比に調整された後に,付加ガス供給手段220からの付加ガスが第2分岐配管206に供給される。   In such a substrate processing apparatus 100, for example, a predetermined gas is supplied into the processing chamber 110 by the gas supply apparatus 200 before performing processing such as etching on the wafer. Specifically, supply of the processing gas from the processing gas supply unit 210 is started first, and the partial flow rate adjusting unit 230 is pressure ratio controlled. Then, after the pressure ratio in the first and second branch pipes 204 and 206 is adjusted to the target pressure ratio, the additional gas from the additional gas supply means 220 is supplied to the second branch pipe 206.

この場合,もし分流量調整手段230に対する制御を圧力比制御にしたまま,付加ガスを第2分岐配管206に供給すると,次のような問題がある。すなわち,付加ガスを第2分岐配管206に供給すると,第2分岐配管206内の圧力の方が第1分岐配管204内の圧力よりも上昇して圧力比が崩れるので,分流量調整手段230は目標圧力比になるように自動的にバルブ232b,234bの開閉度を調整しようとする。このため,第1処理ガスの方が第2処理ガスよりも多く流れてしまい,付加ガスの供給の前後で第1,第2処理ガスの流量比が崩れてしまうという問題がある。   In this case, if the additional gas is supplied to the second branch pipe 206 while the pressure ratio control is controlled with respect to the partial flow rate adjusting means 230, the following problem occurs. That is, when the additional gas is supplied to the second branch pipe 206, the pressure in the second branch pipe 206 rises higher than the pressure in the first branch pipe 204, and the pressure ratio collapses. An attempt is made to automatically adjust the degree of opening and closing of the valves 232b and 234b so as to achieve the target pressure ratio. For this reason, there is a problem that the first process gas flows more than the second process gas, and the flow rate ratio of the first and second process gases collapses before and after the supply of the additional gas.

この点,第1,第2分岐配管204,206内の圧力比が目標圧力比に調整され各圧力が安定した時点で分流量調整手段230の各バルブ232b,234bを固定し,その後に付加ガスを供給するようにすれば,付加ガスが供給されても各バルブ232b,234bが自動的に可動されないので,第1,第2処理ガスの流量比が崩れることを防止できるとも考えられる。   At this point, when the pressure ratio in the first and second branch pipes 204 and 206 is adjusted to the target pressure ratio and the respective pressures are stabilized, the valves 232b and 234b of the divided flow rate adjusting means 230 are fixed, and then the additional gas If the additional gas is supplied, the valves 232b and 234b are not automatically moved even if the additional gas is supplied, so that the flow rate ratio of the first and second process gases can be prevented from being lost.

ところが,付加ガスの供給により第2分岐配管206内の圧力は上昇するので,上記のように分流量調整手段230の各バルブ232b,234bを固定してしまうと,処理ガスは第2分岐配管206側へは流れにくくなり,その分第1分岐配管204側へ流れ込んでしまう。従って,分流量調整手段230の各バルブ232b,234bを固定しても,結果的には付加ガス供給の前後で第1,第2処理ガスの流量比が崩れてしまう。   However, since the pressure in the second branch pipe 206 increases due to the supply of the additional gas, if the valves 232b and 234b of the diversion flow adjusting means 230 are fixed as described above, the processing gas is supplied to the second branch pipe 206. It becomes difficult to flow to the side, and accordingly flows into the first branch pipe 204 side. Therefore, even if the valves 232b and 234b of the divided flow rate adjusting means 230 are fixed, the flow rate ratio of the first and second process gases is lost before and after the additional gas supply.

そこで,本発明によるガス供給処理では,付加ガスを供給する前に,分流量調整手段230の分流制御を,第1,第2分岐配管204,206内の圧力を目標圧力比に保持する圧力比制御から,その第1分岐配管204内の圧力を一定に保持する圧力一定制御に切り換える。その上で,付加ガスの供給を開始する。   Therefore, in the gas supply process according to the present invention, the diversion control of the diversion flow adjusting means 230 is performed before the additional gas is supplied, and the pressure ratio for maintaining the pressure in the first and second branch pipes 204 and 206 at the target pressure ratio. The control is switched to the constant pressure control for keeping the pressure in the first branch pipe 204 constant. Then, supply of additional gas is started.

これによれば,付加ガスを供給しても,第1分岐配管204内の圧力が一定に保持されるので,たとえ第2分岐配管206内の圧力が変動しても,例えば第2分岐配管206へ流れるべき処理ガスが第1分岐配管204に流れ込むことを防止できるので,付加ガス供給の前後で第1,第2処理ガスの流量比が崩れることを防止することができる。   According to this, even when the additional gas is supplied, the pressure in the first branch pipe 204 is kept constant, so even if the pressure in the second branch pipe 206 fluctuates, for example, the second branch pipe 206 Since it is possible to prevent the processing gas to flow into the first branch pipe 204, it is possible to prevent the flow rate ratio of the first and second processing gases from being lost before and after the additional gas supply.

(ガス供給処理の具体例)
ここで,このような本発明の実施形態にかかるガス供給処理の具体例について説明する。図4は本実施形態にかかるガス供給処理を含む基板処理装置の処理の具体例を示すフローチャートである。先ずステップS110にて制御部300は,処理ガス供給手段210による処理ガスの供給を開始する。これにより,処理ガス供給手段210内の予め設定されているガスが所定流量で処理ガス供給配管202に流される。具体的には例えばガス供給源212a〜212cのCガス,Oガス及びArガスがそれぞれ所定流量で供給が開始されると,各ガスは混合されて所定の混合比のCガス,Oガス及びArガスからなる混合ガスが生成され,その混合ガスが処理ガスとして処理ガス供給配管202へ流れる。
(Specific examples of gas supply processing)
Here, a specific example of the gas supply process according to the embodiment of the present invention will be described. FIG. 4 is a flowchart showing a specific example of the processing of the substrate processing apparatus including the gas supply processing according to the present embodiment. First, in step S <b> 110, the control unit 300 starts supplying the processing gas by the processing gas supply unit 210. As a result, a preset gas in the processing gas supply means 210 is caused to flow through the processing gas supply pipe 202 at a predetermined flow rate. Specifically, for example, when the supply of C X F Y gas, O 2 gas and Ar gas from the gas supply sources 212a to 212c is started at a predetermined flow rate, the gases are mixed and C X F having a predetermined mixing ratio is mixed. A mixed gas composed of Y gas, O 2 gas and Ar gas is generated, and the mixed gas flows to the processing gas supply pipe 202 as a processing gas.

次いで,ステップS120にて制御部300は分流量調整手段230に対して圧力比制御による処理ガスの分流量調整を行わせる。具体的には例えば制御部300が圧力比制御指令を発すると,分流量調整手段230は圧力コントローラ240の制御により圧力センサ232a,234aの測定圧力に基づいてバルブ232b,234bの開閉度を調整し,第1,第2分岐配管204,206の圧力比が目標圧力比になるように調整する。これにより,第1,第2分岐配管204,206を介して第1,第2バッファ室163a,163bにそれぞれ供給される第1,第2処理ガスの流量比が決まる。   Next, in step S120, the control unit 300 causes the partial flow rate adjusting means 230 to adjust the partial flow rate of the processing gas by pressure ratio control. Specifically, for example, when the control unit 300 issues a pressure ratio control command, the flow rate adjusting means 230 adjusts the opening / closing degree of the valves 232b and 234b based on the measured pressures of the pressure sensors 232a and 234a under the control of the pressure controller 240. The pressure ratio between the first and second branch pipes 204 and 206 is adjusted to the target pressure ratio. As a result, the flow ratios of the first and second process gases supplied to the first and second buffer chambers 163a and 163b via the first and second branch pipes 204 and 206 are determined.

そして,ステップS130にて第1,第2分岐配管204,206の各圧力が安定したか否かを判断する。各圧力が安定したと判断した場合はステップS140にて制御部300は分流量調整手段230に対して圧力一定制御による処理ガスの分流量調整を行わせる。   In step S130, it is determined whether or not the pressures in the first and second branch pipes 204 and 206 are stable. If it is determined that each pressure is stable, the control unit 300 causes the partial flow rate adjusting unit 230 to adjust the partial flow rate of the processing gas by the constant pressure control in step S140.

具体的には例えば制御部300が圧力一定制御指令を発すると,分流量調整手段230は圧力コントローラ240の制御により圧力センサ232a,234aの測定圧力に基づいてバルブ232b,234bの開閉度を調整し,第1分岐配管204の第1処理ガスの圧力が一定になるように調整する。なお,この時点では,第2バッファ室163bには,少なくとも第1のバッファ室63aと同じガス成分の混合ガス(同じエッチング処理が可能な混合ガス)が供給されている。   Specifically, for example, when the control unit 300 issues a constant pressure control command, the divided flow rate adjusting means 230 adjusts the degree of opening and closing of the valves 232b and 234b based on the measured pressures of the pressure sensors 232a and 234a under the control of the pressure controller 240. The pressure of the first processing gas in the first branch pipe 204 is adjusted to be constant. At this time, the second buffer chamber 163b is supplied with a mixed gas of at least the same gas component as the first buffer chamber 63a (a mixed gas capable of the same etching process).

次いで,ステップS150にて制御部300は付加ガス供給手段220による付加ガスの供給を開始する。これにより,付加ガス供給手段220から予め設定されている付加ガスが所定流量で付加ガス供給配管208を介して第2分岐配管206へ供給される。   Next, in step S150, the controller 300 starts supply of additional gas by the additional gas supply means 220. As a result, a preset additional gas is supplied from the additional gas supply means 220 to the second branch pipe 206 through the additional gas supply pipe 208 at a predetermined flow rate.

この場合,付加ガス供給手段220からは,例えばガス供給源222aからエッチングを促進可能なCガス(例えばCFガス)が所定の流量で供給され,第2分岐配管206に合流し,第2分岐配管206を介して第2バッファ室163bへ供給される。これにより,第2バッファ室163bには,第1バッファ室163aよりもCFガスの多い処理ガスが供給される。こうして,第2バッファ室163bに供給される処理ガスのガス成分及び流量が決まる。 In this case, for example, C X F Y gas (for example, CF 4 gas) capable of accelerating etching is supplied from the additional gas supply means 220 at a predetermined flow rate from the gas supply source 222a, and is joined to the second branch pipe 206. It is supplied to the second buffer chamber 163b through the second branch pipe 206. As a result, the second buffer chamber 163b is supplied with a processing gas containing more CF 4 gas than the first buffer chamber 163a. Thus, the gas component and the flow rate of the processing gas supplied to the second buffer chamber 163b are determined.

そして,ステップS160にて第1,第2分岐配管204,206の各圧力が安定したか否かを判断する。ステップS160にて各圧力が安定したと判断した場合は,ステップS200にてウエハの処理を実行する。このようなガス供給処理によって,基板処理装置100では,減圧雰囲気の下,サセプタ116上のウエハWの中心部付近には,第1バッファ室163aからの混合ガスが供給され,ウエハWの外周部には,第2バッファ室163bからのCFガスの多い混合ガスが供給される。これにより,ウエハWの外周部におけるエッチング特性がウエハWの中心部に対して相対的に調整され,ウエハWの面内のエッチング特性を均一にすることができる。 In step S160, it is determined whether or not the pressures in the first and second branch pipes 204 and 206 are stable. If it is determined in step S160 that each pressure has stabilized, wafer processing is executed in step S200. With such a gas supply process, in the substrate processing apparatus 100, the mixed gas from the first buffer chamber 163 a is supplied near the center of the wafer W on the susceptor 116 under a reduced pressure atmosphere, and the outer peripheral portion of the wafer W is supplied. Is supplied with a mixed gas containing a large amount of CF 4 gas from the second buffer chamber 163b. As a result, the etching characteristics at the outer peripheral portion of the wafer W are adjusted relative to the central portion of the wafer W, and the in-plane etching characteristics of the wafer W can be made uniform.

このような図4に示す処理によれば,処理ガス供給手段210からの処理ガスは,第1,第2分岐配管204,206に分流され,第1分岐配管204からは処理ガス供給手段210からの処理ガスがそのまま処理室110に供給され,第2分岐配管206からは所定の付加ガスが付加されて処理ガスのガス成分や流量が調整された上で処理室110に供給される。これにより,処理ガス供給手段210からは各分岐配管204,206で共通するガス成分を有する処理ガスが供給され,第2分岐配管206を流れる処理ガスには必要に応じて付加ガスが付加されてガス成分や流量が調整される。このため,例えば各分岐配管で共通するガス成分の数が多い場合には,各分岐配管ごとに処理ガス源を設ける場合に比してより少ない配管数で足りる。このように,ガス供給装置200の配管数を必要最小限にすることができるので,より簡単な配管構成でガス供給装置200を構成することができる。しかも各分岐配管204,206の圧力に基づいて処理ガスの分流量を調整するので,簡単な制御で処理室110内の複数部位からガスを供給することができる。   According to the processing shown in FIG. 4, the processing gas from the processing gas supply unit 210 is divided into the first and second branch pipes 204 and 206, and from the first branch pipe 204 from the processing gas supply unit 210. The processing gas 110 is supplied to the processing chamber 110 as it is, and a predetermined additional gas is added from the second branch pipe 206 to adjust the gas component and flow rate of the processing gas and then supplied to the processing chamber 110. As a result, a processing gas having a gas component common to the branch pipes 204 and 206 is supplied from the processing gas supply means 210, and an additional gas is added to the processing gas flowing through the second branch pipe 206 as necessary. Gas component and flow rate are adjusted. For this reason, for example, when the number of gas components common to each branch pipe is large, the number of pipes is smaller than when a processing gas source is provided for each branch pipe. Thus, since the number of pipes of the gas supply device 200 can be minimized, the gas supply device 200 can be configured with a simpler pipe configuration. In addition, since the partial flow rate of the processing gas is adjusted based on the pressures of the branch pipes 204 and 206, the gas can be supplied from a plurality of parts in the processing chamber 110 with simple control.

また,付加ガス供給前に分流量調整手段230を圧力比制御から圧力一定制御に切り換えるという簡単な制御で,付加ガス供給開始によって第1,第2分岐配管204,206の圧力比が変動しても,分流量調整手段230は圧力一定制御により第1分岐配管204の圧力が一定になるように各バルブ232b,234bが調整されるので,第2分岐配管206へ流れるべき処理ガスの一部が第1分岐配管204へ流れ込むことを防止することができる。これにより,付加ガス供給前後で分流量調整手段230からの第1,第2処理ガスの流量比が崩れることを防止できるので,所望の面内均一性を実現することができる。   Further, the simple control of switching the flow rate adjusting means 230 from the pressure ratio control to the constant pressure control before supplying the additional gas causes the pressure ratio of the first and second branch pipes 204 and 206 to change due to the start of the additional gas supply. However, since the flow rate adjusting means 230 adjusts the valves 232b and 234b so that the pressure of the first branch pipe 204 becomes constant by the constant pressure control, a part of the processing gas that should flow to the second branch pipe 206 is reduced. It can prevent flowing into the first branch pipe 204. As a result, it is possible to prevent the flow rate ratio of the first and second process gases from the partial flow rate adjusting means 230 from being lost before and after the additional gas supply, so that desired in-plane uniformity can be realized.

なお,図4に示す処理では,ステップS140にて分流量調整手段230に対する制御を圧力一定制御に切り換えたままでウエハの処理を行う場合を例に挙げたが,ウエハの処理前に分流量調整手段230の制御を再び圧力比制御に戻すようにしてもよい。   In the process shown in FIG. 4, an example has been given in which the wafer processing is performed in step S140 while the control for the partial flow rate adjusting unit 230 is switched to the constant pressure control. You may make it return control of 230 to pressure ratio control again.

例えば単一のウエハ処理又は複数のウエハの連続処理を実行している間に,例えば上部電極134の温度が徐々に上昇してガス噴出孔160aのコンダクタンスが変化してガスが流れ難くなる場合がある。   For example, while a single wafer process or a continuous process of a plurality of wafers is being performed, for example, the temperature of the upper electrode 134 gradually increases, and the conductance of the gas ejection holes 160a changes to make it difficult for the gas to flow. is there.

このような場合には,第1,第2分岐配管204,206内の圧力が両方とも上昇するので,分流量調整手段230に対する制御を圧力一定制御にしたままにしておくと,第1分岐配管204のみの圧力が一定になるように各バルブ232b,234bが調整されるので,結果として第2分岐配管206に流れる第2処理ガスの割合の方が第1分岐配管204に流れる第1処理ガスよりも次第に多くなり,第1,第2処理ガスの流量比が崩れてしまうという現象が生じる。   In such a case, both the pressures in the first and second branch pipes 204 and 206 rise. Therefore, if the control for the partial flow rate adjusting means 230 is left at a constant pressure control, the first branch pipe is set. Since the valves 232b and 234b are adjusted so that only the pressure of 204 is constant, as a result, the ratio of the second processing gas flowing to the second branch pipe 206 is the first processing gas flowing to the first branch pipe 204. As a result, the flow rate ratio of the first and second process gases collapses.

この現象は,ウエハの処理を行う前に圧力比制御に戻すことによって防止することができる。すなわち,圧力比制御に戻すことによって,たとえガス噴出孔160aのコンダクタンスが変化しても,第1,第2分岐配管204,206内の圧力はともに変動するので圧力比は変わらないため,第1,第2分岐配管204,206内の圧力比が崩れないように制御することができる。これにより,経時的にガス噴出孔のコンダクタンスが変化しても,第1,第2処理ガスの流量比が崩れることを防止することができる。   This phenomenon can be prevented by returning to pressure ratio control before processing the wafer. In other words, by returning to the pressure ratio control, even if the conductance of the gas ejection hole 160a changes, the pressure ratio does not change because the pressure in the first and second branch pipes 204 and 206 both fluctuate. The pressure ratio in the second branch pipes 204 and 206 can be controlled so as not to collapse. Thereby, even if the conductance of the gas ejection hole changes with time, it is possible to prevent the flow rate ratio of the first and second processing gases from collapsing.

具体的には例えば,図5に示すようにステップS200の処理の前にステップS170,ステップS180の処理を追加する。すなわち,図5に示す処理では,ステップS160にて第1,第2分岐配管204,206の各圧力が安定したと判断した場合は,ステップS170にて制御部300は分流量調整手段230の制御を圧力比制御に切り換える。具体的には圧力安定時の第1,第2分岐配管204,206内の圧力比を新たな目標圧力比とし,分流量調整手段230に対する制御を第1,第2分岐配管204,206内の圧力比が新たな目標圧力比になるように分流量を調整する圧力比制御に切り換える。圧力安定時の第1,第2分岐配管204,206内の圧力比を新たな目標圧力比とするのは,第2分岐配管206に付加ガスを供給することによって,その分だけ第2分岐配管206内の圧力が変わるので,その付加ガス供給による圧力の変動分も考慮して圧力比を制御することによって,第1,第2処理ガスの流量比を変えることなく,分流量調整手段230による分流量の調整を行うためである。   Specifically, for example, as shown in FIG. 5, the processes of step S170 and step S180 are added before the process of step S200. That is, in the process shown in FIG. 5, if it is determined in step S160 that the pressures in the first and second branch pipes 204 and 206 are stable, the control unit 300 controls the flow rate adjusting means 230 in step S170. To pressure ratio control. Specifically, the pressure ratio in the first and second branch pipes 204 and 206 when the pressure is stable is set as a new target pressure ratio, and the control for the flow rate adjusting means 230 is controlled in the first and second branch pipes 204 and 206. Switch to pressure ratio control to adjust the flow rate so that the pressure ratio becomes the new target pressure ratio. The reason why the pressure ratio in the first and second branch pipes 204 and 206 at the time of pressure stabilization is set as a new target pressure ratio is to supply the additional gas to the second branch pipe 206 and to the second branch pipe accordingly. Since the pressure in 206 changes, by controlling the pressure ratio in consideration of the fluctuation in pressure due to the supply of the additional gas, the flow rate adjusting means 230 does not change the flow rate ratio of the first and second process gases. This is to adjust the partial flow rate.

次いでステップS180にて第1,第2分岐配管204,206の各圧力が安定したか否かを判断する。そして,ステップS180にて各圧力が安定したと判断した場合に,ステップS200にてウエハの処理を実行する。   Next, in step S180, it is determined whether or not the pressures of the first and second branch pipes 204 and 206 are stable. If it is determined in step S180 that the pressures are stable, wafer processing is performed in step S200.

このような図5に示す処理によれば,ウエハの処理を実行している間に上部電極134のガス噴出孔160aのコンダクタンスが変化するような場合にも,第1,第2処理ガスの流量比の変動を防止しつつ,ウエハの処理を行うことができる。   According to the process shown in FIG. 5, even when the conductance of the gas ejection hole 160a of the upper electrode 134 changes during the wafer process, the flow rates of the first and second process gases are changed. Wafer processing can be performed while preventing fluctuations in the ratio.

なお,上記実施形態における第2分岐流路206は,処理ガス供給配管202から分岐する複数の分岐流路で構成し,これら各第2分岐流路に付加ガス供給手段220からの付加ガスを供給可能に構成してもよい。これによれば,ウエハの外周部領域をさらに複数の領域に分けてそれぞれの領域に処理ガスを供給するように構成することができるので,ウエハの外周部領域における処理の均一性をより細かく制御することができる。   Note that the second branch flow path 206 in the above embodiment is configured by a plurality of branch flow paths branched from the processing gas supply pipe 202, and the additional gas from the additional gas supply means 220 is supplied to each of the second branch flow paths. You may comprise. According to this, it is possible to further divide the outer peripheral area of the wafer into a plurality of areas and supply the processing gas to each area, so that the processing uniformity in the outer peripheral area of the wafer can be controlled more finely. can do.

また,上記実施形態では,ガス供給装置200から供給された処理ガスが,処理室110の上部からウエハWに向けて噴出される場合について説明したが,必ずしもこれに限られるものではなく,処理室110の他の部分,例えば処理室110におけるプラズマ生成空間PSの側面からも処理ガスが噴出されるようにしてもよい。これによれば,プラズマ生成空間PSの上部と側部からそれぞれ所定の処理ガスを供給できるので,プラズマ生成空間PS内のガス濃度を調整することができる。これにより,ウエハの処理の面内均一性をさらに向上することができる。   In the above-described embodiment, the case where the processing gas supplied from the gas supply apparatus 200 is ejected from the upper portion of the processing chamber 110 toward the wafer W is not limited to this. The processing gas may be ejected from other portions of 110, for example, from the side surface of the plasma generation space PS in the processing chamber 110. According to this, since the predetermined process gas can be supplied from the upper part and the side part of the plasma generation space PS, the gas concentration in the plasma generation space PS can be adjusted. Thereby, the in-plane uniformity of wafer processing can be further improved.

以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。   As mentioned above, although preferred embodiment of this invention was described referring an accompanying drawing, it cannot be overemphasized that this invention is not limited to the example which concerns. It will be apparent to those skilled in the art that various changes and modifications can be made within the scope of the claims, and these are naturally within the technical scope of the present invention. Understood.

例えば,上記実施形態では,分岐配管の分流量を圧力調整部により調整する場合を例に挙げて説明したが,これに限定されるものではなく,マスフローコントローラを用いて分岐配管の分流量を調整してもよい。また,基板処理装置としてプラズマエッチング装置に適用した場合を説明したが,処理ガスが供給される他の基板処理装置,例えばプラズマCVD装置,スパッタリング装置,熱酸化装置などの成膜装置に本発明を適用してもよい。さらに本発明は,被処理基板としてウエハ以外の例えばFPD(フラットパネルディスプレイ),フォトマスク用のマスクレチクルなどの他の基板処理装置やMEMS(マイクロエレクトロメカニカルシステム)製造装置にも適用できる。   For example, in the above embodiment, the case where the branch flow rate of the branch pipe is adjusted by the pressure adjustment unit has been described as an example. However, the present invention is not limited to this, and the mass flow controller is used to adjust the branch flow rate of the branch pipe. May be. Although the case where the present invention is applied to a plasma etching apparatus as a substrate processing apparatus has been described, the present invention is applied to a film forming apparatus such as a plasma CVD apparatus, a sputtering apparatus, or a thermal oxidation apparatus to which a processing gas is supplied. You may apply. Further, the present invention can be applied to other substrate processing apparatuses such as FPD (Flat Panel Display), photomask mask reticles, and MEMS (micro electro mechanical system) manufacturing apparatuses other than wafers as substrates to be processed.

本発明は,処理室内にガスを供給するガス供給装置,基板処理装置,ガス供給方法に適用可能である。   The present invention is applicable to a gas supply apparatus, a substrate processing apparatus, and a gas supply method for supplying a gas into a processing chamber.

本発明の実施形態にかかる基板処理装置の構成例を示す断面図である。It is sectional drawing which shows the structural example of the substrate processing apparatus concerning embodiment of this invention. 図1に示す制御部の構成例を示すブロック図である。It is a block diagram which shows the structural example of the control part shown in FIG. 同実施形態にかかるガス供給装置の構成例を示すブロック図である。It is a block diagram which shows the structural example of the gas supply apparatus concerning the embodiment. 同実施形態にかかる基板処理装置の処理の1例を示すフローチャートである。It is a flowchart which shows an example of a process of the substrate processing apparatus concerning the embodiment. 同実施形態にかかる基板処理装置の処理の他の例を示すフローチャートである。It is a flowchart which shows the other example of a process of the substrate processing apparatus concerning the embodiment.

符号の説明Explanation of symbols

100 基板処理装置
110 処理室
111 接地導体
112 絶縁板
114 サセプタ支持台
116 サセプタ
118 静電チャック
120 電極
122 直流電源
124 フォーカスリング
126 内壁部材
128 冷媒室
130a,130b 配管
132 ガス供給ライン
134 上部電極
136 外側上部電極
138 内側上部電極
142 誘電体
144 絶縁性遮蔽部材
146 整合器
148 上部給電棒
150 コネクタ
152 給電筒
156 絶縁部材
160 電極板
160a ガス噴出孔
162 電極支持体
163(163a,163b) バッファ室
164 環状隔壁部材
170 下部給電筒
172 可変コンデンサ
174 排気口
176 排気管
178 排気装置
180 整合器
184 ローパスフィルタ
186 ハイパスフィルタ
200 ガス供給装置
202 処理ガス供給配管
204 第1分岐配管
206 第2分岐配管
208 付加ガス供給配管
210 処理ガス供給手段
212a〜212c ガス供給源
214a〜214c マスフローコントローラ
222a,222b ガス供給源
224a,224b マスフローコントローラ
230 分流量調整手段
232,234 圧力調整部
232a,234a 圧力センサ
232b,234b バルブ
240 圧力コントローラ
300 制御部
310 CPU
320 RAM
330 表示手段
340 操作手段
350 記憶手段
360 インタフェース
W ウエハ
100 substrate processing apparatus 110 processing chamber 111 ground conductor 112 insulating plate 114 susceptor support base 116 susceptor 118 electrostatic chuck 120 electrode 122 DC power supply 124 focus ring 126 inner wall member 128 refrigerant chamber 130a, 130b piping 132 gas supply line 134 upper electrode 136 outside Upper electrode 138 Inner upper electrode 142 Dielectric material 144 Insulating shielding member 146 Matching device 148 Upper power feeding rod 150 Connector 152 Power feeding cylinder 156 Insulating member 160 Electrode plate 160a Gas ejection hole 162 Electrode support 163 (163a, 163b) Buffer chamber 164 Annular Partition member 170 Lower feed cylinder 172 Variable capacitor 174 Exhaust port 176 Exhaust pipe 178 Exhaust device 180 Matching device 184 Low pass filter 186 High pass filter 200 Gas supply device 202 Process gas supply Pipe 204 First branch pipe 206 Second branch pipe 208 Additional gas supply pipe 210 Processing gas supply means 212a to 212c Gas supply sources 214a to 214c Mass flow controllers 222a and 222b Gas supply sources 224a and 224b Mass flow controller 230 Minute flow rate adjusting means 232 234 Pressure adjustment unit 232a, 234a Pressure sensor 232b, 234b Valve 240 Pressure controller 300 Control unit 310 CPU
320 RAM
330 Display means 340 Operation means 350 Storage means 360 Interface W Wafer

Claims (11)

被処理基板を処理する処理室内にガスを供給するガス供給装置であって,
前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,
前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,
前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,
前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,
所定の付加ガスを供給する付加ガス供給手段と,
前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路と,
前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行し,前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給する制御手段と,
を備えることを特徴とするガス供給装置。
A gas supply device for supplying a gas into a processing chamber for processing a substrate to be processed,
A processing gas supply means for supplying a processing gas for processing the substrate to be processed;
A processing gas supply path for flowing a processing gas from the processing gas supply means;
A first branch channel and a second branch channel branched from the processing gas supply channel and connected to different parts of the processing chamber;
A partial flow rate adjusting means for adjusting a partial flow rate of the processing gas diverted from the processing gas supply path to each branch flow path based on a pressure in each branch flow path;
An additional gas supply means for supplying a predetermined additional gas;
An additional gas supply flow path for joining the additional gas from the additional gas supply means to the second branch flow path on the downstream side of the partial flow rate adjusting means;
Prior to processing the substrate to be processed, a processing gas is supplied by the processing gas supply means, and the partial flow rate is adjusted so that the pressure ratio in each branch flow path becomes a target pressure ratio with respect to the partial flow rate adjusting means. When the pressure ratio control to be adjusted is executed and the pressure in each of the branch flow paths is stabilized, the control of the flow rate adjusting means is performed so that the flow rate in the first branch flow path is maintained so that the pressure in the first branch flow path is maintained. Control means for supplying additional gas by the additional gas supply means after switching to constant pressure control to be adjusted;
A gas supply device comprising:
前記制御手段は,前記付加ガスの供給開始後に,前記各分岐流路内の圧力が安定すると,その圧力安定時の前記各分岐流路内の圧力比を新たな目標圧力比とし,前記分流量調整手段に対する制御を前記各分岐流路内の圧力比が前記新たな目標圧力比になるように分流量を調整する圧力比制御に切り換えることを特徴とする請求項1に記載のガス供給装置。 When the pressure in each branch passage is stabilized after the supply of the additional gas is started, the control means sets the pressure ratio in each branch passage when the pressure is stabilized as a new target pressure ratio, and 2. The gas supply device according to claim 1, wherein the control for the adjusting means is switched to pressure ratio control for adjusting a partial flow rate so that a pressure ratio in each of the branch flow paths becomes the new target pressure ratio. 前記分流量調整手段は,前記各分岐流路を流れる処理ガスの流量を調整するためのバルブと前記各分岐流路内の圧力を測定するための圧力センサを備え,
前記各圧力センサからの検出圧力に基づいて前記バルブの開閉度を調整することにより,前記処理ガス供給流路からの処理ガスの流量比を調整することを特徴とする請求項1又は2に記載のガス供給装置。
The partial flow rate adjusting means includes a valve for adjusting the flow rate of the processing gas flowing through each branch channel and a pressure sensor for measuring the pressure in each branch channel,
The flow rate ratio of the processing gas from the processing gas supply flow path is adjusted by adjusting the degree of opening and closing of the valve based on the detected pressure from each pressure sensor. Gas supply device.
前記処理ガス供給手段は,複数のガス供給源を備え,前記各ガス供給源から所定流量で混合された処理ガスを前記処理ガス供給路へ供給することを特徴とする請求項1〜3のいずれかに記載のガス供給装置。 The process gas supply means includes a plurality of gas supply sources, and supplies the process gas mixed at a predetermined flow rate from each gas supply source to the process gas supply path. The gas supply device according to claim 1. 前記付加ガス供給手段は,複数のガス供給源を備え,前記各ガス供給源から選択され或いは所定のガス流量比で混合された付加ガスを前記付加ガス供給路へ供給することを特徴とする請求項1〜4のいずれかに記載のガス供給装置。 The additional gas supply means includes a plurality of gas supply sources, and supplies the additional gas selected from the gas supply sources or mixed at a predetermined gas flow rate ratio to the additional gas supply path. Item 5. The gas supply device according to any one of Items 1 to 4. 前記第1分岐流路は,この流路を流れる処理ガスが前記処理室内の被処理基板表面上の中心部領域へ向けて供給されるように配設し,
前記第2分岐流路は,この流路を流れる処理ガスが前記被処理基板表面上の外周部領域へ向けて供給されるように配設したことを特徴とする請求項1〜5のいずれかに記載のガス供給装置。
The first branch flow path is disposed so that the processing gas flowing through the flow path is supplied toward a central region on the surface of the substrate to be processed in the processing chamber,
The said 2nd branch flow path is arrange | positioned so that the process gas which flows through this flow path may be supplied toward the outer peripheral part area | region on the said to-be-processed substrate surface. The gas supply device described in 1.
前記第2分岐流路は,前記処理ガス供給路から分岐する複数の分岐流路からなり,前記各第2分岐流路に前記付加ガス供給手段からの付加ガスを供給可能に構成したことを特徴とする請求項1〜6のいずれかに記載のガス供給装置。 The second branch flow path includes a plurality of branch flow paths branched from the processing gas supply path, and is configured to be able to supply additional gas from the additional gas supply means to the second branch flow paths. The gas supply device according to any one of claims 1 to 6. 被処理基板を処理する処理室と,この処理室内にガスを供給するガス供給装置と,前記ガス供給装置を制御する制御手段とを備える基板処理装置であって,
前記ガス供給装置は,前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,所定の付加ガスを供給する付加ガス供給手段と,前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路とを備え,
前記制御手段は,前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行し,前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給することを特徴とする基板処理装置。
A substrate processing apparatus comprising a processing chamber for processing a substrate to be processed, a gas supply device for supplying a gas into the processing chamber, and a control means for controlling the gas supply device,
The gas supply device branches from a processing gas supply means for supplying a processing gas for processing the substrate to be processed, a processing gas supply path for supplying a processing gas from the processing gas supply means, and the processing gas supply path. A first branch channel and a second branch channel connected to different parts of the processing chamber; and a branch flow rate of the processing gas branched from the processing gas supply channel to each branch channel. A partial flow rate adjusting means for adjusting based on the internal pressure, an additional gas supply means for supplying a predetermined additional gas, and an additional gas from the additional gas supply means downstream of the second flow rate adjusting means in the second branch An additional gas supply channel that joins the channel,
Prior to the processing of the substrate to be processed, the control means supplies a processing gas by the processing gas supply means, and a pressure ratio in each branch flow path becomes a target pressure ratio with respect to the partial flow rate adjusting means. When the pressure ratio control for adjusting the partial flow rate is executed and the pressure in each branch flow path is stabilized, the pressure in the first branch flow path is maintained when the pressure is stabilized. Thus, the substrate processing apparatus is characterized in that the additional gas is supplied by the additional gas supply means after switching to the constant pressure control for adjusting the partial flow rate.
前記制御手段は,前記付加ガスの供給開始後に,前記各分岐流路内の圧力が安定すると,その圧力安定時の前記各分岐流路内の圧力比を新たな目標圧力比とし,前記分流量調整手段に対する制御を前記各分岐流路内の圧力比が前記新たな目標圧力比になるように分流量を調整する圧力比制御に切り換えてから,前記被処理基板の処理を開始することを特徴とする請求項8に記載の基板処理装置。 When the pressure in each branch passage is stabilized after the supply of the additional gas is started, the control means sets the pressure ratio in each branch passage when the pressure is stabilized as a new target pressure ratio, and The process for the substrate to be processed is started after the control for the adjusting means is switched to the pressure ratio control for adjusting the partial flow rate so that the pressure ratio in each branch flow path becomes the new target pressure ratio. The substrate processing apparatus according to claim 8. 被処理基板を処理する処理室内にガスを供給するガス供給装置を用いるガス供給方法であって,
前記ガス供給装置は,前記被処理基板を処理する処理ガスを供給する処理ガス供給手段と,前記処理ガス供給手段からの処理ガスを流す処理ガス供給路と,前記処理ガス供給路から分岐して前記処理室の異なる部位にそれぞれ接続される第1分岐流路及び第2分岐流路と,前記処理ガス供給路から前記各分岐流路に分流される処理ガスの分流量を前記各分岐流路内の圧力に基づいて調整する分流量調整手段と,所定の付加ガスを供給する付加ガス供給手段と,前記付加ガス供給手段からの付加ガスを前記分流量調整手段より下流側で前記第2分岐流路に合流させる付加ガス供給流路とを備え,
前記被処理基板の処理に先立って,前記処理ガス供給手段により処理ガスを供給し,前記分流量調整手段に対して前記各分岐流路内の圧力比が目標圧力比になるように分流量を調整する圧力比制御を実行する工程と,
前記圧力比制御によって前記各分岐流路内の圧力が安定すると,前記分流量調整手段に対する制御を圧力安定時の前記第1分岐流路内の圧力を保持するように分流量を調整する圧力一定制御に切り換えてから,前記付加ガス供給手段により付加ガスを供給する工程と,
を有することを特徴とするガス供給方法。
A gas supply method using a gas supply device for supplying a gas into a processing chamber for processing a substrate to be processed,
The gas supply device branches from a processing gas supply means for supplying a processing gas for processing the substrate to be processed, a processing gas supply path for supplying a processing gas from the processing gas supply means, and the processing gas supply path. A first branch channel and a second branch channel connected to different parts of the processing chamber; and a branch flow rate of the processing gas branched from the processing gas supply channel to each branch channel. A partial flow rate adjusting means for adjusting based on the internal pressure, an additional gas supply means for supplying a predetermined additional gas, and an additional gas from the additional gas supply means downstream of the second flow rate adjusting means in the second branch An additional gas supply channel that joins the channel,
Prior to processing the substrate to be processed, a processing gas is supplied by the processing gas supply means, and the partial flow rate is adjusted so that the pressure ratio in each branch flow path becomes a target pressure ratio with respect to the partial flow rate adjusting means. Executing the pressure ratio control to be adjusted;
When the pressure in each branch flow path is stabilized by the pressure ratio control, the control for the flow rate adjusting means controls the partial flow rate so as to maintain the pressure in the first branch flow path when the pressure is stable. A step of supplying an additional gas by the additional gas supply means after switching to control;
A gas supply method comprising:
前記付加ガスの供給開始後に,前記各分岐流路内の圧力が安定すると,その圧力安定時の前記各分岐流路内の圧力比を新たな目標圧力比とし,前記分流量調整手段に対する制御を前記各分岐流路内の圧力比が前記新たな目標圧力比になるように分流量を調整する圧力比制御に切り換える工程を有することを特徴とする請求項10に記載のガス供給方法。
When the pressure in each branch flow path is stabilized after the supply of the additional gas is started, the pressure ratio in each branch flow path at the time of the pressure stabilization is set as a new target pressure ratio, and the control for the flow rate adjusting means is performed. The gas supply method according to claim 10, further comprising a step of switching to pressure ratio control for adjusting a partial flow rate so that a pressure ratio in each of the branch flow paths becomes the new target pressure ratio.
JP2006000241A 2006-01-04 2006-01-04 Gas supply apparatus, substrate processing apparatus, and gas supply method Active JP4357487B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006000241A JP4357487B2 (en) 2006-01-04 2006-01-04 Gas supply apparatus, substrate processing apparatus, and gas supply method
US11/615,062 US20070151668A1 (en) 2006-01-04 2006-12-22 Gas supply system, substrate processing apparatus, and gas supply method
US12/419,367 US8221638B2 (en) 2006-01-04 2009-04-07 Gas supply system, substrate processing apparatus and gas supply method
US13/524,613 US8375893B2 (en) 2006-01-04 2012-06-15 Gas supply system, substrate processing apparatus and gas supply method
US13/739,764 US8561572B2 (en) 2006-01-04 2013-01-11 Gas supply system, substrate processing apparatus and gas supply method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006000241A JP4357487B2 (en) 2006-01-04 2006-01-04 Gas supply apparatus, substrate processing apparatus, and gas supply method

Publications (2)

Publication Number Publication Date
JP2007184329A true JP2007184329A (en) 2007-07-19
JP4357487B2 JP4357487B2 (en) 2009-11-04

Family

ID=38340173

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006000241A Active JP4357487B2 (en) 2006-01-04 2006-01-04 Gas supply apparatus, substrate processing apparatus, and gas supply method

Country Status (1)

Country Link
JP (1) JP4357487B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207808A (en) * 2006-01-31 2007-08-16 Tokyo Electron Ltd Gas supply apparatus, board treatment apparatus and gas supply method
WO2012002232A1 (en) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8651135B2 (en) 2010-06-30 2014-02-18 Kabushiki Kaisha Toshiba Mass flow controller, mass flow controller system, substrate processing device, and gas flow rate adjusting method
JP2014222702A (en) * 2013-05-13 2014-11-27 東京エレクトロン株式会社 Method for supplying gas, and plasma processing apparatus
JP2015018836A (en) * 2013-07-08 2015-01-29 東京エレクトロン株式会社 Cleaning method and substrate processing apparatus
JP2015023049A (en) * 2013-07-16 2015-02-02 株式会社ディスコ Plasma etching device
WO2022246076A1 (en) * 2021-05-21 2022-11-24 Lam Research Corporation Tungsten wordline fill in high aspect ratio 3d nand architecture

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8300499B2 (en) 2009-07-14 2012-10-30 Navico, Inc. Linear and circular downscan imaging sonar
US8305840B2 (en) 2009-07-14 2012-11-06 Navico, Inc. Downscan imaging sonar
US9182486B2 (en) 2011-12-07 2015-11-10 Navico Holding As Sonar rendering systems and associated methods
US10151829B2 (en) 2016-02-23 2018-12-11 Navico Holding As Systems and associated methods for producing sonar image overlay
US11367425B2 (en) 2017-09-21 2022-06-21 Navico Holding As Sonar transducer with multiple mounting options

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207808A (en) * 2006-01-31 2007-08-16 Tokyo Electron Ltd Gas supply apparatus, board treatment apparatus and gas supply method
WO2012002232A1 (en) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5514310B2 (en) * 2010-06-28 2014-06-04 東京エレクトロン株式会社 Plasma processing method
US8889023B2 (en) 2010-06-28 2014-11-18 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8651135B2 (en) 2010-06-30 2014-02-18 Kabushiki Kaisha Toshiba Mass flow controller, mass flow controller system, substrate processing device, and gas flow rate adjusting method
JP2014222702A (en) * 2013-05-13 2014-11-27 東京エレクトロン株式会社 Method for supplying gas, and plasma processing apparatus
JP2015018836A (en) * 2013-07-08 2015-01-29 東京エレクトロン株式会社 Cleaning method and substrate processing apparatus
JP2015023049A (en) * 2013-07-16 2015-02-02 株式会社ディスコ Plasma etching device
WO2022246076A1 (en) * 2021-05-21 2022-11-24 Lam Research Corporation Tungsten wordline fill in high aspect ratio 3d nand architecture

Also Published As

Publication number Publication date
JP4357487B2 (en) 2009-11-04

Similar Documents

Publication Publication Date Title
JP4895167B2 (en) Gas supply apparatus, substrate processing apparatus, and gas supply method
JP4357487B2 (en) Gas supply apparatus, substrate processing apparatus, and gas supply method
JP4911984B2 (en) Gas supply apparatus, substrate processing apparatus, gas supply method, and shower head
US9732909B2 (en) Gas supply method
US8906193B2 (en) Gas supply unit, substrate processing apparatus and supply gas setting method
US8561572B2 (en) Gas supply system, substrate processing apparatus and gas supply method
KR100753692B1 (en) Gas supply unit, substrate processing apparatus and supply gas setting method
JP2009117477A (en) Gas supply device, and substrate processing apparatus, and substrate processing method
JP4911982B2 (en) Gas supply apparatus, substrate processing apparatus, gas supply method, and gas supply control method
JP6027490B2 (en) Gas supply method and plasma processing apparatus
JP4410117B2 (en) Gas setting method, gas setting device, etching device and substrate processing system
KR20190005146A (en) Etching method
JP5580908B2 (en) Gas supply apparatus, substrate processing apparatus, and substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090804

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090804

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120814

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4357487

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120814

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150814

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250