JP2007163720A - Photosensitive siloxane composition, hardened film formed from the same, and element having hardened film - Google Patents

Photosensitive siloxane composition, hardened film formed from the same, and element having hardened film Download PDF

Info

Publication number
JP2007163720A
JP2007163720A JP2005358538A JP2005358538A JP2007163720A JP 2007163720 A JP2007163720 A JP 2007163720A JP 2005358538 A JP2005358538 A JP 2005358538A JP 2005358538 A JP2005358538 A JP 2005358538A JP 2007163720 A JP2007163720 A JP 2007163720A
Authority
JP
Japan
Prior art keywords
group
polysiloxane
compound
carbon atoms
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005358538A
Other languages
Japanese (ja)
Other versions
JP4655914B2 (en
Inventor
Hitoshi Araki
斉 荒木
Masahide Senoo
将秀 妹尾
Mitsufumi Suwa
充史 諏訪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toray Industries Inc
Original Assignee
Toray Industries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toray Industries Inc filed Critical Toray Industries Inc
Priority to JP2005358538A priority Critical patent/JP4655914B2/en
Publication of JP2007163720A publication Critical patent/JP2007163720A/en
Application granted granted Critical
Publication of JP4655914B2 publication Critical patent/JP4655914B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a photosensitive siloxane composition having characteristics of high heat resistance, high transparency and a low dielectric constant and showing little change in sensitivity or a film remaining rate in an unexposed part with lapse of time. <P>SOLUTION: The photosensitive siloxane composition contains (a) polysiloxane, (b) a quinone diazide compound, (c) a solvent and (d) an organosilane compound expressed by general formula (1). <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、有機電界発光素子や液晶表示素子などの薄膜トランジスタ(TFT)基板用平坦化膜、あるいは半導体素子の層間絶縁膜、光通信分野での光学素子における光導波路のコアやクラッド材などの光学材料を形成するための感光性樹脂組成物、それから形成された硬化膜、およびその硬化膜を有する素子に関する。   The present invention relates to a flattening film for a thin film transistor (TFT) substrate such as an organic electroluminescent element or a liquid crystal display element, an interlayer insulating film of a semiconductor element, or an optical waveguide core or cladding material in an optical element in the optical communication field. The present invention relates to a photosensitive resin composition for forming a material, a cured film formed therefrom, and an element having the cured film.

近年、液晶ディスプレイや有機ELディスプレイなどにおいて、さらなる高精細・高解像度を実現する方法として、表示装置の開口率を上げる方法が知られている(特許文献1参照)。これは基板上に作製されたTFT素子の上に平坦化材料を塗布、熱硬化させることによってTFT素子上に透明な耐熱性平坦化膜を作製し、光をより効率的に透過させる方法である。   In recent years, a method for increasing the aperture ratio of a display device is known as a method for realizing higher definition and higher resolution in a liquid crystal display, an organic EL display, and the like (see Patent Document 1). In this method, a planarizing material is applied on a TFT element formed on a substrate and thermally cured to produce a transparent heat-resistant planarizing film on the TFT element, thereby transmitting light more efficiently. .

TFT基板用平坦化膜は、高耐熱性、高透明性に加えて、基板接着性を有することが求められており、これに対して、フェノール系樹脂とキノンジアジド化合物にシランカップリング剤を添加した組成物(特許文献2参照)、あるいはアクリル系樹脂とキノンジアジド化合物に、シランカップ剤を添加した組成物(特許文献3参照)が知られている。いずれもシランカップリング剤は基板との接着改良を目的として添加されている。しかしながら、これらの組成物から形成された平坦化膜は、シランカップリング剤の作用によって、基板接着性は良好であるが、組成物を構成しているポリマー自体の耐熱性が不十分であり、基板の高温処理によって、平坦化膜は着色して透明性が低下するという問題がある。加えて、これらの組成物が含有するシランカップリング剤は組成物の保存中に加水分解によるシラノール基を生成し、さらにシラノール基の縮合反応によって粘度の上昇やゲル化発生などの保存安定性を悪化させる要因となっている。粘度上昇やゲル化は、長期保存後の組成物からパターンを形成する際の感度や未露光部残膜率を、保存前の組成物のそれより大きく変化させてしまう。感度や未露光部残膜率が変化すると、長期保存前と同条件でパターンを形成しようとしてもパターンが形成できない、あるいはホールが必要以上に大きくなる、パターン形成後の膜厚が長期保存前とは変化するなどの現象が起こる。   The planarization film for TFT substrate is required to have substrate adhesion in addition to high heat resistance and high transparency. In contrast, a silane coupling agent is added to a phenolic resin and a quinonediazide compound. Known are compositions (see Patent Document 2) or compositions in which a silane cup agent is added to an acrylic resin and a quinonediazide compound (see Patent Document 3). In any case, the silane coupling agent is added for the purpose of improving adhesion to the substrate. However, the planarization film formed from these compositions has good substrate adhesion due to the action of the silane coupling agent, but the heat resistance of the polymer itself constituting the composition is insufficient, Due to the high temperature treatment of the substrate, there is a problem that the planarization film is colored and the transparency is lowered. In addition, the silane coupling agents contained in these compositions generate silanol groups by hydrolysis during storage of the composition, and further, storage stability such as increase in viscosity and gelation due to condensation reaction of silanol groups. It is a factor that makes it worse. The increase in viscosity and gelation change the sensitivity when forming a pattern from the composition after long-term storage and the remaining film ratio of the unexposed part to be larger than those of the composition before storage. If the sensitivity and unexposed area remaining film ratio change, even if you try to form a pattern under the same conditions as before long-term storage, the pattern cannot be formed, or the holes become larger than necessary, and the film thickness after pattern formation is before long-term storage The phenomenon such as change occurs.

一方、平坦化膜を構成する材料として高耐熱性、高透明性に優れたポリシロキサンが知られている。ポリシロキサンは耐熱性が良好なだけでなく、ポリマー自体がシランカップリング剤の重合体であると言えることから、良好な接着性を有している。ポリシロキサンに感光性を付与した系としては、ポリシロキサンとキノンジアジド化合物を用いた組成物(特許文献4参照)が知られている。しかし、この組成物は、高耐熱性、高透明性、低誘電率性、基板接着性は良好であるものの、ポリシロキサン中にあるアルカリ可溶性基であるシラノール基が室温で不安定のため、保存中に縮合反応を起こし、前述のシランカップリング剤を添加している組成物と同様に、長期保存後の組成物からパターンを形成する際の感度や未露光部残膜率が大きく変化するという問題があった。
特許第2933879号公報(第1頁) 特開2003−43688号公報(第8頁) 特開2005−049691号公報(第18頁) 特開平3−288857号公報(第1−2頁)
On the other hand, a polysiloxane excellent in high heat resistance and high transparency is known as a material constituting the planarizing film. Polysiloxane not only has good heat resistance, but also has good adhesion because the polymer itself can be said to be a polymer of a silane coupling agent. As a system imparting photosensitivity to polysiloxane, a composition using polysiloxane and a quinonediazide compound is known (see Patent Document 4). However, although this composition has high heat resistance, high transparency, low dielectric constant and good substrate adhesion, the silanol group, which is an alkali-soluble group in polysiloxane, is unstable at room temperature, so it can be stored. As in the case of the composition in which a condensation reaction is caused and the silane coupling agent is added, the sensitivity when forming a pattern from the composition after long-term storage and the unexposed part remaining film ratio greatly change. There was a problem.
Japanese Patent No. 2933879 (first page) JP 2003-43688 A (page 8) Japanese Patent Laying-Open No. 2005-049791 (page 18) JP-A-3-288857 (page 1-2)

これまでは、長期間保存後においてもパターンを形成する際の感度低下やパターン形成後の残膜率低下がなく、同時に高耐熱性、高透明性、高接着性を有する平坦化膜に適した材料がなかった。本発明は上記のような事情に基づいてなされたものであり、経時による感度や未露光部残膜率の変化が小さい感光性シロキサン組成物を提供することにある。さらに熱硬化後により高透明性を有する硬化膜を得ることができる感光性シロキサン組成物を提供することにある。また、本発明のもう一つの目的は、上記のポジ型感光性シロキサン組成物から形成されたTFT基板用平坦化膜、層間絶縁膜、コアやクラッド材などの硬化膜、およびその硬化膜を有する表示素子、半導体素子、光導波路などの素子を提供する。   Until now, even after long-term storage, there is no decrease in sensitivity when forming a pattern, and there is no decrease in the remaining film ratio after pattern formation, and at the same time, it is suitable for a flattening film having high heat resistance, high transparency, and high adhesiveness. There was no material. This invention is made | formed based on the above situations, and is providing the photosensitive siloxane composition with a small change of the sensitivity and unexposed part residual film ratio with time. Furthermore, it is providing the photosensitive siloxane composition which can obtain the cured film which has high transparency after thermosetting. Another object of the present invention is to have a planarized film for a TFT substrate, an interlayer insulating film, a cured film such as a core and a clad material, and the cured film formed from the positive photosensitive siloxane composition. An element such as a display element, a semiconductor element, or an optical waveguide is provided.

すなわち本発明は、(a)ポリシロキサン、(b)キノンジアジド化合物、(c)溶剤、(d)一般式(1)で表されるオルガノシラン化合物を含有する感光性シロキサン組成物である。   That is, the present invention is a photosensitive siloxane composition containing (a) polysiloxane, (b) quinonediazide compound, (c) solvent, and (d) an organosilane compound represented by the general formula (1).

Figure 2007163720
Figure 2007163720

、Rは同じでも異なってもよく、水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR、Rはそれぞれ同じでも異なっていてもよい。Rは水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。nは2または3を表す。 R 1 and R 2 may be the same or different and each represents hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, or an aryl group having 6 to 15 carbon atoms, and a plurality of R 1 and R 2 may be the same or different. R 3 represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 3 may be the same or different. . n represents 2 or 3.

本発明の感光性シロキサン組成物は、長期保存後の組成物からパターン膜を形成しても、保存前の組成物から得られるパターン膜と同じ感度や未露光部残膜率が得られる。すなわち経時による感度や未露光部残膜率の変化が小さい、良好な保存安定性を有している。また、高耐熱性、高透明性、低誘電率性のいずれも優れた特性を有する硬化膜が作製できる。   Even if the photosensitive siloxane composition of this invention forms a pattern film from the composition after long-term storage, the same sensitivity and unexposed part residual film ratio as the pattern film obtained from the composition before storage are obtained. That is, it has good storage stability with little change in sensitivity and unexposed part remaining film ratio over time. Further, a cured film having excellent properties such as high heat resistance, high transparency, and low dielectric constant can be produced.

本発明は、a)ポリシロキサン、(b)キノンジアジド化合物、(c)溶剤、(d)一般式(1)で表されるオルガノシラン化合物を含有する感光性シロキサン組成物である。本発明を以下に説明する。   The present invention is a photosensitive siloxane composition containing a) a polysiloxane, (b) a quinonediazide compound, (c) a solvent, and (d) an organosilane compound represented by the general formula (1). The present invention will be described below.

本発明で用いる(a)ポリシロキサンは、一般式(2)で表されるオルガノシランの1種以上を混合、反応させることによって得られるポリシロキサン、あるいは一般式(3)で表される直鎖状ポリシロキサンの1種以上を混合、反応させることによって得られるポリシロキサン、あるいは一般式(2)で表されるオルガノシランを1種以上と一般式(3)で表される直鎖状ポリシロキサンおよび/またはシリカ粒子1種以上とを混合、反応させることによって得られるポリシロキサンが挙げられる。   The polysiloxane (a) used in the present invention is a polysiloxane obtained by mixing and reacting at least one organosilane represented by the general formula (2), or a straight chain represented by the general formula (3). A polysiloxane obtained by mixing and reacting one or more of a polysiloxane or a linear polysiloxane represented by a general formula (3) with at least one organosilane represented by the general formula (2) And / or polysiloxane obtained by mixing and reacting with one or more silica particles.

Figure 2007163720
Figure 2007163720

は水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。Rは水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。mは0から3の整数を表す。 R 4 represents any one of hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 4 may be the same or different. . R 5 represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 5 may be the same or different. . m represents an integer of 0 to 3.

Figure 2007163720
Figure 2007163720

、R、R、Rはそれぞれ独立して水素、炭素数1〜6のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR、Rはそれぞれ同じでも異なっていてもよい。R10、R11はそれぞれ独立して水素、炭素数1〜6のアルキル基、炭素数1〜6のアシル基、炭素数6〜15のアリール基のいずれかを表す。kは1から1000の範囲を表す。 R 6 , R 7 , R 8 and R 9 each independently represent any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an alkenyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, The plurality of R 6 and R 7 may be the same or different. R 10 and R 11 each independently represent hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 1 to 6 carbon atoms, or an aryl group having 6 to 15 carbon atoms. k represents a range of 1 to 1000.

一般式(2)で表されるオルガノシランにおいて、Rは、水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。また、これらのアルキル基、アルケニル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、t−ブチル基、n−ヘキシル基、n−デシル基、トリフルオロメチル基、3,3,3−トリフルオロプロピル基、3−グリシドキシプロピル基、2−(3,4−エポキシシクロヘキシル)エチル基、3−アミノプロピル基、3−メルカプトプロピル基、3−イソシアネートプロピル基が挙げられる。アルケニル基の具体例としては、ビニル基、3−アクリロキシプロピル基、3−メタクリロキシプロピル基が挙げられる。アリール基の具体例としては、フェニル基、トリル基、p−ヒドロキシフェニル基、1−(p−ヒドロキシフェニル)エチル基、2−(p−ヒドロキシフェニル)エチル基、4−ヒドロキシ−5−(p−ヒドロキシフェニルカルボニルオキシ)ペンチル基、ナフチル基が挙げられる。 In the organosilane represented by the general formula (2), R 4 represents any one of hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, and an aryl group having 6 to 15 carbon atoms. The plurality of R 4 may be the same or different from each other. These alkyl groups, alkenyl groups, and aryl groups may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, t-butyl group, n-hexyl group, n-decyl group, trifluoromethyl group, 3, 3 , 3-trifluoropropyl group, 3-glycidoxypropyl group, 2- (3,4-epoxycyclohexyl) ethyl group, 3-aminopropyl group, 3-mercaptopropyl group and 3-isocyanatopropyl group. Specific examples of the alkenyl group include a vinyl group, a 3-acryloxypropyl group, and a 3-methacryloxypropyl group. Specific examples of the aryl group include phenyl group, tolyl group, p-hydroxyphenyl group, 1- (p-hydroxyphenyl) ethyl group, 2- (p-hydroxyphenyl) ethyl group, 4-hydroxy-5- (p -Hydroxyphenylcarbonyloxy) pentyl group, naphthyl group.

一般式(2)のRは水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のR12はそれぞれ同じでも異なっていてもよい。また、これらのアルキル基、アシル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基が挙げられる。アシル基の具体例としては、アセチル基が挙げられる。アリール基の具体例としては、フェニル基が挙げられる。 R 5 in the general formula (2) represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 12 are the same. But it can be different. These alkyl groups, acyl groups and aryl groups may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, and an n-butyl group. Specific examples of the acyl group include an acetyl group. Specific examples of the aryl group include a phenyl group.

一般式(2)のmは0から3の整数を表す。m=0の場合は4官能性シラン、m=1の場合は3官能性シラン、m=2の場合は2官能性シラン、m=3の場合は1官能性シランである。   M in the general formula (2) represents an integer of 0 to 3. A tetrafunctional silane when m = 0, a trifunctional silane when m = 1, a bifunctional silane when m = 2, and a monofunctional silane when m = 3.

一般式(2)で表されるオルガノシランの具体例としては、テトラメトキシシラン、テトラエトキシシラン、テトラアセトキシシラン、テトラフェノキシシランなどの4官能性シラン、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリイソプロポキシシラン、メチルトリn−ブトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、エチルトリイソプロポキシシラン、エチルトリn−ブトキシシラン、n−プロピルトリメトキシシラン、n−プロピルトリエトキシシラン、n−ブチルトリメトキシシラン、n−ブチルトリエトキシシラン、n−ヘキシルトリメトキシシラン、n−ヘキシルトリエトキシシラン、デシルトリメトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、3−アクリロキシプロピルトリメトキシシラン、3−アクリロキシプロピルトリエトキシシラン、3−メタクリロキシプロピルトリメトキシシラン、3−メタクリロキシプロピルトリエトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、p−ヒドロキシフェニルトリメトキシシラン、1−(p−ヒドロキシフェニル)エチルトリメトキシシラン、2−(p−ヒドロキシフェニル)エチルトリメトキシシラン、4−ヒドロキシ−5−(p−ヒドロキシフェニルカルボニルオキシ)ペンチルトリメトキシシラン、トリフルオロメチルトリメトキシシラン、トリフルオロメチルトリエトキシシラン、3,3,3−トリフルオロプロピルトリメトキシシラン、3−アミノプロピルトリメトキシシラン、3−アミノプロピルトリエトキシシラン、3−グリシドキシプロピルトリメトキシシラン、3−グリシドキシプロピルトリエトキシシラン、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン、3−メルカプトプロピルトリメトキシシラン、ヒドロキシメチルトリメトキシシラン、ヒドロキシメチルトリエトキシシラン、2−ヒドロキシエチルトリメトキシシラン、3−ヒドロキシプロピルトリメトキシシラン、3−ヒドロキシプロピルトリエトキシシラン、3−グリシドキシプロピルトリメトキシシラン、3−グリシドキシプロピルトリエトキシシラン、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン、2−(3,4−エポキシシクロヘキシル)エチルトリエトキシシラン、〔(3−エチル−3−オキセタニル)メトキシ〕プロピルトリメトキシシラン、〔(3−エチル−3−オキセタニル)メトキシ〕プロピルトリエトキシシランなどの3官能性シラン、ジメチルジメトキシシラン、ジメチルジエトキシランジメチルジアセトキシシラン、ジn−ブチルジメトキシシラン、ジフェニルジメトキシシラン、(3−グリシドキシプロピル)メチルジメトキシシラン、(3−グリシドキシプロピル)メチルジエトキシシラン、3−ヒドロキシプロピルメチルジメトキシシラン、3−グリシドキシプロピルメチルジメトキシシラン、3−グリシドキシプロピルメチルジエトキシシラン、〔(3−エチル−3−オキセタニル)メトキシ〕プロピルメチルジメトキシシランなどの2官能性シラン、トリメチルメトキシシラン、トリn−ブチルエトキシシラン、(3−グリシドキシプロピル)ジメチルメトキシシラン、(3−グリシドキシプロピル)ジメチルエトキシシラン、3−ヒドロキシプロピルジメチルメトキシシラン、3−グリシドキシプロピルジメチルメトキシシラン、3−グリシドキシプロピルジメチルエトキシシラン、〔(3−エチル−3−オキセタニル)メトキシ〕プロピルジメチルメトキシシランなどの1官能性シランが挙げられる。なお、これらのオルガノシランは単独で使用しても、2種以上を組み合わせて使用してもよい。これらのオルガノシランの中でも、硬化膜の耐クラック性と硬度の点から3官能性シランが好ましく用いられる。   Specific examples of the organosilane represented by the general formula (2) include tetrafunctional silanes such as tetramethoxysilane, tetraethoxysilane, tetraacetoxysilane, and tetraphenoxysilane, methyltrimethoxysilane, methyltriethoxysilane, and methyl. Triisopropoxysilane, methyltri-n-butoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, ethyltriisopropoxysilane, ethyltrin-butoxysilane, n-propyltrimethoxysilane, n-propyltriethoxysilane, n-butyl Trimethoxysilane, n-butyltriethoxysilane, n-hexyltrimethoxysilane, n-hexyltriethoxysilane, decyltrimethoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, 3-a Lilooxypropyltrimethoxysilane, 3-acryloxypropyltriethoxysilane, 3-methacryloxypropyltrimethoxysilane, 3-methacryloxypropyltriethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, p-hydroxyphenyltrimethoxy Silane, 1- (p-hydroxyphenyl) ethyltrimethoxysilane, 2- (p-hydroxyphenyl) ethyltrimethoxysilane, 4-hydroxy-5- (p-hydroxyphenylcarbonyloxy) pentyltrimethoxysilane, trifluoromethyl Trimethoxysilane, trifluoromethyltriethoxysilane, 3,3,3-trifluoropropyltrimethoxysilane, 3-aminopropyltrimethoxysilane, 3-aminopropyltrieth Sisilane, 3-glycidoxypropyltrimethoxysilane, 3-glycidoxypropyltriethoxysilane, 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane, 3-mercaptopropyltrimethoxysilane, hydroxymethyltrimethoxysilane , Hydroxymethyltriethoxysilane, 2-hydroxyethyltrimethoxysilane, 3-hydroxypropyltrimethoxysilane, 3-hydroxypropyltriethoxysilane, 3-glycidoxypropyltrimethoxysilane, 3-glycidoxypropyltriethoxysilane 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane, 2- (3,4-epoxycyclohexyl) ethyltriethoxysilane, [(3-ethyl-3-oxetanyl) methoxy] propi Trifunctional silanes such as rutrimethoxysilane, [(3-ethyl-3-oxetanyl) methoxy] propyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxylane dimethyldiacetoxysilane, di-n-butyldimethoxysilane, diphenyldimethoxysilane , (3-glycidoxypropyl) methyldimethoxysilane, (3-glycidoxypropyl) methyldiethoxysilane, 3-hydroxypropylmethyldimethoxysilane, 3-glycidoxypropylmethyldimethoxysilane, 3-glycidoxypropyl Bifunctional silanes such as methyldiethoxysilane, [(3-ethyl-3-oxetanyl) methoxy] propylmethyldimethoxysilane, trimethylmethoxysilane, tri-n-butylethoxysilane, (3-glycidoxy Pill) dimethylmethoxysilane, (3-glycidoxypropyl) dimethylethoxysilane, 3-hydroxypropyldimethylmethoxysilane, 3-glycidoxypropyldimethylmethoxysilane, 3-glycidoxypropyldimethylethoxysilane, [(3- And monofunctional silanes such as ethyl-3-oxetanyl) methoxy] propyldimethylmethoxysilane. These organosilanes may be used alone or in combination of two or more. Among these organosilanes, trifunctional silanes are preferably used from the viewpoint of crack resistance and hardness of the cured film.

一般式(3)で表される直鎖状ポリシロキサンにおいて、R、R、R、Rは、それぞれ独立して水素、炭素数1〜6のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR、Rはそれぞれ同じでも異なっていてもよい。また、これらのアルキル基、アルケニル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基が挙げられる。アルケニル基の具体例としては、ビニル基、アクリロキシプロピル基、メタクリロキシプロピル基が挙げられる。アリール基の具体例としては、フェニル基、トリル基、ナフチル基が挙げられる。 In the linear polysiloxane represented by the general formula (3), R 6 , R 7 , R 8 and R 9 are each independently hydrogen, an alkyl group having 1 to 6 carbon atoms, or an alkyl group having 2 to 6 carbon atoms. It represents either an alkenyl group or an aryl group having 6 to 15 carbon atoms, and the plurality of R 6 and R 7 may be the same or different. These alkyl groups, alkenyl groups, and aryl groups may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include a methyl group, an ethyl group, and an n-propyl group. Specific examples of the alkenyl group include a vinyl group, an acryloxypropyl group, and a methacryloxypropyl group. Specific examples of the aryl group include a phenyl group, a tolyl group, and a naphthyl group.

一般式(3)のR10、R11は、それぞれ独立して水素、炭素数1〜6のアルキル基、炭素数1〜6のアシル基、炭素数6〜15のアリール基のいずれかを表す。これらのアルキル基、アシル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基が挙げられる。アシル基の具体例としては、アセチル基が挙げられる。アリール基の具体例としては、フェニル基が挙げられる。 R 10 and R 11 in the general formula (3) each independently represent hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 1 to 6 carbon atoms, or an aryl group having 6 to 15 carbon atoms. . Any of these alkyl groups, acyl groups, and aryl groups may be unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, and an n-butyl group. Specific examples of the acyl group include an acetyl group. Specific examples of the aryl group include a phenyl group.

一般式(3)のkは1から1000の範囲であり、好ましくは2〜100の範囲、さらに好ましくは3〜50の範囲である。kが1000より大きいと、塗布膜が白濁し、高透明性の膜を得ることが困難である。   In the general formula (3), k is in the range of 1 to 1000, preferably 2 to 100, and more preferably 3 to 50. When k is larger than 1000, the coating film becomes cloudy and it is difficult to obtain a highly transparent film.

一般式(3)で表される直鎖状ポリシロキサンの具体例として、1,1,3,3−テトラメチル−1,3−ジメトキシジシロキサン、1,1,3,3−テトラメチル−1,3−ジエトキシジシロキサン、1,1,3,3−テトラエチル−1,3−ジメトキシジシロキサン、1,1,3,3−テトラエチル−1,3−ジエトキシジシロキサン、下記に示すゲレスト社製シラノール末端ポリジメチルシロキサン(以下商品名を示す)“DMS−S12”(分子量400〜700)、“DMS−S15”(分子量1500〜2000)、“DMS−S21”(分子量4200)、“DMS−S27”(分子量18000)、“DMS−S31”(分子量26000)、“DMS−S32”(分子量36000)、“DMS−S33”(分子量43500)、“DMS−S35”(分子量49000)、“DMS−S38”(分子量58000)、“DMS−S42”(分子量77000)、下記に示すゲレスト社製シラノール末端ジフェニルシロキサン−ジメチルシロキサンコポリマー“PSD−0332”(分子量35000、ジフェニルシロキサンを2.5〜3.5モル%共重合している)、“PDS−1615”(分子量900〜1000、ジフェニルシロキサンを14〜18モル%共重合している)、ゲレスト社製シラノール末端ポリジフェニルシロキサン“PDS−9931”(分子量1000〜1400)が挙げられる。なお、これらの直鎖状ポリシロキサンは単独で使用しても、2種以上を組み合わせて使用してもよい。   Specific examples of the linear polysiloxane represented by the general formula (3) include 1,1,3,3-tetramethyl-1,3-dimethoxydisiloxane, 1,1,3,3-tetramethyl-1 , 3-diethoxydisiloxane, 1,1,3,3-tetraethyl-1,3-dimethoxydisiloxane, 1,1,3,3-tetraethyl-1,3-diethoxydisiloxane, Gerest Corporation shown below Silanol-terminated polydimethylsiloxanes (hereinafter referred to as trade names) “DMS-S12” (molecular weight 400-700), “DMS-S15” (molecular weight 1500-2000), “DMS-S21” (molecular weight 4200), “DMS-” "S27" (molecular weight 18000), "DMS-S31" (molecular weight 26000), "DMS-S32" (molecular weight 36000), "DMS-S33" (molecular weight 435) 0), “DMS-S35” (molecular weight 49000), “DMS-S38” (molecular weight 58000), “DMS-S42” (molecular weight 77000), the following silanol-terminated diphenylsiloxane-dimethylsiloxane copolymer “PSD-” manufactured by Gerest 0332 "(molecular weight 35000, copolymerized with diphenylsiloxane 2.5-3.5 mol%)," PDS-1615 "(molecular weight 900-1000, diphenylsiloxane copolymerized 14-18 mol%) And Silanol-terminated polydiphenylsiloxane “PDS-9931” (molecular weight 1000 to 1400) manufactured by Gerest. These linear polysiloxanes may be used alone or in combination of two or more.

直鎖状ポリシロキサンを用いると、組成物の保存安定性が向上する。これは、直鎖部分が橋かけ的に存在することによって、未反応シラノール基同士が近づきにくく、組成物を貯蔵している間に副反応である縮合反応が起こりにくくなるためと考えられる。   When linear polysiloxane is used, the storage stability of the composition is improved. This is presumably because the unreacted silanol groups are unlikely to approach each other due to the presence of the straight chain portion in a bridging manner, and the condensation reaction, which is a side reaction, is less likely to occur during storage of the composition.

一般式(2)で表されるオルガノシランと、一般式(3)で表される直鎖状ポリシロキサンを混合して用いる場合の混合比率は特に制限されないが、好ましくはSi原子モル数でオルガノシラン/直鎖状ポリシロキサン=100〜50/0〜50である。直鎖状ポリシロキサンが50モル%より多いと相分離を起こし、塗布膜が白濁して透明性が低下する。   The mixing ratio of the organosilane represented by the general formula (2) and the linear polysiloxane represented by the general formula (3) is not particularly limited. Silane / linear polysiloxane = 100-50 / 0-50. When the amount of the linear polysiloxane is more than 50 mol%, phase separation occurs, the coating film becomes cloudy and transparency is lowered.

また、硬化膜の耐クラック性と硬度を両立させる観点から、ポリシロキサン中にあるフェニル基の含有率はSi原子に対して5〜70モル%が好ましく、さらに好ましくは10〜60モル%である。フェニル基の含有率が70モル%より多いと硬度が低下し、フェニル基含有率が5モル%より少ないと耐クラック性が低下する。フェニル基の含有率は、例えば、ポリシロキサンの29Si−核磁気共鳴スペクトルを測定し、そのフェニル基が結合したSiのピーク面積とフェニル基が結合していないSiのピーク面積の比から求めることができる。なおここで言うフェニル基とはフェノール、アニリンなど、有機基で置換された芳香環を含む。 Further, from the viewpoint of achieving both crack resistance and hardness of the cured film, the content of the phenyl group in the polysiloxane is preferably from 5 to 70 mol%, more preferably from 10 to 60 mol%, based on Si atoms. . When the phenyl group content is higher than 70 mol%, the hardness decreases, and when the phenyl group content is lower than 5 mol%, crack resistance decreases. The phenyl group content is determined, for example, by measuring the 29 Si-nuclear magnetic resonance spectrum of polysiloxane and determining the ratio of the peak area of Si bonded to the phenyl group and the peak area of Si bonded to no phenyl group. Can do. The phenyl group mentioned here includes an aromatic ring substituted with an organic group such as phenol and aniline.

また、本発明で用いるポリシロキサンの重量平均分子量(Mw)は特に制限されないが、好ましくはGPC(ゲルパーミエーションクロマトグラフィ)で測定されるポリスチレン換算で1000〜100000、さらに好ましくは2000〜50000である。Mwが1000より小さいと塗膜性が悪くなり、100000より大きいとパターン形成時の現像液に対する溶解性が悪くなる。   The weight average molecular weight (Mw) of the polysiloxane used in the present invention is not particularly limited, but is preferably 1000 to 100,000, more preferably 2000 to 50,000 in terms of polystyrene measured by GPC (gel permeation chromatography). When Mw is less than 1000, the coating properties are deteriorated, and when it is greater than 100,000, the solubility in a developer during pattern formation is deteriorated.

本発明で用いるポリシロキサンは共重合体であり、該ポリシロキサンの少なくとも一部に化学的に結合したシリカ粒子を含んでいてもよい。ポリシロキサンは、シリカ粒子1種類以上を混合、反応させることによって得られるポリマーであり、一部が、シリカ粒子と共有結合していることにより、ポリシロキサンの流動性を低下させることができるため、硬化後のパターン形状が維持され、また高解像度も得ることができる。   The polysiloxane used in the present invention is a copolymer, and may contain silica particles chemically bonded to at least a part of the polysiloxane. Polysiloxane is a polymer obtained by mixing and reacting one or more types of silica particles, and since some of them are covalently bonded to silica particles, the flowability of polysiloxane can be reduced. The pattern shape after curing is maintained, and high resolution can be obtained.

本発明で用いられるシリカ粒子の数平均粒子径は、好ましくは2nm〜200nmであり、さらに好ましくは5nm〜70nmである。2nmより小さいとパターン解像度の向上が十分ではなく、200nmより大きいと硬化膜が光散乱し透明性が低下する。ここで、シリカ粒子の数平均粒子径は、比表面積換算法により測定することができる。シリカ粒子を乾燥後、焼成した粉末をBET法で比表面積を測定して、粒子径に換算することができる。用いる機器は特に限定されないが、アサップ2020(Micromeritics社製)などを用いることができる。   The number average particle diameter of the silica particles used in the present invention is preferably 2 nm to 200 nm, more preferably 5 nm to 70 nm. If the thickness is smaller than 2 nm, the pattern resolution is not sufficiently improved. If the thickness is larger than 200 nm, the cured film is scattered and the transparency is lowered. Here, the number average particle diameter of the silica particles can be measured by a specific surface area conversion method. After drying the silica particles, the calcined powder can be converted to a particle size by measuring the specific surface area by the BET method. Although the apparatus to be used is not specifically limited, Asap 2020 (made by Micromeritics) etc. can be used.

シリカ粒子の具体例としては、イソプロパノールを分散剤とした粒子径12nmのIPA−ST、メチルイソブチルケトンを分散剤とした粒子径12nmのMIBK−ST、イソプロパノールを分散剤とした粒子径45nmのIPA−ST−L、イソプロパノールを分散剤とした粒子径100nmのIPA−ST−ZL、プロピレングリコールモノメチルエーテルを分散剤とした粒子径15nmのPGM−ST(以上、商品名、日産化学工業(株)製)、γ−ブチロラクトンを分散剤とした粒子径12nmのオスカル101、γ−ブチロラクトンを分散剤とした粒子径60nmのオスカル105、ジアセトンアルコールを分散剤とした粒子径120nmのオスカル106(以上、商品名、触媒化成工業(株)製)、プロピレングリコールモノメチルエーテルを分散剤とした粒子径16nmのクォートロンPL−2L−PGME、γ−ブチロラクトンを分散剤とした粒子径17nmのクォートロンPL−2L−BL、ジアセトンアルコールを分散剤とした粒子径17nmのクォートロンPL−2L−DAA(以上、商品名、扶桑化学工業(株)製)などが挙げられる。なお、これらのシリカ粒子は単独で使用しても、2種以上を組み合わせて使用してもよい。   Specific examples of the silica particles include IPA-ST having a particle size of 12 nm using isopropanol as a dispersant, 12 nm MIBK-ST using methyl isobutyl ketone as a dispersant, and IPA-ST having a particle size of 45 nm using isopropanol as a dispersant. ST-L, IPA-ST-ZL with a particle size of 100 nm using isopropanol as a dispersant, PGM-ST with a particle size of 15 nm using propylene glycol monomethyl ether as a dispersant (trade name, manufactured by Nissan Chemical Industries, Ltd.) Oscar 101 with a particle diameter of 12 nm using γ-butyrolactone as a dispersant, Oscar 105 with a particle diameter of 60 nm using γ-butyrolactone as a dispersant, Oscar 106 with a particle diameter of 120 nm using diacetone alcohol as a dispersant , Produced by Catalyst Chemical Industry Co., Ltd., propylene glycol monomer Quartron PL-2L-PGME with a particle size of 16 nm using chill ether as a dispersant, Quartron PL-2L-BL with a particle size of 17 nm using γ-butyrolactone as a dispersant, Quartron PL having a particle size of 17 nm using diacetone alcohol as a dispersant. -2L-DAA (trade name, manufactured by Fuso Chemical Industry Co., Ltd.) and the like. In addition, these silica particles may be used alone or in combination of two or more.

シリカ粒子を用いる場合の混合比率は、Si原子モル数でポリマー全体のSi原子モル数に対して1%〜40が好ましい。シリカ粒子が40%より多いと、硬化後のパターンが矩形となりITO蒸着による断線が生じることがあり、1%より少ないと流動抑制が不十分となりパターン崩れが生じることがある。なお、ポリマー全体のSi原子モル数に対するシリカ粒子のSi原子モル比は、IRにおいてSi−C結合由来のピークとSi−O結合由来のピークの積分比から求めることができる。ピークの重なりが多く求められない場合は、H−NMR、13C−NMR、IR、TOF−MSなどにより粒子以外のモノマーの構造を決定し、さらに元素分析法において発生する気体と残存する灰(すべてSiOと仮定する)の割合から求めることができる。 The mixing ratio in the case of using silica particles is preferably 1% to 40 with respect to the number of moles of Si atoms in terms of the number of moles of Si atoms. If the amount of silica particles is more than 40%, the cured pattern may be rectangular and disconnection due to ITO deposition may occur. If the amount is less than 1%, flow suppression may be insufficient and pattern collapse may occur. In addition, the Si atom molar ratio of the silica particles with respect to the number of moles of Si atoms in the whole polymer can be obtained from the integral ratio of the peak derived from the Si—C bond and the peak derived from the Si—O bond in IR. When a large amount of peak overlap is not required, the structure of the monomer other than particles is determined by 1 H-NMR, 13 C-NMR, IR, TOF-MS, etc., and further, the gas generated in the elemental analysis method and the remaining ash It can be determined from the ratio (assuming all SiO 2 ).

本発明におけるポリシロキサンは、上述のオルガノシラン、および/または直鎖状ポリシロキサン、および/またはシリカ粒子を加水分解および部分縮合させることにより得られる。加水分解および部分縮合には一般的な方法を用いることができる。例えば、混合物に溶媒、水、必要に応じて触媒を添加し、50〜150℃で0.5〜100時間程度加熱攪拌する。なお、攪拌中、必要に応じ、蒸留によって加水分解副生物(メタノールなどのアルコール)や縮合副生物(水)の留去を行ってもよい。   The polysiloxane in the present invention can be obtained by hydrolyzing and partially condensing the above-mentioned organosilane and / or linear polysiloxane and / or silica particles. A general method can be used for hydrolysis and partial condensation. For example, a solvent, water, and a catalyst as required are added to the mixture, and the mixture is heated and stirred at 50 to 150 ° C. for about 0.5 to 100 hours. During stirring, if necessary, hydrolysis by-products (alcohols such as methanol) and condensation by-products (water) may be distilled off by distillation.

上記の反応溶媒は特に制限されないが、通常は後述する溶剤と同様のものが用いられる。溶媒の添加量はオルガノシランと直鎖状ポリシロキサンの混合物100重量部に対して10〜1000重量部が好ましい。また加水分解反応に用いる水の添加量は、加水分解性基1モルに対して0.5〜2モルが好ましい。   The reaction solvent is not particularly limited, but usually the same solvent as described below is used. The amount of the solvent added is preferably 10 to 1000 parts by weight with respect to 100 parts by weight of the mixture of organosilane and linear polysiloxane. The amount of water used for the hydrolysis reaction is preferably 0.5 to 2 mol with respect to 1 mol of the hydrolyzable group.

必要に応じて添加される触媒は特に制限されないが、酸触媒、塩基触媒が好ましく用いられる。酸触媒の具体例としては塩酸、硝酸、硫酸、フッ酸、リン酸、酢酸、トリフルオロ酢酸、ギ酸、多価カルボン酸あるいはその無水物、イオン交換樹脂が挙げられる。塩基触媒の具体例としては、トリエチルアミン、トリプロピルアミン、トリブチルアミン、トリペンチルアミン、トリヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、ジエチルアミン、トリエタノールアミン、ジエタノールアミン、水酸化ナトリウム、水酸化カリウム、アミノ基を有するアルコキシシラン、イオン交換樹脂が挙げられる。触媒の添加量はオルガノシランと直鎖状ポリシロキサンの混合物100重量部に対して0.01〜10重量部が好ましい。なおシリカ粒子を用いた場合においても触媒の好ましい使用量は上記と同量である。   The catalyst added as necessary is not particularly limited, but an acid catalyst and a base catalyst are preferably used. Specific examples of the acid catalyst include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid, acetic acid, trifluoroacetic acid, formic acid, polyvalent carboxylic acid or anhydride thereof, and ion exchange resin. Specific examples of the base catalyst include triethylamine, tripropylamine, tributylamine, tripentylamine, trihexylamine, triheptylamine, trioctylamine, diethylamine, triethanolamine, diethanolamine, sodium hydroxide, potassium hydroxide, amino Examples include alkoxysilanes having groups and ion exchange resins. The addition amount of the catalyst is preferably 0.01 to 10 parts by weight with respect to 100 parts by weight of the mixture of organosilane and linear polysiloxane. Even when silica particles are used, the preferred amount of catalyst used is the same as described above.

また、組成物の保存安定性の観点から、加水分解、部分縮合後のポリシロキサン溶液には触媒が含まれないことが好ましく、必要に応じて触媒の除去を行うことができる。除去方法は特に制限されないが、好ましくは水洗浄、および/またはイオン交換樹脂の処理が挙げられる。水洗浄とは、ポリシロキサン溶液を適当な疎水性溶剤で希釈した後、水で数回洗浄して得られた有機層をエバポレーターで濃縮する方法である。イオン交換樹脂の処理とは、ポリシロキサン溶液を適当なイオン交換樹脂に接触させる方法である。   Further, from the viewpoint of the storage stability of the composition, the polysiloxane solution after hydrolysis and partial condensation preferably contains no catalyst, and the catalyst can be removed as necessary. The removal method is not particularly limited, but preferably includes water washing and / or treatment of an ion exchange resin. Water washing is a method in which an organic layer obtained by diluting a polysiloxane solution with an appropriate hydrophobic solvent and washing several times with water is concentrated by an evaporator. The treatment of the ion exchange resin is a method of bringing the polysiloxane solution into contact with an appropriate ion exchange resin.

本発明の感光性シロキサン組成物は、(b)キノンジアジド化合物を含有する。キノンジアジド化合物を含有する感光性シロキサン組成物は、露光部が現像液で除去されるポジ型を形成する。用いるキノンジアジド化合物は特に制限されないが、好ましくはフェノール性水酸基を有する化合物にナフトキノンジアジドスルホン酸がエステル結合した化合物であり、当該化合物のフェノール性水酸基のオルト位、およびパラ位がそれぞれ独立して水素、もしくは一般式(4)で表される置換基のいずれかである化合物が用いられる。   The photosensitive siloxane composition of the present invention contains (b) a quinonediazide compound. The photosensitive siloxane composition containing a quinonediazide compound forms a positive type in which the exposed portion is removed with a developer. The quinonediazide compound to be used is not particularly limited, but is preferably a compound in which naphthoquinonediazidesulfonic acid is ester-bonded to a compound having a phenolic hydroxyl group, and the ortho position and the para position of the phenolic hydroxyl group of the compound are independently hydrogen, Alternatively, a compound that is any of the substituents represented by the general formula (4) is used.

Figure 2007163720
Figure 2007163720

12、R13、R14はそれぞれ独立して炭素数1〜10のアルキル基、カルボキシル基、フェニル基、置換フェニル基のいずれかを表す。また、R12、R13、R14で環を形成してもよい。 R 12 , R 13 , and R 14 each independently represent any of an alkyl group having 1 to 10 carbon atoms, a carboxyl group, a phenyl group, and a substituted phenyl group. R 12 , R 13 and R 14 may form a ring.

一般式(4)のR12、R13、R14はそれぞれ独立して炭素数1〜10のアルキル基、カルボキシル基、フェニル基、置換フェニル基のいずれかを表す。アルキル基は無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、イソブチル基、t−ブチル基、n−ヘキシル基、シクロヘキシル基、n−ヘプチル基、n−オクチル基、トリフルオロメチル基、2−カルボキシエチル基が挙げられる。また、フェニル基に置換する置換基としては、水酸基が挙げられる。また、R12、R13、R14で環を形成してもよく、具体例としては、シクロペンタン環、シクロヘキサン環、アダマンタン環、フルオレン環が挙げられる。 R < 12 >, R <13> , R < 14 > of General formula (4) represents either C1-C10 alkyl group, a carboxyl group, a phenyl group, and a substituted phenyl group each independently. The alkyl group may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, t-butyl group, n-hexyl group, cyclohexyl group, n-heptyl group, n- Examples include an octyl group, a trifluoromethyl group, and a 2-carboxyethyl group. Moreover, a hydroxyl group is mentioned as a substituent substituted by a phenyl group. Further, R 12 , R 13 and R 14 may form a ring, and specific examples include a cyclopentane ring, a cyclohexane ring, an adamantane ring and a fluorene ring.

フェノール性水酸基のオルト位、およびパラ位が上記以外、例えばメチル基の場合、熱硬化によって酸化分解が起こり、キノイド構造に代表される共役系化合物が形成され、硬化膜が着色して無色透明性が低下する。なお、これらのキノンジアジド化合物は、フェノール性水酸基を有する化合物と、ナフトキノンジアジドスルホン酸クロリドとのエステル化反応により合成することができる。   When the ortho-position and para-position of the phenolic hydroxyl group are other than the above, for example, a methyl group, oxidative decomposition occurs due to thermal curing, a conjugated compound represented by a quinoid structure is formed, and the cured film is colored to be colorless and transparent Decreases. These quinonediazide compounds can be synthesized by an esterification reaction between a compound having a phenolic hydroxyl group and naphthoquinonediazidesulfonic acid chloride.

フェノール性水酸基を有するキノンジアジド化合物の具体例としては、以下の化合物が挙げられる(いずれも本州化学工業(株)製)。   Specific examples of the quinonediazide compound having a phenolic hydroxyl group include the following compounds (all manufactured by Honshu Chemical Industry Co., Ltd.).

Figure 2007163720
Figure 2007163720

Figure 2007163720
Figure 2007163720

ナフトキノンジアジドスルホン酸は、4−ナフトキノンジアジドスルホン酸あるいは5−ナフトキノンジアジドスルホン酸を用いることができる。4−ナフトキノンジアジドスルホン酸エステル化合物はi線(波長365nm)領域に吸収を持つため、i線露光に適している。また、5−ナフトキノンジアジドスルホン酸エステル化合物は広範囲の波長領域に吸収が存在するため、広範囲の波長における露光に適している。露光する波長によって4−ナフトキノンジアジドスルホン酸エステル化合物、5−ナフトキノンジアジドスルホン酸エステル化合物を選択することが好ましい。4−ナフトキノンジアジドスルホン酸エステル化合物と5−ナフトキノンジアジドスルホン酸エステル化合物を混合して用いることもできる。   As the naphthoquinone diazide sulfonic acid, 4-naphthoquinone diazide sulfonic acid or 5-naphthoquinone diazide sulfonic acid can be used. Since 4-naphthoquinonediazide sulfonic acid ester compound has absorption in the i-line (wavelength 365 nm) region, it is suitable for i-line exposure. Moreover, since 5-naphthoquinone diazide sulfonic acid ester compound has absorption in a wide range of wavelengths, it is suitable for exposure in a wide range of wavelengths. It is preferable to select a 4-naphthoquinone diazide sulfonic acid ester compound or a 5-naphthoquinone diazide sulfonic acid ester compound depending on the wavelength to be exposed. A 4-naphthoquinone diazide sulfonic acid ester compound and a 5-naphthoquinone diazide sulfonic acid ester compound may be mixed and used.

キノンジアジド化合物の添加量は特に制限されないが、好ましくはポリシロキサン100重量部に対して0.1〜15重量部であり、さらに好ましくは1〜10重量部である。キノンジアジド化合物の添加量が0.1重量部より少ない場合、露光部と未露光部との溶解コントラストが低すぎて、現実的な感光性が発現しない場合がある。また、さらに良好な溶解コントラストを得るためには1重量部以上が好ましい。一方、キノンジアジド化合物の添加量が15重量部より多い場合、ポリシロキサンとキノンジアジド化合物との相溶性が悪くなることによる塗布膜の白化が起こったり、熱硬化時に起こるキノンジアジド化合物の分解による着色が顕著になるために、硬化膜の無色透明性が低下する。また、さらに高透明性の膜を得るためには10重量部以下が好ましい。   The addition amount of the quinonediazide compound is not particularly limited, but is preferably 0.1 to 15 parts by weight, more preferably 1 to 10 parts by weight with respect to 100 parts by weight of the polysiloxane. When the addition amount of the quinonediazide compound is less than 0.1 part by weight, the dissolution contrast between the exposed part and the unexposed part is too low, and realistic photosensitivity may not be exhibited. In order to obtain a better dissolution contrast, the amount is preferably 1 part by weight or more. On the other hand, when the addition amount of the quinonediazide compound is more than 15 parts by weight, whitening of the coating film occurs due to poor compatibility between the polysiloxane and the quinonediazide compound, or coloring due to decomposition of the quinonediazide compound that occurs during thermal curing is remarkable. Therefore, the colorless transparency of the cured film is lowered. Further, in order to obtain a highly transparent film, the amount is preferably 10 parts by weight or less.

本発明の感光性シロキサン組成物は(c)溶剤を含有する。用いられる溶剤は特に制限されないが、好ましくはアルコール性水酸基を有する化合物、および/またはカルボニル基を有する環状化合物が用いられる。これらの溶剤を用いると、ポリシロキサンとキノンジアジド化合物とが均一に溶解し、組成物を塗布成膜しても膜は白化することなく、高透明性が達成できる。   The photosensitive siloxane composition of the present invention contains (c) a solvent. The solvent to be used is not particularly limited, but a compound having an alcoholic hydroxyl group and / or a cyclic compound having a carbonyl group is preferably used. When these solvents are used, the polysiloxane and the quinonediazide compound are uniformly dissolved, and even when the composition is applied, the film is not whitened and high transparency can be achieved.

また用いる溶剤の沸点は大気圧下において110〜250℃であることが好ましい。沸点が250℃より高いと膜中の残存溶剤量が多くなってしまい、キュア時の膜収縮が大きくなり、良好な平坦性が得られなくなる。一方、沸点が110℃より低いと、塗膜時の乾燥が速すぎて膜表面が荒れるなど塗膜性が悪くなる。   Moreover, it is preferable that the boiling point of the solvent to be used is 110-250 degreeC under atmospheric pressure. When the boiling point is higher than 250 ° C., the amount of residual solvent in the film increases, film shrinkage during curing increases, and good flatness cannot be obtained. On the other hand, if the boiling point is lower than 110 ° C., the coating properties deteriorate, such as drying at the time of coating is too fast and the film surface becomes rough.

アルコール性水酸基を有する化合物の具体例としては、アセトール、3−ヒドロキシ−3−メチル−2−ブタノン、4−ヒドロキシ−3−メチル−2−ブタノン、5−ヒドロキシ−2−ペンタノン、4−ヒドロキシ−4−メチル−2−ペンタノン(ジアセトンアルコール)、乳酸エチル、乳酸ブチル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノn−プロピルエーテル、プロピレングリコールモノn−ブチルエーテル、プロピレングリコールモノt−ブチルエーテル、3−メトキシ−1−ブタノール、3−メチル−3−メトキシ−1−ブタノールが挙げられる。これらの中でも、さらにカルボニル基を有する化合物が好ましく、特にジアセトンアルコールが好ましく用いられる。なお、これらのアルコール性水酸基を有する化合物は、単独、あるいは2種以上を組み合わせて使用してもよい。   Specific examples of the compound having an alcoholic hydroxyl group include acetol, 3-hydroxy-3-methyl-2-butanone, 4-hydroxy-3-methyl-2-butanone, 5-hydroxy-2-pentanone, 4-hydroxy- 4-methyl-2-pentanone (diacetone alcohol), ethyl lactate, butyl lactate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol mono n-propyl ether, propylene glycol mono n-butyl ether, propylene glycol mono t- Examples include butyl ether, 3-methoxy-1-butanol, and 3-methyl-3-methoxy-1-butanol. Among these, a compound further having a carbonyl group is preferable, and diacetone alcohol is particularly preferably used. In addition, you may use the compound which has these alcoholic hydroxyl groups individually or in combination of 2 or more types.

カルボニル基を有する環状化合物の具体例としては、γ−ブチロラクトン、γ−バレロラクトン、δ−バレロラクトン、炭酸プロピレン、N−メチルピロリドン、シクロヘキサノン、シクロヘプタノンが挙げられる。これらの中でも、特にγ−ブチロラクトンが好ましく用いられる。なお、これらのカルボニル基を有する環状化合物は、単独、あるいは2種以上を組み合わせて使用してもよい。   Specific examples of the cyclic compound having a carbonyl group include γ-butyrolactone, γ-valerolactone, δ-valerolactone, propylene carbonate, N-methylpyrrolidone, cyclohexanone, and cycloheptanone. Among these, γ-butyrolactone is particularly preferably used. In addition, you may use the cyclic compound which has these carbonyl groups individually or in combination of 2 or more types.

上述のアルコール性水酸基を有する化合物とカルボニル基を有する環状化合物は、単独でも、あるいは各々混合して用いても良い。混合して用いる場合、その重量比率は特に制限されないが、好ましくはアルコール性水酸基を有する化合物/カルボニル基を有する環状化合物=99〜50/1〜50、さらに好ましくは97〜60/3〜40である。アルコール性水酸基を有する化合物が99重量%より多い(カルボニル基を有する環状化合物が1重量%より少ない)と、ポリシロキサンとキノンジアジド化合物との相溶性が悪く、硬化膜が白化して透明性が低下する。また、アルコール性水酸基を有する化合物が50重量%より少ない(カルボニル基を有する環状化合物が50重量%より多い)と、ポリシロキサン中の未反応シラノール基の縮合反応が起こり易くなり、保存安定性が悪くなる。   The compound having an alcoholic hydroxyl group and the cyclic compound having a carbonyl group may be used singly or in combination. When mixed and used, the weight ratio is not particularly limited, but is preferably a compound having an alcoholic hydroxyl group / a cyclic compound having a carbonyl group = 99 to 50/1 to 50, more preferably 97 to 60/3 to 40. is there. If the compound having an alcoholic hydroxyl group is more than 99% by weight (the cyclic compound having a carbonyl group is less than 1% by weight), the compatibility between the polysiloxane and the quinonediazide compound is poor, the cured film is whitened, and the transparency is lowered. To do. Further, if the compound having an alcoholic hydroxyl group is less than 50% by weight (the cyclic compound having a carbonyl group is more than 50% by weight), the condensation reaction of unreacted silanol groups in the polysiloxane is likely to occur, and the storage stability is improved. Deteriorate.

また、本発明の感光性シロキサン組成物は、本発明の効果を損なわない限り、その他の溶剤を含有してもよい。その他の溶剤としては、酢酸エチル、酢酸n−プロピル、酢酸イソプロピル、酢酸n−ブチル、酢酸イソブチル、プロピレングリコールモノメチルエーテルアセテート、3−メトキシ−1−ブチルアセテート、3−メチル−3−メトキシ−1−ブチルアセテートなどのエステル類、メチルイソブチルケトン、ジイソプロピルケトン、ジイソブチルケトン、アセチルアセトンなどのケトン類、ジエチルエーテル、ジイソプロピルエーテル、ジn−ブチルエーテル、ジフェニルエーテルなどのエーテル類が挙げられる。   Moreover, unless the effect of this invention is impaired, the photosensitive siloxane composition of this invention may contain another solvent. Other solvents include ethyl acetate, n-propyl acetate, isopropyl acetate, n-butyl acetate, isobutyl acetate, propylene glycol monomethyl ether acetate, 3-methoxy-1-butyl acetate, 3-methyl-3-methoxy-1- Examples thereof include esters such as butyl acetate, ketones such as methyl isobutyl ketone, diisopropyl ketone, diisobutyl ketone and acetylacetone, and ethers such as diethyl ether, diisopropyl ether, di n-butyl ether and diphenyl ether.

上記の溶剤の添加量は特に制限されないが、好ましくはポリシロキサン100重量部に対して、加える溶剤の総量が0〜1000重量部の範囲が好ましい。   The amount of the solvent added is not particularly limited, but the total amount of the solvent added is preferably in the range of 0 to 1000 parts by weight with respect to 100 parts by weight of the polysiloxane.

通常、ポリシロキサンを用いた組成物に、シランカップリング剤に代表されるオルガノシラン化合物を添加すると、さらに接着性が向上する効果が見られるが、一方でシランカップリング剤とポリシロキサンとの反応が急速に進行し、保存安定性が悪くなることが知られている。しかしながら、本発明の感光性シロキサン組成物が有する(d)一般式(1)で表されるオルガノシラン化合物は、接着性向上に加えて、特異的にポリシロキサン組成物の保存安定性を向上させ、室温放置下における感度や残膜率の変化量を小さくすることができる。これは一般式(1)で表されるオルガノシラン化合物を添加することによって、ポリシロキサンのシラノール基と一般式(1)で表されるオルガノシラン化合物が反応し、シラノール基を封止または低反応性シラノール基へと変換するためと考えられる。   Usually, when an organosilane compound typified by a silane coupling agent is added to a composition using polysiloxane, the effect of improving the adhesion can be seen. On the other hand, the reaction between the silane coupling agent and polysiloxane Is known to progress rapidly, resulting in poor storage stability. However, (d) the organosilane compound represented by the general formula (1) in the photosensitive siloxane composition of the present invention specifically improves the storage stability of the polysiloxane composition in addition to the improvement in adhesion. In addition, it is possible to reduce the amount of change in sensitivity and remaining film rate when left at room temperature. By adding the organosilane compound represented by the general formula (1), the silanol group of the polysiloxane reacts with the organosilane compound represented by the general formula (1) to block or reduce the reaction of the silanol group. This is thought to be due to conversion to a functional silanol group.

Figure 2007163720
Figure 2007163720

、Rは同じでも異なってもよく水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR、Rはそれぞれ同じでも異なっていてもよい。Rは水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。nは2または3を表す。 R 1 and R 2 may be the same or different and each represents hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, or an aryl group having 6 to 15 carbon atoms, and a plurality of R 1 , R 2 may be the same or different. R 3 represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 3 may be the same or different. . n represents 2 or 3.

、Rは、水素、メチル基、エチル基、n−プロピル基、イソプロピル基、tert−ブチル基などのアルキル基、ビニル基、アクリロキシプロピル基、メタクリロキシプロピル基などのアルケニル基、フェニル基、トリル基、ナフチル基などのアリール基が挙げられる。中でも、硬化時の酸化による着色を少なくすることができることから、水素、エチル基、tert−ブチルが好ましい。 R 1 and R 2 are hydrogen, alkyl group such as methyl group, ethyl group, n-propyl group, isopropyl group and tert-butyl group, alkenyl group such as vinyl group, acryloxypropyl group and methacryloxypropyl group, phenyl And aryl groups such as a group, tolyl group and naphthyl group. Among these, hydrogen, an ethyl group, and tert-butyl are preferable because coloring due to oxidation during curing can be reduced.

は、水素、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基などのアルキル基、アセチル基などのアシル基、n−プロピル基などのアリール基が挙げられる。中でも、アルコキシ基の加水分解性が高いことからRはメチル基、エチル基が特に好ましい。 Examples of R 3 include hydrogen, an alkyl group such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, and an n-butyl group, an acyl group such as an acetyl group, and an aryl group such as an n-propyl group. Of these, R 3 is particularly preferably a methyl group or an ethyl group because of the high hydrolyzability of the alkoxy group.

一般式(1)で表されるオルガノシラン化合物の具体例としては、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジイソプロポキシシラン、ジフェニルジn−ブトキシシラン、ジフェニルシランジオール、ビス(4−メチルフェニル)ジメトキシシラン、ビス(4−メチルフェニル)ジエトキシシラン、ビス(4−メチルフェニル)ジイソプロポキシシラン、ビス(4−メチルフェニル)シランジオール、ビス(4−ビフェニル)ジメトキシシラン、ビス(4−ビフェニル)ジエトキシシラン、トリフェニルメトキシシラン、トリフェニルエトキシシラン、トリフェニルシラノオールなどが挙げられる。これらのうち、トリフェニルメトキシシラン、トリフェニルエトキシシラン、トリフェニルシラノオール、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルシランジオールが特に好ましい。これらのオルガノシランは単独で使用しても、2種以上を組み合わせて使用してもよい。   Specific examples of the organosilane compound represented by the general formula (1) include diphenyldimethoxysilane, diphenyldiethoxysilane, diphenyldiisopropoxysilane, diphenyldin-butoxysilane, diphenylsilanediol, and bis (4-methylphenyl). ) Dimethoxysilane, bis (4-methylphenyl) diethoxysilane, bis (4-methylphenyl) diisopropoxysilane, bis (4-methylphenyl) silanediol, bis (4-biphenyl) dimethoxysilane, bis (4- Biphenyl) diethoxysilane, triphenylmethoxysilane, triphenylethoxysilane, triphenylsilanool and the like. Of these, triphenylmethoxysilane, triphenylethoxysilane, triphenylsilanool, diphenyldimethoxysilane, diphenyldiethoxysilane, and diphenylsilanediol are particularly preferable. These organosilanes may be used alone or in combination of two or more.

一般式(1)で表されるオルガノシラン化合物の含有量は、ポリシロキサン100重量部に対して0.01〜20重量部が好ましい。さらに好ましくは0.01重量部〜5重量部である。0.01重量部より少ないと保存安定性が得られない場合がある。5重量部を超えると残膜率が大きく低下したり、露光部に現像液に不溶な現像残り(スカム)が現れる場合がある。   As for content of the organosilane compound represented by General formula (1), 0.01-20 weight part is preferable with respect to 100 weight part of polysiloxane. More preferably, it is 0.01 weight part-5 weight part. If it is less than 0.01 part by weight, storage stability may not be obtained. If it exceeds 5 parts by weight, the residual film ratio may be greatly reduced, or a developing residue (scum) that is insoluble in the developer may appear in the exposed part.

さらに、本発明では、次にあげる架橋促進剤を添加してもよい。架橋促進剤から発生した酸または塩基は、後述する熱架橋性化合物の触媒にもなる。架橋促進剤は架橋させるための触媒であり、ポリシロキサン骨格中に取り込まれないが、熱架橋性化合物はポリシロキサンを架橋する化合物でありポリマー骨格中に取り込まれる。酸や塩基などの架橋促進剤はポリシロキサン中に残存すると、イオン不純物となり、電気特性(特に絶縁性)が低下するので、本発明で用いる架橋促進剤はポリシロキサン骨格中に取り込まれずに揮発または分解することが好ましい。   Furthermore, in the present invention, the following crosslinking accelerator may be added. The acid or base generated from the crosslinking accelerator also serves as a catalyst for the thermally crosslinkable compound described later. The crosslinking accelerator is a catalyst for crosslinking and is not incorporated into the polysiloxane skeleton, but the thermally crosslinkable compound is a compound that crosslinks the polysiloxane and is incorporated into the polymer skeleton. If a crosslinking accelerator such as an acid or a base remains in the polysiloxane, it becomes an ionic impurity, and electrical characteristics (particularly insulating properties) decrease. Therefore, the crosslinking accelerator used in the present invention volatilizes or is not incorporated into the polysiloxane skeleton. It is preferable to decompose.

ポリシロキサンの架橋促進剤は特に限定されないが、熱または光により酸を発生する化合物は、熱硬化時に効率的に酸または塩基を発生し、ポリシロキサンの架橋を効率的に促進するので、熱硬化後の膜強度を高める点より好ましい。熱により酸または塩基を発生する化合物を用いる場合、当該化合物の酸または塩基を発生する温度は70〜200℃、好ましくは80℃〜150℃であることが好ましい。70℃以上で酸または塩基が発生する架橋促進剤を用いると塗液の保存安定性が向上し、200℃以下で酸または塩基が発生する架橋促進剤を用いると熱硬化時に効果的にパターン硬化を促進することができる。また、光により酸または塩基を発生する化合物を用いる場合、パターニングの露光光源である超高圧水銀灯(特にi線(波長365nm)、h線(波長405nm)、g線(波長436nm))に対して量子収率の高くないものを用いる。露光により、酸または塩基が大量に発生するとシロキサンが架橋し、ネガ型の作用を引きおこし、キノンジアジド化合物を用いた場合は、キノンジアジド化合物が有するポジ型の感光性能に悪影響を及ぼすためである。従って、本発明で用いる架橋促進剤は、現像後のブリーチング露光中、または熱硬化中に効率よく架橋促進剤を発生するものが好ましい。   The crosslinking accelerator for polysiloxane is not particularly limited, but a compound that generates an acid by heat or light efficiently generates an acid or a base at the time of thermal curing, and effectively accelerates the crosslinking of polysiloxane. It is preferable from the point of increasing the film strength later. When using the compound which generate | occur | produces an acid or a base with a heat | fever, it is preferable that the temperature which generate | occur | produces the acid or base of the said compound is 70-200 degreeC, Preferably it is 80 to 150 degreeC. When a crosslinking accelerator that generates an acid or base at 70 ° C. or higher is used, the storage stability of the coating liquid is improved, and when a crosslinking accelerator that generates an acid or base at 200 ° C. or lower is used, pattern curing is effectively performed during thermal curing. Can be promoted. When a compound that generates an acid or a base by light is used, for an ultra-high pressure mercury lamp (particularly i-line (wavelength 365 nm), h-line (wavelength 405 nm), g-line (wavelength 436 nm)) that is an exposure light source for patterning. Use a quantum yield that is not high. This is because when a large amount of acid or base is generated by exposure, the siloxane crosslinks and causes a negative action, and when a quinonediazide compound is used, the positive photosensitive performance of the quinonediazide compound is adversely affected. Accordingly, the crosslinking accelerator used in the present invention is preferably one that efficiently generates a crosslinking accelerator during bleaching exposure after development or during heat curing.

さらに、感光性を損なうことなくポリシロキサンの架橋促進剤としての効果を引き出すには、ポリシロキサンの架橋促進剤の添加量は、ポリシロキサンに対して0.01〜10重量%が好ましく、さらに好ましくは0.1〜5重量%である。0.01重量%より少ないとポリシロキサンの架橋促進剤としての機能が発揮されず低硬度となり、10重量%より多いとポジ型の感光性、特に感度が低下したり、クラックが発生したり、無色透明性が低下する場合があり、注意を要する。   Further, in order to bring out the effect as a crosslinking accelerator of polysiloxane without impairing the photosensitivity, the amount of addition of the crosslinking accelerator of polysiloxane is preferably 0.01 to 10% by weight, more preferably Is 0.1 to 5% by weight. If it is less than 0.01% by weight, the function as a crosslinking accelerator for polysiloxane is not exhibited and the hardness is low, and if it is more than 10% by weight, the positive type photosensitivity, in particular, the sensitivity is lowered, cracks are generated, Colorless transparency may be reduced and caution is required.

また、架橋促進剤は熱硬化時に効率的に酸または塩基を発生し、ポリシロキサンの架橋を効率的に促進するので、熱硬化後の膜強度を高める点から、熱により酸または塩基を発生する化合物がより好ましい。なお、本発明において用いられるキノンジアジド化合物も光によって酸を発生する化合物であり、前述したキノンジアジド化合物を架橋促進剤として用いてもよい。   In addition, since the crosslinking accelerator efficiently generates an acid or a base at the time of heat curing and effectively promotes the crosslinking of polysiloxane, it generates an acid or a base by heat from the point of increasing the film strength after the heat curing. Compounds are more preferred. The quinonediazide compound used in the present invention is a compound that generates an acid by light, and the quinonediazide compound described above may be used as a crosslinking accelerator.

ポリシロキサンの架橋促進剤のうちの、熱または光により酸を発生する化合物(酸発生剤)は、イオン性化合物と非イオン性化合物がある。イオン性化合物としては、重金属、ハロゲンイオンを含まないものがよく、トリオルガノスルホニウム塩系化合物が好ましい。具体的には、トリフェニルスルホニウムの、メタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、や1−ジメチルチオナフタレンのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、1−ジメチルチオ−4−ヒドロキシナフタレンのメタンスルホン酸塩、p−トルエンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、1−ジメチルチオ−4、7−ジヒドロキシナフタレンのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、4−ヒドロキシフェニルジメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−ヒドロキシフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−アセチルフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−ベンゾイルオキシフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、ベンジルスルホニウム塩である、SI−60、SI−80、SI−100、SI−110、SI−145、SI−150、SI−80L、SI−100L、SI−110L、SI−145L、SI−150L、SI−160L、SI−180L(以上、商品名、三新化学工業(株)製)などが挙げられる。   Of the polysiloxane crosslinking accelerators, compounds that generate acid by heat or light (acid generators) include ionic compounds and nonionic compounds. As the ionic compound, those not containing heavy metals and halogen ions are preferable, and triorganosulfonium salt compounds are preferable. Specifically, triphenylsulfonium methanesulfonate, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, 1-dimethylthionaphthalene methanesulfonate, trifluoromethanesulfonate , Camphorsulfonate, p-toluenesulfonate, methanesulfonate of 1-dimethylthio-4-hydroxynaphthalene, p-toluenesulfonate, trifluoromethanesulfonate, camphorsulfonate, 1-dimethylthio-4 7-dihydroxynaphthalene methanesulfonate, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, 4-hydroxyphenyldimethylsulfonium methanesulfonate, trifluoromethanesulfonate Camphorsulfonate, p-toluenesulfonate, 2-methylbenzyl-4-hydroxyphenylmethylsulfonium methanesulfonate, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, 2-methyl Methane-4-sulfonate of benzyl-4-acetylphenylmethylsulfonium, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, methanesulfonate of 2-methylbenzyl-4-benzoyloxyphenylmethylsulfonium, Trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, benzylsulfonium salt, SI-60, SI-80, SI-100, SI-110, SI-145, SI-150, SI- 8 L, SI-100L, SI-110L, SI-145L, SI-150L, SI-160L, SI-180L (or more, trade name, manufactured by Sanshin Chemical Industry Co., Ltd.), and the like.

非イオン性の酸発生剤としては、ハロゲン含有化合物、ジアゾメタン化合物、スルホン化合物、スルホン酸エステル化合物、カルボン酸エステル化合物、リン酸エステル化合物、スルホンイミド化合物、スルホンベンゾトリアゾール化合物等を用いることができる。   As the nonionic acid generator, a halogen-containing compound, a diazomethane compound, a sulfone compound, a sulfonic acid ester compound, a carboxylic acid ester compound, a phosphoric acid ester compound, a sulfonimide compound, a sulfonebenzotriazole compound, or the like can be used.

ハロゲン含有化合物の具体例としては、ハロアルキル基含有炭化水素化合物、ハロアルキル基含有ヘテロ環状化合物等が挙げられる。好ましいハロゲン含有化合物としては、1,1−ビス(4−クロロフェニル)−2,2,2−トリクロロエタン、2−フェニル−4,6−ビス(トリクロロメチル)−s−トリアジン、2−ナフチル−4,6−ビス(トリクロロメチル)−s−トリアジン等が挙げられる。   Specific examples of the halogen-containing compound include haloalkyl group-containing hydrocarbon compounds and haloalkyl group-containing heterocyclic compounds. Preferred halogen-containing compounds include 1,1-bis (4-chlorophenyl) -2,2,2-trichloroethane, 2-phenyl-4,6-bis (trichloromethyl) -s-triazine, 2-naphthyl-4, And 6-bis (trichloromethyl) -s-triazine.

ジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p−トリルスルホニル)ジアゾメタン、ビス(2,4−キシリルスルホニル)ジアゾメタン、ビス(p−クロロフェニルスルホニル)ジアゾメタン、メチルスルホニル−p−トルエンスルホニルジアゾメタン、シクロヘキシルスルホニル(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、フェニルスルホニル(ベンゾイル)ジアゾメタン等が挙げられる。   Specific examples of the diazomethane compound include bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-tolylsulfonyl) diazomethane, and bis (2,4-xylylsulfonyl). Diazomethane, bis (p-chlorophenylsulfonyl) diazomethane, methylsulfonyl-p-toluenesulfonyldiazomethane, cyclohexylsulfonyl (1,1-dimethylethylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, phenylsulfonyl (benzoyl) And diazomethane.

スルホン化合物の具体例としては、β−ケトスルホン化合物、β−スルホニルスルホン化合物、ジアリールジスルホン化合物等が挙げられる。好ましいスルホン化合物としては、4−トリスフェナシルスルホン、メシチルフェナシルスルホン、ビス(フェニルスルホニル)メタン、4−クロロフェニル−4−メチルフェニルジスルホン化合物等が挙げられる。   Specific examples of the sulfone compound include β-ketosulfone compounds, β-sulfonylsulfone compounds, diaryldisulfone compounds, and the like. Preferable sulfone compounds include 4-trisphenacyl sulfone, mesitylphenacyl sulfone, bis (phenylsulfonyl) methane, 4-chlorophenyl-4-methylphenyl disulfone compound, and the like.

スルホン酸エステル化合物の具体例としては、アルキルスルホン酸エステル、ハロアルキルスルホン酸エステル、アリールスルホン酸エステル、イミノスルホネート等が挙げられる。好ましい具体例として、ベンゾイントシレート、ピロガロールトリメシレート、ニトロベンジル−9,10−ジエトキシアントラセン−2−スルホネート、2,6−ジニトロベンジルベンゼンスルホネート等が挙げられる。イミノスルホネートの具体例として、PAI−101(みどり化学(株)製)、PAI−106(みどり化学(株)製)、CGI−1311(チバスペシャリティケミカルズ(株)製)が挙げられる。   Specific examples of the sulfonate compound include alkyl sulfonate, haloalkyl sulfonate, aryl sulfonate, imino sulfonate, and the like. Preferred examples include benzoin tosylate, pyrogallol trimesylate, nitrobenzyl-9,10-diethoxyanthracene-2-sulfonate, 2,6-dinitrobenzylbenzenesulfonate, and the like. Specific examples of iminosulfonate include PAI-101 (manufactured by Midori Chemical Co., Ltd.), PAI-106 (manufactured by Midori Chemical Co., Ltd.), and CGI-1311 (manufactured by Ciba Specialty Chemicals Co., Ltd.).

カルボン酸エステル化合物としては、カルボン酸o−ニトロベンジルエステルが挙げられる。   Examples of the carboxylic acid ester compound include carboxylic acid o-nitrobenzyl ester.

スルホンイミド化合物の具体例としては、N−(トリフルオロメチルスルホニルオキシ)スクシンイミド(SI−105(みどり化学(株)製))、N−(カンファスルホニルオキシ)スクシンイミド(SI−106(みどり化学(株)製))、N−(4−メチルフェニルスルホニルオキシ)スクシンイミド(SI−101(みどり化学(株)製))、N−(2−トリフルオロメチルフェニルスルホニルオキシ)スクシンイミド、N−(4−フルオロフェニルスルホニルオキシ)スクシンイミド、N−(トリフルオロメチルスルホニルオキシ)フタルイミド、N−(カンファスルホニルオキシ)フタルイミド、N−(2−トリフルオロメチルフェニルスルホニルオキシ)フタルイミド、N−(2−フルオロフェニルスルホニルオキシ)フタルイミド、N−(トリフルオロメチルスルホニルオキシ)ジフェニルマレイミド(PI−105(みどり化学(株)製))、N−(カンファスルホニルオキシ)ジフェニルマレイミド、N−(4−メチルフェニルスルホニルオキシ)ジフェニルマレイミド、N−(2−トリフルオロメチルフェニルスルホニルオキシ)ジフェニルマレイミド、N−(4−フルオロフェニルスルホニルオキシ)ジフェニルマレイミド、N−(フェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド(NDI−100(みどり化学(株)製))、N−(4−メチルフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド(NDI−101(みどり化学(株)製))、N−(トリフルオロメタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド(NDI−105(みどり化学(株)製))、N−(ノナフルオロブタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド(NDI−109(みどり化学(株)製))、N−(カンファスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド(NDI−106(みどり化学(株)製))、N−(カンファスルホニルオキシ)−7−オキサビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(トリフルオロメチルスルホニルオキシ)−7−オキサビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(4−メチルフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(4−メチルフェニルスルホニルオキシ)−7−オキサビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(2−トリフルオロメチルフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(2−トリフルオロメチルフェニルスルホニルオキシ)−7−オキサビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(4−フルオロフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(4−フルオロフェニルスルホニルオキシ)−7−オキサビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシルイミド、N−(トリフルオロメチルスルホニルオキシ)ビシクロ[2.2.1]ヘプタン−5,6−オキシ−2,3−ジカルボキシルイミド、N−(カンファスルホニルオキシ)ビシクロ[2.2.1]ヘプタン−5,6−オキシ−2,3−ジカルボキシルイミド、N−(4−メチルフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプタン−5,6−オキシ−2,3−ジカルボキシルイミド、N−(2−トリフルオロメチルフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプタン−5,6−オキシ−2,3−ジカルボキシルイミド、N−(4−フルオロフェニルスルホニルオキシ)ビシクロ[2.2.1]ヘプタン−5,6−オキシ−2,3−ジカルボキシルイミド、N−(トリフルオロメチルスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−105(みどり化学(株)製))、N−(カンファスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−106(みどり化学(株)製))、N−(4−メチルフェニルスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−101(みどり化学(株)製))、N−(フェニルスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−100(みどり化学(株)製))、N−(2−トリフルオロメチルフェニルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(4−フルオロフェニルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ペンタフルオロエチルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ヘプタフルオロプロピルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ノナフルオロブチルスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−109(みどり化学(株)製))、N−(エチルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(プロピルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ブチルスルホニルオキシ)ナフチルジカルボキシルイミド(NAI−1004(みどり化学(株)製))、N−(ペンチルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ヘキシルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ヘプチルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(オクチルスルホニルオキシ)ナフチルジカルボキシルイミド、N−(ノニルスルホニルオキシ)ナフチルジカルボキシルイミド等が挙げられる。   Specific examples of the sulfonimide compound include N- (trifluoromethylsulfonyloxy) succinimide (SI-105 (manufactured by Midori Chemical Co., Ltd.)), N- (camphorsulfonyloxy) succinimide (SI-106 (Midori Chemical Co., Ltd.). ))), N- (4-methylphenylsulfonyloxy) succinimide (SI-101 (manufactured by Midori Chemical Co., Ltd.)), N- (2-trifluoromethylphenylsulfonyloxy) succinimide, N- (4-fluoro Phenylsulfonyloxy) succinimide, N- (trifluoromethylsulfonyloxy) phthalimide, N- (camphorsulfonyloxy) phthalimide, N- (2-trifluoromethylphenylsulfonyloxy) phthalimide, N- (2-fluorophenylsulfonyloxy) Phthal N- (trifluoromethylsulfonyloxy) diphenylmaleimide (PI-105 (manufactured by Midori Chemical Co., Ltd.)), N- (camphorsulfonyloxy) diphenylmaleimide, N- (4-methylphenylsulfonyloxy) diphenylmaleimide, N- (2-trifluoromethylphenylsulfonyloxy) diphenylmaleimide, N- (4-fluorophenylsulfonyloxy) diphenylmaleimide, N- (phenylsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2 , 3-dicarboxylimide (NDI-100 (manufactured by Midori Chemical Co., Ltd.)), N- (4-methylphenylsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxyl Imido (NDI-101 (manufactured by Midori Chemical Co., Ltd.)), N (Trifluoromethanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide (NDI-105 (manufactured by Midori Chemical Co., Ltd.)), N- (nonafluorobutanesulfonyloxy) Bicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide (NDI-109 (manufactured by Midori Chemical Co., Ltd.)), N- (camphorsulfonyloxy) bicyclo [2.2.1] Hept-5-ene-2,3-dicarboxylimide (NDI-106 (manufactured by Midori Chemical Co., Ltd.)), N- (camphorsulfonyloxy) -7-oxabicyclo [2.2.1] hept-5 Ene-2,3-dicarboxylimide, N- (trifluoromethylsulfonyloxy) -7-oxabicyclo [2.2.1] hept-5-ene-2,3-dicarbo Xylimide, N- (4-methylphenylsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide, N- (4-methylphenylsulfonyloxy) -7-oxabicyclo [ 2.2.1] Hept-5-ene-2,3-dicarboximide, N- (2-trifluoromethylphenylsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3- Dicarboxylimide, N- (2-trifluoromethylphenylsulfonyloxy) -7-oxabicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide, N- (4-fluorophenylsulfonyl) Oxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide, N- (4-fluorophenylsulfonyloxy) -7-oxabicyclo [2.2.1] hept-5-ene-2,3-dicarboxylimide, N- (trifluoromethylsulfonyloxy) bicyclo [2.2.1] heptane-5,6-oxy -2,3-dicarboxylimide, N- (camphorsulfonyloxy) bicyclo [2.2.1] heptane-5,6-oxy-2,3-dicarboxylimide, N- (4-methylphenylsulfonyloxy) Bicyclo [2.2.1] heptane-5,6-oxy-2,3-dicarboxylimide, N- (2-trifluoromethylphenylsulfonyloxy) bicyclo [2.2.1] heptane-5,6- Oxy-2,3-dicarboxylimide, N- (4-fluorophenylsulfonyloxy) bicyclo [2.2.1] heptane-5,6-oxy-2,3- Carboxylimide, N- (trifluoromethylsulfonyloxy) naphthyl dicarboxyimide (NAI-105 (manufactured by Midori Chemical Co., Ltd.)), N- (camphorsulfonyloxy) naphthyl dicarboxyimide (NAI-106 (Midori Chemical Co., Ltd.) ))), N- (4-methylphenylsulfonyloxy) naphthyl dicarboxylimide (NAI-101 (manufactured by Midori Chemical Co., Ltd.)), N- (phenylsulfonyloxy) naphthyl dicarboxylimide (NAI-100 (midori) Chemical Co., Ltd.)), N- (2-trifluoromethylphenylsulfonyloxy) naphthyl dicarboxylimide, N- (4-fluorophenylsulfonyloxy) naphthyl dicarboxylimide, N- (pentafluoroethylsulfonyloxy) naphthyl Dicarbo Xylimide, N- (heptafluoropropylsulfonyloxy) naphthyl dicarboxylimide, N- (nonafluorobutylsulfonyloxy) naphthyl dicarboxylimide (NAI-109 (manufactured by Midori Chemical Co., Ltd.)), N- (ethylsulfonyloxy) Naphthyl dicarboxylimide, N- (propylsulfonyloxy) naphthyl dicarboxylimide, N- (butylsulfonyloxy) naphthyl dicarboxylimide (NAI-1004 (manufactured by Midori Chemical Co., Ltd.)), N- (pentylsulfonyloxy) naphthyl Dicarboxylimide, N- (hexylsulfonyloxy) naphthyl dicarboxylimide, N- (heptylsulfonyloxy) naphthyl dicarboxylimide, N- (octylsulfonyloxy) naphthyl dicarboxyl Bromide, N- (nonyl sulfonyloxy) naphthyl dicarboxylic imide and the like.

上記酸発生剤の中でも、ポリシロキサンを効率よく架橋させるために、発生する酸は強いことが望ましく、酸のpkaは3以下、好ましくは2以下、さらに好ましくは1以下であることが好ましい。本発明においては発生する酸の強さの点から、発生する酸はベンゼンスルホン酸、アルキルベンゼンスルホン酸、パーフルオロアルキルスルホン酸、リン酸が好ましい。以上のなかでも、パターンの解像度の点からスルホニウム塩、スルホンイミド化合物が好ましい。   Among the acid generators, in order to efficiently crosslink polysiloxane, it is desirable that the acid generated is strong, and the pka of the acid is 3 or less, preferably 2 or less, more preferably 1 or less. In the present invention, the generated acid is preferably benzenesulfonic acid, alkylbenzenesulfonic acid, perfluoroalkylsulfonic acid or phosphoric acid from the viewpoint of the strength of the generated acid. Of these, sulfonium salts and sulfonimide compounds are preferred from the viewpoint of pattern resolution.

具体例としては、4−ヒドロキシフェニルジメチルスルホニウムの、メタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−ヒドロキシフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−アセチルフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、2−メチルベンジル−4−ベンゾイルオキシフェニルメチルスルホニウムのメタンスルホン酸塩、トリフルオロメタンスルホン酸塩、カンファースルホン酸塩、p−トルエンスルホン酸塩、SI−60、SI−80、SI−100、SI−110、SI−145、SI−150、SI−80L、SI−100L、SI−110L、SI−145L、SI−150L、SI−160L、SI−180L(以上、商品名、三新化学工業(株)製)、SI−101、SI−105、SI−106、SI−109、PI−105、NDI−101、NDI−105、NDI−109、NAI−101、NAI−105、NAI−109(以上、商品名、みどり化学(株)製)が挙げられる。   Specific examples include 4-hydroxyphenyldimethylsulfonium methanesulfonate, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, 2-methylbenzyl-4-hydroxyphenylmethylsulfonium methanesulfone. Acid salt, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, methanesulfonate of 2-methylbenzyl-4-acetylphenylmethylsulfonium, trifluoromethanesulfonate, camphorsulfonate, p -Toluenesulfonate, methanesulfonate of 2-methylbenzyl-4-benzoyloxyphenylmethylsulfonium, trifluoromethanesulfonate, camphorsulfonate, p-toluenesulfonate, SI- 0, SI-80, SI-100, SI-110, SI-145, SI-150, SI-80L, SI-100L, SI-110L, SI-145L, SI-150L, SI-160L, SI-180L ( As mentioned above, trade name, manufactured by Sanshin Chemical Industry Co., Ltd.), SI-101, SI-105, SI-106, SI-109, PI-105, NDI-101, NDI-105, NDI-109, NAI-101 , NAI-105 and NAI-109 (trade names, manufactured by Midori Chemical Co., Ltd.).

ポリシロキサンの架橋促進剤のうちの、熱または光により塩基を発生する化合物(塩基発生剤)は、コバルトなど遷移金属錯体、オルトニトロベンジルカルバメート類、α,α−ジメチル−3,5−ジメトキシベンジルカルバメート類、アシルオキシイミノ類などを例示することができる。   Among polysiloxane crosslinking accelerators, compounds that generate bases by heat or light (base generators) are transition metal complexes such as cobalt, orthonitrobenzyl carbamates, α, α-dimethyl-3,5-dimethoxybenzyl. Carbamates, acyloxyiminos and the like can be exemplified.

光照射により発生する塩基の種類としては有機、無機の塩基のいずれの場合も好ましく用いることができるが、光照射による発生効率、ポリシロキサンの架橋における触媒効果、ポリシロキサン溶液への溶解性などの点から有機アミン類が特に好ましい。発生する有機アミン類の種類としては脂肪族、芳香族のいずれでも良く、また、1官能でも多官能でも良い。紫外線照射により発生するアミン類の具体例としては、アンモニア、メチルアミン、エチルアミン、プロピルアミン、ブチルアミン、ヘキシルアミン、シクロヘキシルアミン、デシルアミン、セチルアミン、ヒドラジン、テトラメチレンジアミン、ヘキサメチレンジアミン、ベンジルアミン、アニリン、ナフチルアミン、フェニレンジアミン、トルエンジアミン、ジアミノジフェニルメタン、ヘキサメチルテトラミン、ピペリジン、ピペラジンなどを列挙することができる。   As the type of base generated by light irradiation, any of organic and inorganic bases can be preferably used. However, generation efficiency by light irradiation, catalytic effect in crosslinking of polysiloxane, solubility in polysiloxane solution, etc. From the viewpoint, organic amines are particularly preferable. The type of organic amine generated may be aliphatic or aromatic, and may be monofunctional or polyfunctional. Specific examples of amines generated by ultraviolet irradiation include ammonia, methylamine, ethylamine, propylamine, butylamine, hexylamine, cyclohexylamine, decylamine, cetylamine, hydrazine, tetramethylenediamine, hexamethylenediamine, benzylamine, aniline, Naphthylamine, phenylenediamine, toluenediamine, diaminodiphenylmethane, hexamethyltetramine, piperidine, piperazine and the like can be listed.

好ましい塩基発生剤の具体例として、遷移金属錯体としては、ブロモペンタアンモニアコバルト過塩素酸塩、ブロモペンタメチルアミンコバルト過塩素酸塩、ブロモペンタプロピルアミンコバルト過塩素酸塩、ヘキサアンモニアコバルト過塩素酸塩、ヘキサメチルアミンコバルト過塩素酸塩、ヘキサプロピルアミンコバルト過塩素酸塩などがあげられる。
オルトニトロベンジルカルバメート類としては、[[(2−ニトロベンジル)オキシ]カルボニル]メチルアミン、[[(2−ニトロベンジル)オキシ]カルボニル]プロピルアミン、[[(2−ニトロベンジル)オキシ]カルボニル]ヘキシルアミン、[[(2−ニトロベンジル)オキシ]カルボニル]シクロヘキシルアミン、[[(2−ニトロベンジル)オキシ]カルボニル]アニリン、[[(2−ニトロベンジル)オキシ]カルボニル]ピペリジン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]ヘキサメチレンジアミン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]フェニレンジアミン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]トルエンジアミン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]ジアミノジフェニルメタン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]ピペラジン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]メチルアミン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]プロピルアミン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]ヘキシルアミン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]シクロヘキシルアミン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]アニリン、[[(2,6−ジニトロベンジル)オキシ]カルボニル]ピペリジン、ビス[[(2,6−ジニトロベンジル)オキシ]カルボニル]ヘキサメチレンジアミン、ビス[[(2,6−ジニトロベンジル)オキシ]カルボニル]フェニレンジアミン、ビス[[(2,6−ジニトロベンジル)オキシ]カルボニル]トルエンジアミン、ビス[[(2,6−ジニトロベンジル)オキシ]カルボニル]ジアミノジフェニルメタン、ビス[[(2,6−ジニトロベンジル)オキシ]カルボニル]ピペラジンなどがあげられる。
Specific examples of preferred base generators include transition metal complexes such as bromopentammonium cobalt perchlorate, bromopentamethylamine cobalt perchlorate, bromopentapropylamine cobalt perchlorate, hexaammonia cobalt perchlorate. Salt, hexamethylamine cobalt perchlorate, hexapropylamine cobalt perchlorate and the like.
Ortho-nitrobenzyl carbamates include [[(2-nitrobenzyl) oxy] carbonyl] methylamine, [[(2-nitrobenzyl) oxy] carbonyl] propylamine, [[(2-nitrobenzyl) oxy] carbonyl]. Hexylamine, [[(2-nitrobenzyl) oxy] carbonyl] cyclohexylamine, [[(2-nitrobenzyl) oxy] carbonyl] aniline, [[(2-nitrobenzyl) oxy] carbonyl] piperidine, bis [[( 2-nitrobenzyl) oxy] carbonyl] hexamethylenediamine, bis [[(2-nitrobenzyl) oxy] carbonyl] phenylenediamine, bis [[(2-nitrobenzyl) oxy] carbonyl] toluenediamine, bis [[(2 -Nitrobenzyl) oxy] carbo Ru] diaminodiphenylmethane, bis [[(2-nitrobenzyl) oxy] carbonyl] piperazine, [[(2,6-dinitrobenzyl) oxy] carbonyl] methylamine, [[(2,6-dinitrobenzyl) oxy] carbonyl ] Propylamine, [[(2,6-dinitrobenzyl) oxy] carbonyl] hexylamine, [[(2,6-dinitrobenzyl) oxy] carbonyl] cyclohexylamine, [[(2,6-dinitrobenzyl) oxy] Carbonyl] aniline, [[(2,6-dinitrobenzyl) oxy] carbonyl] piperidine, bis [[(2,6-dinitrobenzyl) oxy] carbonyl] hexamethylenediamine, bis [[(2,6-dinitrobenzyl) Oxy] carbonyl] phenylenediamine, bis [[(2, - di-nitrobenzyl) oxy] carbonyl] toluenediamine, bis [[(2,6-di-nitrobenzyl) oxy] carbonyl] diaminodiphenylmethane, bis [[(2,6-di-nitrobenzyl) oxy] carbonyl] piperazine and the like.

α,α−ジメチル−3,5−ジメトキシベンジルカルバメート類としては、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]メチルアミン、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]プロピルアミン、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ヘキシルアミン、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]シクロヘキシルアミン、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]アニリン、[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ピペリジン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ヘキサメチレンジアミン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]フェニレンジアミン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]トルエンジアミン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ジアミノジフェニルメタン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ピペラジンなどがあげられる。   Examples of α, α-dimethyl-3,5-dimethoxybenzylcarbamate include [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] methylamine, [[(α, α-dimethyl-3. , 5-Dimethoxybenzyl) oxy] carbonyl] propylamine, [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] hexylamine, [[(α, α-dimethyl-3,5-dimethoxy Benzyl) oxy] carbonyl] cyclohexylamine, [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] aniline, [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl ] Piperidine, bis [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] hexamethyl Diamine, bis [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] phenylenediamine, bis [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] toluenediamine, And bis [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] diaminodiphenylmethane, bis [[(α, α-dimethyl-3,5-dimethoxybenzyl) oxy] carbonyl] piperazine, and the like. .

アシルオキシイミノ類としては、プロピオニルアセトフェノンオキシム、プロピオニルベンゾフェノンオキシム、プロピオニルアセトンオキシム、ブチリルアセトフェノンオキシム、ブチリルベンゾフェノンオキシム、ブチリルアセトンオキシム、アジポイルアセトフェノンオキシム、アジポイルベンゾフェノンオキシム、アジポイルアセトンオキシム、アクロイルアセトフェノンオキシム、アクロイルベンゾフェノンオキシム、アクロイルアセトンオキシムなどがあげられる。上記の塩基発生剤の中でも、ポリシロキサンを効率よく架橋させるために発生する塩基は強いことが望ましく、塩基のpkaは11以上、好ましくは12以上、さらに好ましくは13以上であることが好ましい。特に好ましいものとしては、[[(2−ニトロベンジル)オキシ]カルボニル]シクロヘキシルアミン、ビス[[(2−ニトロベンジル)オキシ]カルボニル]ヘキサメチレンジアミン、ビス[[(α,α−ジメチル−3,5−ジメトキシベンジル)オキシ]カルボニル]ヘキサメチレンジアミンがあげられる。   Acyloxyiminos include propionyl acetophenone oxime, propionyl benzophenone oxime, propionyl acetone oxime, butyryl acetophenone oxime, butyryl benzophenone oxime, butyryl acetone oxime, adipoyl acetophenone oxime, adipoyl benzophenone oxime, adipoyl acetone oxime. , Acroyl acetophenone oxime, acroyl benzophenone oxime, acroyl acetone oxime and the like. Among the above base generators, it is desirable that the base generated in order to efficiently crosslink the polysiloxane is strong, and the pka of the base is 11 or more, preferably 12 or more, more preferably 13 or more. Particularly preferred are [[(2-nitrobenzyl) oxy] carbonyl] cyclohexylamine, bis [[(2-nitrobenzyl) oxy] carbonyl] hexamethylenediamine, bis [[(α, α-dimethyl-3, 5-dimethoxybenzyl) oxy] carbonyl] hexamethylenediamine.

本発明の感光性シロキサン組成物は、熱架橋性化合物を含有してもよい。熱架橋性化合物は熱硬化時にポリシロキサンを架橋する化合物であり、架橋によりポリシロキサン骨格中に取り込まれる化合物である。熱硬化性化合物を含有することによって硬化膜の架橋度が高くなる。これによって硬化膜の耐薬品性が向上し、かつ熱硬化時の微細パターンのリフローによるパターン解像度の低下が抑制される。   The photosensitive siloxane composition of the present invention may contain a thermally crosslinkable compound. The thermally crosslinkable compound is a compound that crosslinks the polysiloxane at the time of thermosetting, and is a compound that is incorporated into the polysiloxane skeleton by crosslinking. By containing the thermosetting compound, the degree of crosslinking of the cured film is increased. As a result, the chemical resistance of the cured film is improved, and a decrease in pattern resolution due to reflow of the fine pattern during thermosetting is suppressed.

熱架橋性化合物は熱硬化時にポリシロキサンを架橋し、ポリシロキサン骨格中に取り込まれる化合物であれば特に制限されないが、好ましくは一般式(5)で表される基を2個以上有する化合物、および/または一般式(6)で表される化合物が用いられる。   The heat-crosslinkable compound is not particularly limited as long as it is a compound that crosslinks polysiloxane at the time of thermosetting and is incorporated into the polysiloxane skeleton, but preferably a compound having two or more groups represented by the general formula (5), and A compound represented by the general formula (6) is used.

Figure 2007163720
Figure 2007163720

15は水素、炭素数1〜10のアルキル基のいずれかを表す。なお、化合物中の複数のR15はそれぞれ同じでも異なっていてもよい。 R 15 represents either hydrogen or an alkyl group having 1 to 10 carbon atoms. The plurality of R 15 in the compound may be the same or different.

Figure 2007163720
Figure 2007163720

16は水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR16はそれぞれ同じでも異なっていてもよい。R17は水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のR17はそれぞれ同じでも異なっていてもよい。Lは0から3の整数を表す。 R 16 represents any one of hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 16 may be the same or different. . R 17 represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 17 may be the same or different. . L represents an integer of 0 to 3.

一般式(5)で表される基を2個以上有する化合物において、R15は水素、炭素数1〜10のアルキル基のいずれかを表す。なお、化合物中の複数のR15はそれぞれ同じでも異なっていてもよい。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、t−ブチル基、n−ヘキシル基、n−デシル基が挙げられる。また、一般式(5)で表される基を2個以上有する化合物は、単独で使用しても、2種以上を組み合わせて使用してもよい。 In the compound having two or more groups represented by the general formula (5), R 15 represents either hydrogen or an alkyl group having 1 to 10 carbon atoms. The plurality of R 15 in the compound may be the same or different. Specific examples of the alkyl group include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, t-butyl group, n-hexyl group, and n-decyl group. Moreover, the compound which has 2 or more groups represented by General formula (5) may be used independently, or may be used in combination of 2 or more type.

一般式(5)で表される基を2個以上有する化合物の具体例としては、以下のようなメラミン誘導体や尿素誘導体(商品名、三和ケミカル(株)製)、およびフェノール性化合物(商品名、本州化学工業(株)製)が挙げられる。   Specific examples of the compound having two or more groups represented by the general formula (5) include the following melamine derivatives and urea derivatives (trade names, manufactured by Sanwa Chemical Co., Ltd.), and phenolic compounds (commodities). Name, manufactured by Honshu Chemical Industry Co., Ltd.).

一般式(6)で表される化合物において、R16は、水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR16はそれぞれ同じでも異なっていてもよい。また、これらのアルキル基、アルケニル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、t−ブチル基、n−ヘキシル基、n−デシル基、トリフルオロメチル基、3,3,3−トリフルオロプロピル基、3−グリシドキシプロピル基、2−(3、4−エポキシシクロヘキシル)エチル基、3−アミノプロピル基、3−メルカプトプロピル基、3−イソシアネートプロピル基が挙げられる。アルケニル基の具体例としては、ビニル基、3−アクリロキシプロピル基、3−メタクリロキシプロピル基が挙げられる。アリール基の具体例としては、フェニル基、トリル基、p−ヒドロキシフェニル基、1−(p−ヒドロキシフェニル)エチル基、2−(p−ヒドロキシフェニル)エチル基、4−ヒドロキシ−5−(p−ヒドロキシフェニルカルボニルオキシ)ペンチル基、ナフチル基が挙げられる。 In the compound represented by the general formula (6), R 16 represents any one of hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, and an aryl group having 6 to 15 carbon atoms, The plurality of R 16 may be the same or different. These alkyl groups, alkenyl groups, and aryl groups may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, t-butyl group, n-hexyl group, n-decyl group, trifluoromethyl group, 3, 3 , 3-trifluoropropyl group, 3-glycidoxypropyl group, 2- (3,4-epoxycyclohexyl) ethyl group, 3-aminopropyl group, 3-mercaptopropyl group, 3-isocyanatopropyl group. Specific examples of the alkenyl group include a vinyl group, a 3-acryloxypropyl group, and a 3-methacryloxypropyl group. Specific examples of the aryl group include phenyl group, tolyl group, p-hydroxyphenyl group, 1- (p-hydroxyphenyl) ethyl group, 2- (p-hydroxyphenyl) ethyl group, 4-hydroxy-5- (p -Hydroxyphenylcarbonyloxy) pentyl group, naphthyl group.

一般式(6)のR17は水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のR17はそれぞれ同じでも異なっていてもよい。また、これらのアルキル基、アシル基、アリール基はいずれも無置換体、置換体のどちらでもよく、組成物の特性に応じて選択できる。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基が挙げられる。アシル基の具体例としては、アセチル基が挙げられる。アリール基の具体例としては、フェニル基が挙げられる。 R 17 in the general formula (6) represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms, and a plurality of R 17 are the same. But it can be different. These alkyl groups, acyl groups and aryl groups may be either unsubstituted or substituted, and can be selected according to the characteristics of the composition. Specific examples of the alkyl group include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, and an n-butyl group. Specific examples of the acyl group include an acetyl group. Specific examples of the aryl group include a phenyl group.

一般式(6)で表される化合物の具体例としては、テトラメトキシシラン、テトラエトキシシラン、テトラアセトキシシラン、テトラフェノキシシラン、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリイソプロポキシシラン、メチルトリn−ブトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、エチルトリイソプロポキシシラン、エチルトリn−ブトキシシラン、n−プロピルトリメトキシシラン、n−プロピルトリエトキシシラン、n−ブチルトリメトキシシラン、n−ブチルトリエトキシシラン、n−ヘキシルトリメトキシシラン、n−ヘキシルトリエトキシシラン、デシルトリメトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、3−アクリロキシプロピルトリメトキシシラン、3−アクリロキシプロピルトリエトキシシラン、3−メタクリロキシプロピルトリメトキシシラン、3−メタクリロキシプロピルトリエトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、p−ヒドロキシフェニルトリメトキシシラン、1−(p−ヒドロキシフェニル)エチルトリメトキシシラン、2−(p−ヒドロキシフェニル)エチルトリメトキシシラン、4−ヒドロキシ−5−(p−ヒドロキシフェニルカルボニルオキシ)ペンチルトリメトキシシラン、トリフルオロメチルトリメトキシシラン、トリフルオロメチルトリエトキシシラン、3,3,3−トリフルオロプロピルトリメトキシシラン、3−アミノプロピルトリメトキシシラン、3−アミノプロピルトリエトキシシラン、3−グリシドキシプロピルトリメトキシシラン、3−グリシドキシプロピルトリエトキシシラン、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン、3−メルカプトプロピルトリメトキシシラン、ジメチルジエトキシシラン、ジメチルジアセトキシシラン、ジn−ブチルジメトキシシラン、ジフェニルジメトキシシラン、(3−グリシドキシプロピル)メチルジメトキシシラン、(3−グリシドキシプロピル)メチルジエトキシシラン、(3−グリシドキシプロピル)ジメチルエトキシシランなどが挙げられる。なお、これらの化合物は単独で使用しても、2種以上を組み合わせて使用してもよい。   Specific examples of the compound represented by the general formula (6) include tetramethoxysilane, tetraethoxysilane, tetraacetoxysilane, tetraphenoxysilane, methyltrimethoxysilane, methyltriethoxysilane, methyltriisopropoxysilane, and methyltrin. -Butoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, ethyltriisopropoxysilane, ethyltri-n-butoxysilane, n-propyltrimethoxysilane, n-propyltriethoxysilane, n-butyltrimethoxysilane, n-butyl Triethoxysilane, n-hexyltrimethoxysilane, n-hexyltriethoxysilane, decyltrimethoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, 3-acryloxypropyltrimethoxy Silane, 3-acryloxypropyltriethoxysilane, 3-methacryloxypropyltrimethoxysilane, 3-methacryloxypropyltriethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, p-hydroxyphenyltrimethoxysilane, 1- ( p-hydroxyphenyl) ethyltrimethoxysilane, 2- (p-hydroxyphenyl) ethyltrimethoxysilane, 4-hydroxy-5- (p-hydroxyphenylcarbonyloxy) pentyltrimethoxysilane, trifluoromethyltrimethoxysilane, tri Fluoromethyltriethoxysilane, 3,3,3-trifluoropropyltrimethoxysilane, 3-aminopropyltrimethoxysilane, 3-aminopropyltriethoxysilane, 3-glycidoxy Propyltrimethoxysilane, 3-glycidoxypropyltriethoxysilane, 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane, 3-mercaptopropyltrimethoxysilane, dimethyldiethoxysilane, dimethyldiacetoxysilane, di Examples include n-butyldimethoxysilane, diphenyldimethoxysilane, (3-glycidoxypropyl) methyldimethoxysilane, (3-glycidoxypropyl) methyldiethoxysilane, and (3-glycidoxypropyl) dimethylethoxysilane. . These compounds may be used alone or in combination of two or more.

一般式(6)で表される化合物はオルガノシラン化合物であり、ポリシロキサンとの相溶性が良好であり、硬化膜の透明性を低下することなく耐溶剤性やパターン解像度が向上する。   The compound represented by the general formula (6) is an organosilane compound, has good compatibility with polysiloxane, and improves solvent resistance and pattern resolution without deteriorating the transparency of the cured film.

熱架橋性化合物の添加量は特に制限されないが、好ましくはポリシロキサン100重量%に対して0.1〜10重量%の範囲である。熱架橋性化合物の添加量が0.1重量%より少ない場合、ポリシロキサンの架橋が不十分で効果が少ない。一方、熱架橋性化合物の添加量が10重量%より多い場合、硬化膜の無色透明性が低下したり、組成物の保存安定性が低下する。   The amount of the thermally crosslinkable compound added is not particularly limited, but is preferably in the range of 0.1 to 10% by weight with respect to 100% by weight of the polysiloxane. When the addition amount of the thermally crosslinkable compound is less than 0.1% by weight, the effect of the crosslinking is insufficient due to insufficient crosslinking of the polysiloxane. On the other hand, when the addition amount of the heat crosslinkable compound is more than 10% by weight, the colorless transparency of the cured film is lowered or the storage stability of the composition is lowered.

本発明の感光性シロキサン組成物は、増感剤を含有してもよい。このときの増感剤は熱処理により気化する、および/または樹脂膜に残存した場合においても、光照射によって退色する増感剤が好ましい。   The photosensitive siloxane composition of the present invention may contain a sensitizer. The sensitizer at this time is preferably a sensitizer that is vaporized by heat treatment and / or discolors when irradiated with light even when it remains in the resin film.

上記の熱処理により気化する、および/または光照射によって退色する増感剤の具体例としては、3,3’−カルボニルビス(ジエチルアミノクマリン)などのクマリン、9,10−アントラキノンなどのアントラキノン、ベンゾフェノン、4,4’−ジメトキシベンゾフェノン、アセトフェノン、4−メトキシアセトフェノン、ベンズアルデヒドなどの芳香族ケトン、ビフェニル、1,4−ジメチルナフタレン、9−フルオレノン、フルオレン、フェナントレン、トリフェニレン、ピレン、アントラセン、9−フェニルアントラセン、9−メトキシアントラセン、9,10−ジフェニルアントラセン、9,10−ビス(4−メトキシフェニル)アントラセン、9,10−ビス(トリフェニルシリル)アントラセン、9,10−ジメトキシアントラセン、9,10−ジエトキシアントラセン、9,10−ジプロポキシアントラセン(DPA、川崎化成(株)製)、9,10−ジブトキシアントラセン(DBA、川崎化成(株)製)、9,10−ジペンタオキシアントラセン、2―t−ブチル−9,10−ジブトキシアントラセン、9,10−ビス(トリメチルシリルエチニル)アントラセンなどの縮合芳香族などが挙げられる。   Specific examples of the sensitizer that is vaporized by the heat treatment and / or faded by light irradiation include coumarins such as 3,3′-carbonylbis (diethylaminocoumarin), anthraquinones such as 9,10-anthraquinone, benzophenone, Aromatic ketones such as 4,4′-dimethoxybenzophenone, acetophenone, 4-methoxyacetophenone, benzaldehyde, biphenyl, 1,4-dimethylnaphthalene, 9-fluorenone, fluorene, phenanthrene, triphenylene, pyrene, anthracene, 9-phenylanthracene, 9-methoxyanthracene, 9,10-diphenylanthracene, 9,10-bis (4-methoxyphenyl) anthracene, 9,10-bis (triphenylsilyl) anthracene, 9,10-dimethoxya Tracene, 9,10-diethoxyanthracene, 9,10-dipropoxyanthracene (DPA, manufactured by Kawasaki Kasei Co., Ltd.), 9,10-dibutoxyanthracene (DBA, manufactured by Kawasaki Kasei Co., Ltd.), 9,10- Examples thereof include condensed aromatics such as dipentaoxyanthracene, 2-t-butyl-9,10-dibutoxyanthracene, and 9,10-bis (trimethylsilylethynyl) anthracene.

これらの増感剤の中で、熱処理により気化する増感剤は、好ましくは熱処理により昇華、蒸発、熱分解による熱分解物が昇華または蒸発する増感剤である。また、増感剤の気化温度としては、好ましくは130℃〜400℃、さらに好ましくは150℃〜250℃である。増感剤の気化温度が130℃より低いと、増感剤がプリベーク中に気化して露光プロセス中に存在しなくなり高感度化が達成されない。また、プリベーク中の気化を極力抑えるためには、増感剤の気化温度は150℃以上が好ましい。一方、増感剤の気化温度が400℃より高いと、増感剤が熱硬化時に気化せず硬化膜中に残存して、無色透明性が低下する。また、熱硬化時に完全に気化させるためには、増感剤の気化温度は250℃以下が好ましい。   Among these sensitizers, the sensitizer that is vaporized by heat treatment is preferably a sensitizer that sublimates or evaporates a thermal decomposition product by sublimation, evaporation, and thermal decomposition. Moreover, as vaporization temperature of a sensitizer, Preferably it is 130 to 400 degreeC, More preferably, it is 150 to 250 degreeC. When the vaporization temperature of the sensitizer is lower than 130 ° C., the sensitizer is vaporized during the pre-bake and does not exist during the exposure process, so that high sensitivity cannot be achieved. In order to suppress vaporization during prebaking as much as possible, the vaporization temperature of the sensitizer is preferably 150 ° C. or higher. On the other hand, if the vaporization temperature of the sensitizer is higher than 400 ° C., the sensitizer does not vaporize during thermal curing and remains in the cured film, resulting in a decrease in colorless transparency. Moreover, in order to vaporize completely at the time of thermosetting, the vaporization temperature of a sensitizer is preferably 250 ° C. or less.

一方、光照射によって退色する増感剤は、透明性の観点から可視光領域における吸収が光照射によって退色する増感剤が好ましい。また、さらに好ましい光照射によって退色する化合物は、光照射によって二量化する化合物である。光照射によって二量化することによって、分子量が増大して不溶化するので、耐薬品性向上、耐熱性向上、透明硬化膜からの抽出物の低減という効果が得られる。   On the other hand, the sensitizer that fades by light irradiation is preferably a sensitizer whose absorption in the visible light region fades by light irradiation from the viewpoint of transparency. Further, a compound that fades upon irradiation with light is a compound that dimerizes upon irradiation with light. By dimerization by light irradiation, the molecular weight increases and insolubilization results in the effect of improving chemical resistance, improving heat resistance, and reducing the extract from the transparent cured film.

また、増感剤は高感度を達成できるという点、光照射によって二量化して退色するという点からアントラセン系化合物が好ましく、さらに、9,10位が水素であるアントラセン系化合物は熱に不安定であるので、9,10−二置換アントラセン系化合物であることが好ましい。さらに、増感剤の溶解性の向上と光二量化反応の反応性の観点から一般式(7)で表される9,10−ジアルコキシアントラセン系化合物であることが好ましい。   The sensitizer is preferably an anthracene compound in that it can achieve high sensitivity and dimerizes and fades when irradiated with light, and the anthracene compound in which the 9th and 10th positions are hydrogen is unstable to heat. Therefore, a 9,10-disubstituted anthracene compound is preferable. Furthermore, it is preferable that it is a 9,10- dialkoxy anthracene type compound represented by General formula (7) from a viewpoint of the improvement of the solubility of a sensitizer, and the reactivity of a photodimerization reaction.

Figure 2007163720
Figure 2007163720

18〜R25はそれぞれ独立して、水素、炭素数1〜20のアルキル基、アルコキシ基、アルケニル基、エチニル基、アリール基、アシル基、およびそれらが置換された有機基を表す。R26、R27は炭素数1〜20のアルコキシ基およびその他の有機基で置換されたアルコキシ基を表す。 R 18 to R 25 each independently represent hydrogen, an alkyl group having 1 to 20 carbon atoms, an alkoxy group, an alkenyl group, an ethynyl group, an aryl group, an acyl group, or an organic group in which they are substituted. R 26 and R 27 represent an alkoxy group substituted with an alkoxy group having 1 to 20 carbon atoms and other organic groups.

一般式(7)のR18〜R25は、それぞれ独立して水素、炭素数1〜20のアルキル基、アルコキシ基、アルケニル基、アリール基、アシル基、およびそれらが置換された有機基を表す。アルキル基の具体例としては、メチル基、エチル基、n−プロピル基が挙げられる。アルコキシ基の具体例としては、メトキシ基、エトキシ基、プロポキシ基、ブトキシ基、ペンチルオキシ基が挙げられる。アルケニル基の具体例としては、ビニル基、アクリロキシプロピル基、メタクリロキシプロピル基が挙げられる。アリール基の具体例としては、フェニル基、トリル基、ナフチル基が挙げられる。アシル基の具体例としては、アセチル基が挙げられる。化合物の気化性、光二量化の反応性の点から、R18〜R25は水素、または炭素数は1〜6までの有機基であることが好ましい。さらに好ましくは、R18、R21、R22、R25は水素であることが好ましい。 R 18 to R 25 in the general formula (7) each independently represent hydrogen, an alkyl group having 1 to 20 carbon atoms, an alkoxy group, an alkenyl group, an aryl group, an acyl group, or an organic group in which they are substituted. . Specific examples of the alkyl group include a methyl group, an ethyl group, and an n-propyl group. Specific examples of the alkoxy group include a methoxy group, an ethoxy group, a propoxy group, a butoxy group, and a pentyloxy group. Specific examples of the alkenyl group include a vinyl group, an acryloxypropyl group, and a methacryloxypropyl group. Specific examples of the aryl group include a phenyl group, a tolyl group, and a naphthyl group. Specific examples of the acyl group include an acetyl group. From the point of vaporization of the compound and the reactivity of photodimerization, R 18 to R 25 are preferably hydrogen or an organic group having 1 to 6 carbon atoms. More preferably, R 18 , R 21 , R 22 , R 25 are preferably hydrogen.

一般式(7)のR26、R27は炭素数1〜20のアルコキシ基、およびその他の有機基で置換されたアルコキシ基を表す。アルコキシ基の具体例としては、メトキシ基、エトキシ基、プロポキシ基、ブトキシ基、ペンチルオキシ基が挙げられるが、化合物の溶解性と光二量化による退色反応の点から、プロポキシ基、ブトキシ基が好ましい。 R < 26 >, R < 27 > of General formula (7) represents the alkoxy group substituted by the C1-C20 alkoxy group and other organic groups. Specific examples of the alkoxy group include a methoxy group, an ethoxy group, a propoxy group, a butoxy group, and a pentyloxy group, and a propoxy group and a butoxy group are preferable from the viewpoint of the solubility of the compound and a fading reaction due to photodimerization.

増感剤を用いる場合、ポリシロキサンに対して0.001〜5重量%、さらに好ましくは0.005〜1重量%の範囲で添加するのが好ましい。この範囲を外れると、透明性が低下したり、感度が低下したりするので注意を要する。   When using a sensitizer, it is preferable to add in 0.001 to 5 weight% with respect to polysiloxane, More preferably, it is 0.005 to 1 weight%. If it is out of this range, it is necessary to pay attention because the transparency is lowered and the sensitivity is lowered.

本発明の感光性シロキサン組成物は必要に応じて、溶解促進剤、溶解抑止剤、架橋剤、界面活性剤、安定剤、消泡剤などの添加剤を含有することもできる。   The photosensitive siloxane composition of the present invention can contain additives such as a dissolution accelerator, a dissolution inhibitor, a crosslinking agent, a surfactant, a stabilizer, and an antifoaming agent as necessary.

溶解促進剤は感度を向上する目的でよく用いられる。溶解促進剤としては、フェノール性水酸基を有する化合物や、N−ヒドロキシジカルボキシイミド化合物が好ましく用いられる。具体例としては、キノンジアジド化合物の合成に用いた上記フェノール性水酸基を有する化合物やN−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミド誘導体が挙げられる。   Dissolution promoters are often used for the purpose of improving sensitivity. As the dissolution accelerator, a compound having a phenolic hydroxyl group or an N-hydroxydicarboximide compound is preferably used. Specific examples include compounds having the above phenolic hydroxyl groups and N-hydroxy-5-norbornene-2,3-dicarboximide derivatives used for the synthesis of quinonediazide compounds.

本発明の感光性シロキサン組成物を用いた硬化膜の形成方法について説明する。本発明の感光性シロキサン組成物をスピンナー、ディッピング、スリットなどの公知の方法によって下地基板上に塗布し、ホットプレート、オーブンなどの加熱装置でプリベークする。プリベークは、50〜150℃の範囲で30秒〜30分間行い、プリベーク後の膜厚は、0.1〜15μmとするのが好ましい。   A method for forming a cured film using the photosensitive siloxane composition of the present invention will be described. The photosensitive siloxane composition of the present invention is applied onto a base substrate by a known method such as spinner, dipping, or slit, and prebaked with a heating device such as a hot plate or oven. Pre-baking is performed in the range of 50 to 150 ° C. for 30 seconds to 30 minutes, and the film thickness after pre-baking is preferably 0.1 to 15 μm.

プリベーク後、ステッパー、ミラープロジェクションマスクアライナー(MPA)、パラレルライトマスクアライナー(PLA)などの紫外可視露光機を用い、10〜6000J/m程度(波長365nm露光量換算)を所望のマスクを介してパターニング露光する。また、本発明の感光性シロキサン組成物は、PLAによる露光での感度が100〜6000J/mであることが好ましい。感度が6000J/mより大きいと、パターン形成時の放射線露光時間が長くなるために生産性が低下したり、放射線露光量が多くなるために下地基板からの反射量が多くなりパターン形状が悪化する。 After pre-baking, using a UV-visible exposure machine such as a stepper, mirror projection mask aligner (MPA), parallel light mask aligner (PLA), etc., about 10 to 6000 J / m 2 (wavelength 365 nm exposure amount conversion) is passed through the desired mask. Pattern exposure is performed. Moreover, it is preferable that the photosensitive siloxane composition of this invention is 100-6000 J / m < 2 > in the sensitivity by the exposure by PLA. If the sensitivity is greater than 6000 J / m 2 , the radiation exposure time during pattern formation becomes longer, resulting in a decrease in productivity, and the radiation exposure amount increases, resulting in an increase in the amount of reflection from the base substrate and a deterioration in the pattern shape. To do.

前記のPLAによるパターニング露光での感度は、例えば以下の方法により求められる。組成物をシリコンウェハスピンコーターを用いて任意の回転数でスピンコートし、ホットプレートを用いて90℃で2分間プリベークし、膜厚3.3μmの膜を作製する。作製した膜をPLA(キヤノン(株)製PLA−501F)を用いて、超高圧水銀灯を感度測定用のグレースケールマスクを介して露光した後、自動現像装置(滝沢産業(株)製AD−2000)を用いて2.38wt%水酸化テトラメチルアンモニウム水溶液で任意の時間パドル現像し、次いで水で30秒間リンスする。   The sensitivity in the patterning exposure by the PLA is determined by the following method, for example. The composition is spin-coated at an arbitrary number of revolutions using a silicon wafer spin coater, and prebaked at 90 ° C. for 2 minutes using a hot plate to produce a film having a thickness of 3.3 μm. The prepared film was exposed to an ultra-high pressure mercury lamp through a gray scale mask for sensitivity measurement using PLA (PLA-501F manufactured by Canon Inc.), and then an automatic developing device (AD-2000 manufactured by Takizawa Sangyo Co., Ltd.). ), And paddle development with an aqueous 2.38 wt% tetramethylammonium hydroxide solution for an arbitrary period of time, followed by a 30 second rinse with water.

パターニング露光後、現像により露光部が溶解し、ポジ型のパターンを得ることができる。現像方法としては、シャワー、ディッピング、パドルなどの方法で現像液に5秒〜10分間浸漬することが好ましい。現像液としては、公知のアルカリ現像液を用いることができる。具体的例としてはアルカリ金属の水酸化物、炭酸塩、リン酸塩、ケイ酸塩、ホウ酸塩などの無機アルカリ、2−ジエチルアミノエタノール、モノエタノールアミン、ジエタノールアミン等のアミン類、水酸化テトラメチルアンモニウム、コリン等の4級アンモニウム塩を1種あるいは2種以上含む水溶液等が挙げられる。   After patterning exposure, the exposed portion is dissolved by development, and a positive pattern can be obtained. As a developing method, it is preferable to immerse in a developer for 5 seconds to 10 minutes by a method such as showering, dipping or paddle. As the developer, a known alkali developer can be used. Specific examples include alkali metal hydroxides, carbonates, phosphates, silicates, borates, and other inorganic alkalis, amines such as 2-diethylaminoethanol, monoethanolamine, diethanolamine, and tetramethyl hydroxide. Examples include aqueous solutions containing one or more quaternary ammonium salts such as ammonium and choline.

現像後、水でリンスすることが好ましく、つづいて50〜150℃の範囲で乾燥ベークを行うこともできる。   After development, it is preferable to rinse with water, followed by drying and baking in the range of 50 to 150 ° C.

その後、ブリーチング露光を行うことが好ましい。ブリーチング露光を行うことによって、膜中に残存する未反応のキノンジアジド化合物が光分解して、膜の光透明性がさらに向上する。ブリーチング露光の方法としては、PLAなどの紫外可視露光機を用い、100〜4000J/m程度(波長365nm露光量換算)を全面に露光する。 Thereafter, it is preferable to perform bleaching exposure. By performing bleaching exposure, the unreacted quinonediazide compound remaining in the film is photodegraded, and the light transparency of the film is further improved. As a bleaching exposure method, an entire surface is exposed to about 100 to 4000 J / m 2 (converted to a wavelength of 365 nm exposure amount) using an ultraviolet-visible exposure machine such as PLA.

その後、この膜をホットプレート、オーブンなどの加熱装置で150〜450℃の範囲で1時間程度熱硬化することで、パターンの形状が崩れたりせず、発泡、皺の発生がなく、高解像度のスルーホールなどのパターンが形成された硬化膜を得ることができる。解像度は、好ましくは10μm以下である。この硬化膜は表示素子におけるTFT用平坦化膜、半導体素子における層間絶縁膜、あるいは光導波路におけるコアやクラッド材等に好適に使用される。   Then, this film is thermally cured in a heating device such as a hot plate or oven at a temperature of 150 to 450 ° C. for about 1 hour, so that the shape of the pattern does not collapse, no foaming or wrinkles occur, and high resolution is achieved. A cured film in which a pattern such as a through hole is formed can be obtained. The resolution is preferably 10 μm or less. This cured film is suitably used for a TFT planarizing film in a display element, an interlayer insulating film in a semiconductor element, or a core or cladding material in an optical waveguide.

本発明の素子は、上述のような高解像度、高硬度、高透明性、高耐熱性、低誘電率性の硬化膜を有する表示素子、半導体素子、あるいは光導波路材を指し、特に、TFT用平坦化膜として有する液晶、ならびに有機EL表示素子は、本発明の硬化膜が画面の明るさと信頼性に優れる点において、有効に用いうる。   The element of the present invention refers to a display element, a semiconductor element, or an optical waveguide material having a cured film with high resolution, high hardness, high transparency, high heat resistance, and low dielectric constant as described above. The liquid crystal and the organic EL display element which are used as the planarizing film can be effectively used in that the cured film of the present invention is excellent in screen brightness and reliability.

以下に本発明をその実施例を用いて説明するが、本発明の様態はこれらの実施例に限定されるものではない。   The present invention will be described below with reference to examples thereof, but the embodiment of the present invention is not limited to these examples.

合成例1 ポリシロキサン溶液(a)の合成
500mLの三口フラスコにメチルトリメトキシシランを74.91g(0.55mol)、フェニルトリメトキシシランを69.41g(0.35mol)、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシランを24.64g(0.1mol)、ジアセトンアルコール(DAA)を150.36g仕込み、室温で攪拌しながら水55.8gにリン酸0.338g(仕込みモノマーに対して0.2重量%)を溶かしたリン酸水溶液を10分かけて添加した。その後、フラスコを70℃のオイルバスに浸けて1時間攪拌した後、オイルバスを30分かけて115℃まで昇温した。昇温開始1時間後に溶液の内温が100℃に到達し、そこから2時間加熱攪拌した(内温は100〜110℃)。反応中に副生成物であるメタノール、水が合計115g留出した。得られたポリシロキサンのDAA溶液に、ポリマー濃度が33重量%、溶剤組成がDAA/γ−ブチロラクトン(GBL)(80/20)となるようにDAAとGBLを加えてポリシロキサン溶液(a)を得た。なお、得られたポリマーの重量平均分子量(Mw)は5000であった。
Synthesis Example 1 Synthesis of Polysiloxane Solution (a) In a 500 mL three-necked flask, 74.91 g (0.55 mol) of methyltrimethoxysilane, 69.41 g (0.35 mol) of phenyltrimethoxysilane, 2- (3,4 -Epoxycyclohexyl) 24.64 g (0.1 mol) of ethyltrimethoxysilane and 150.36 g of diacetone alcohol (DAA) were charged, and 53.8 g of water was added to 0.338 g of phosphoric acid (based on the charged monomers) while stirring at room temperature. Then, an aqueous solution of phosphoric acid in which 0.2 wt% was dissolved was added over 10 minutes. Thereafter, the flask was immersed in an oil bath at 70 ° C. and stirred for 1 hour, and then the temperature of the oil bath was raised to 115 ° C. over 30 minutes. One hour after the start of temperature increase, the internal temperature of the solution reached 100 ° C., and was then heated and stirred for 2 hours (the internal temperature was 100 to 110 ° C.). During the reaction, a total of 115 g of methanol and water as by-products were distilled out. To the obtained polysiloxane DAA solution, DAA and GBL were added so that the polymer concentration was 33% by weight and the solvent composition was DAA / γ-butyrolactone (GBL) (80/20). Obtained. In addition, the weight average molecular weight (Mw) of the obtained polymer was 5000.

合成例2 ポリシロキサン溶液(b)の合成
500mLの三口フラスコにメチルトリメトキシシランを40.2g(0.295mol)、フェニルトリメトキシシランを119.0g(0.6mol)、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシランを12.3g(0.05mol)、シリカ粒子であるPL−2L−DAA(商品名、扶桑化学工業(株)製)を13.2g(シラン原子モル数で0.055mol)、DAAを112.6g仕込み、室温で攪拌しながら水51.9gにリン酸0.315g(仕込みモノマーに対して0.18重量%)を溶かしたリン酸水溶液を10分かけて添加した。その後、フラスコを70℃のオイルバスに浸けて1時間攪拌した後、オイルバスを30分かけて115℃まで昇温した。昇温開始1時間後に溶液の内温が100℃に到達し、そこから2時間加熱攪拌した(内温は100〜110℃)。反応中に副生成物であるメタノール、水が合計118g留出した。得られたポリシロキサンのDAA溶液に、ポリマー濃度が33重量%、溶剤組成がDAA/GBL(80/20)となるようにDAAとGBLを加えてポリシロキサン溶液(b)を得た。なお、得られたポリマーの重量平均分子量(Mw)は6000であった。
Synthesis Example 2 Synthesis of Polysiloxane Solution (b) In a 500 mL three-necked flask, 40.2 g (0.295 mol) of methyltrimethoxysilane, 119.0 g (0.6 mol) of phenyltrimethoxysilane, 2- (3,4 -Epoxycyclohexyl) ethyltrimethoxysilane 12.3 g (0.05 mol), and silica particles PL-2L-DAA (trade name, manufactured by Fuso Chemical Co., Ltd.) 13.2 g (0 mol in terms of silane atoms) 0.055 mol), 112.6 g of DAA are charged, and an aqueous phosphoric acid solution in which 0.315 g of phosphoric acid (0.18 wt% with respect to the charged monomer) is dissolved in 51.9 g of water is added over 10 minutes while stirring at room temperature. did. Thereafter, the flask was immersed in an oil bath at 70 ° C. and stirred for 1 hour, and then the temperature of the oil bath was raised to 115 ° C. over 30 minutes. One hour after the start of temperature increase, the internal temperature of the solution reached 100 ° C., and was then heated and stirred for 2 hours (the internal temperature was 100 to 110 ° C.). During the reaction, a total of 118 g of methanol and water as by-products were distilled out. DAA and GBL were added to the obtained polysiloxane DAA solution so that the polymer concentration was 33% by weight and the solvent composition was DAA / GBL (80/20) to obtain a polysiloxane solution (b). In addition, the weight average molecular weight (Mw) of the obtained polymer was 6000.

合成例3 キノンジアジド化合物(c)の合成
乾燥窒素気流下、TrisP−PA(商品名、本州化学工業(株)製)21.23g(0.05mol)と5−ナフトキノンジアジドスルホニル酸クロリド37.62g(0.14mol)を1,4−ジオキサン450gに溶解させ、室温にした。ここに、1,4−ジオキサン50gと混合させたトリエチルアミン15.58g(0.154mol)を系内が35℃以上にならないように滴下した。滴下後30℃で2時間攪拌した。トリエチルアミン塩を濾過し、濾液を水に投入させた。その後、析出した沈殿を濾過で集めた。この沈殿を真空乾燥機で乾燥させ、エステル化率93%の下記構造のキノンジアジド化合物を得た。
Synthesis Example 3 Synthesis of quinonediazide compound (c) Under a dry nitrogen stream, TrisP-PA (trade name, manufactured by Honshu Chemical Industry Co., Ltd.) 21.23 g (0.05 mol) and 5-naphthoquinonediazidesulfonyl acid chloride 37.62 g ( 0.14 mol) was dissolved in 450 g of 1,4-dioxane and brought to room temperature. Here, 15.58 g (0.154 mol) of triethylamine mixed with 50 g of 1,4-dioxane was added dropwise so that the temperature inside the system would not be 35 ° C. or higher. It stirred at 30 degreeC after dripping for 2 hours. The triethylamine salt was filtered and the filtrate was poured into water. Thereafter, the deposited precipitate was collected by filtration. This precipitate was dried with a vacuum dryer to obtain a quinonediazide compound having the following structure and an esterification rate of 93%.

Figure 2007163720
Figure 2007163720

合成例4 アクリルポリマー溶液(d)の合成
2,2’−アゾビス(2,4−ジメチルバレロニトリル)5g、ジエチレングリコールエチルメチルエーテル(EDM)200gを500mLの三口フラスコに仕込んだ。引き続きスチレン25g、メタクリル酸20g、メタクリル酸グリシジル45g、トリシクロ[5.2.1.02,6]デカン−8−イルメタクリレート10gを仕込み、室温でしばらく攪拌した後、フラスコ内を窒素置換した。その後、フラスコを70℃のオイルバスに浸けて、5時間加熱攪拌した。得られたアクリルポリマーのEDM溶液に、ポリマー濃度が30重量%、溶剤組成がEDM(100%)となるようにEDMを加えてアクリルポリマー溶液(d)を得た。なお、得られたポリマーの重量平均分子量(Mw)は15000であった。
Synthesis Example 4 Synthesis of acrylic polymer solution (d) 5 g of 2,2′-azobis (2,4-dimethylvaleronitrile) and 200 g of diethylene glycol ethyl methyl ether (EDM) were charged into a 500 mL three-necked flask. Subsequently, 25 g of styrene, 20 g of methacrylic acid, 45 g of glycidyl methacrylate and 10 g of tricyclo [5.2.1.0 2,6 ] decan-8-yl methacrylate were charged and stirred for a while at room temperature, and then the atmosphere in the flask was replaced with nitrogen. Thereafter, the flask was immersed in an oil bath at 70 ° C. and stirred with heating for 5 hours. To the obtained EDM solution of acrylic polymer, EDM was added so that the polymer concentration was 30% by weight and the solvent composition was EDM (100%) to obtain an acrylic polymer solution (d). In addition, the weight average molecular weight (Mw) of the obtained polymer was 15000.

合成例5 ノボラックポリマー溶液(e)の合成
冷却管と撹拌装置を装着した2Lのセパラブルフラスコに、m−クレゾール172.8g(1.6モル)、2.3−ジメチルフェノール36.6g(0.3モル)、3.4−ジメチルフェノール12.2g(0.1モル)、37重量%ホルムアルデヒド水溶液12.6g(ホルムアルデヒド:1.5モル)、シュウ酸2水和物12.6g(0.1モル)及びメチルイソブチルケトン554gを加え、30分撹拌した後、1時間静置した。2層に分離した上層をデカンテーションによって除去し、2−ヒドロキシプロピオン酸エチル(HPE)を加え、残存メチルイソブチルケトン、n−ヘプタン、水を減圧濃縮によって除去し、ノボラックポリマーの2−ヒドロキシプロピオン酸エチル溶液(e)を得た。得られたノボラックポリマーのMwは8600であった。このノボラックポリマーにHPEと3−エトキシプロピオン酸エチル(EPE)を加えて、ポリマー濃度が17.5重量部、溶剤組成がHPEとEPEが7対3になるように調整した。
Synthesis Example 5 Synthesis of Novolak Polymer Solution (e) In a 2 L separable flask equipped with a condenser and a stirrer, 172.8 g (1.6 mol) of m-cresol, 36.6 g of 2.3-dimethylphenol (0 .3 mol), 3.4-dimethylphenol (12.2 g, 0.1 mol), 37 wt% aqueous formaldehyde solution (12.6 g) (formaldehyde: 1.5 mol), oxalic acid dihydrate 12.6 g (0. 3 mol). 1 mol) and 554 g of methyl isobutyl ketone were added, stirred for 30 minutes, and allowed to stand for 1 hour. The upper layer separated into two layers is removed by decantation, ethyl 2-hydroxypropionate (HPE) is added, residual methyl isobutyl ketone, n-heptane and water are removed by concentration under reduced pressure, and 2-hydroxypropionic acid of novolac polymer An ethyl solution (e) was obtained. Mw of the obtained novolak polymer was 8600. HPE and ethyl 3-ethoxypropionate (EPE) were added to this novolak polymer to adjust the polymer concentration to 17.5 parts by weight and the solvent composition to 7: 3 HPE and EPE.

実施例1
黄色灯下にてキノンジアジド化合物(c)0.4654g(8重量部)、ジフェニルジメトキシシラン0.1163g(2重量部)をDAA/GBL(80/20)1.6902gに溶解させ、ポリシロキサン(a)溶液17.6281g(100重量部)、シリコーン系界面活性剤であるBYK−333(BYK−Chemie社製)のDAA/GBL(80/20)1%溶液を0.1g(50ppm)加え、撹拌した。次いで0.2μmのフィルターでろ過を行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物1とする。
Example 1
Under a yellow light, 0.4654 g (8 parts by weight) of quinonediazide compound (c) and 0.1163 g (2 parts by weight) of diphenyldimethoxysilane were dissolved in 1.6902 g of DAA / GBL (80/20), and polysiloxane (a ) Solution 17.2681 g (100 parts by weight), 0.1 g (50 ppm) of a DAA / GBL (80/20) 1% solution of BYK-333 (manufactured by BYK-Chemie), which is a silicone surfactant, is added and stirred. did. Subsequently, it filtered with a 0.2 micrometer filter and obtained positive type photosensitive siloxane composition. The resulting composition is referred to as Composition 1.

作製した組成物をテンパックスガラス板(旭テクノガラス板(株)製)、およびシリコンウェハにスピンコーター(ミカサ(株)製1H−360S)を用いて任意の回転数でスピンコートした後、ホットプレート(大日本スクリーン製造(株)製SCW−636)を用いて95℃で2分間プリベークし、膜厚4μmの膜を作製した。作製した膜をパラレルライトマスクアライナー(以下PLAという)(キヤノン(株)製PLA−501F)を用いて、超高圧水銀灯を感度測定用のグレースケールマスクを介して露光した後、自動現像装置(AD−2000、滝沢産業(株)製)を用いて2.38wt%水酸化テトラメチルアンモニウム水溶液であるELM−D(三菱ガス化学(株)製)で80秒間シャワー現像し、次いで水で30秒間リンスした。その後、ブリーチング露光として、PLA−501F(キヤノン(株)製)を用いて、膜全面に超高圧水銀灯を6000J/m(波長365nm露光量換算)露光した。その後、ホットプレートを用いて90℃で2分間ソフトベークし、次いでオーブン(タバイエスペック社製IHPS−222)を用いて空気中220℃で1時間キュアして硬化膜を作製した。また、組成物1および9はテンパックスガラス板を用いて同条件でソフトベークまで行った後、270℃でのキュアも行った。 The prepared composition was spin-coated at an arbitrary number of rotations using a spin coater (1H-360S manufactured by Mikasa Co., Ltd.) on a Tempax glass plate (Asahi Techno Glass plate Co., Ltd.) and a silicon wafer, and then hot Using a plate (SCW-636 manufactured by Dainippon Screen Mfg. Co., Ltd.), prebaking was performed at 95 ° C. for 2 minutes to prepare a film having a thickness of 4 μm. The prepared film was exposed using a parallel light mask aligner (hereinafter referred to as PLA) (PLA-501F manufactured by Canon Inc.) through a gray scale mask for sensitivity measurement, and then an automatic developing device (AD -2000, manufactured by Takizawa Sangyo Co., Ltd.), shower developed for 80 seconds with ELM-D (Mitsubishi Gas Chemical Co., Ltd.), a 2.38 wt% tetramethylammonium hydroxide aqueous solution, and then rinsed with water for 30 seconds. did. Thereafter, as bleaching exposure, PLA-501F (manufactured by Canon Inc.) was used to expose the entire surface of the film with an ultrahigh pressure mercury lamp at 6000 J / m 2 (wavelength 365 nm exposure conversion). After that, soft baking was performed at 90 ° C. for 2 minutes using a hot plate, and then cured in air at 220 ° C. for 1 hour using an oven (IHPS-222 manufactured by Tabai Espec) to prepare a cured film. Compositions 1 and 9 were subjected to soft baking using the Tempax glass plate under the same conditions, and were then cured at 270 ° C.

評価結果を表2に示す。なお、表中の評価は以下の方法で行った。なお、下記の(1)、(2)、(3)、(4)、(5)の評価はシリコンウェハ基板を、(7)、(9)の評価はテンパックスガラス板を用いて行った。   The evaluation results are shown in Table 2. The evaluation in the table was performed by the following method. The following (1), (2), (3), (4) and (5) were evaluated using a silicon wafer substrate, and (7) and (9) were evaluated using a Tempax glass plate. .

(1)膜厚測定
大日本スクリーン製造(株)製ラムダエースSTM−602を用いて、屈折率1.50で測定を行った。
(1) Measurement of film thickness Using a Lambda Ace STM-602 manufactured by Dainippon Screen Mfg. Co., Ltd., the film thickness was measured at a refractive index of 1.50.

(2)残膜率
残膜率は以下の式に従って算出した。
残膜率(%)=現像後の未露光部膜厚÷プリベーク後の膜厚×100。
(2) Remaining film ratio The remaining film ratio was calculated according to the following formula.
Residual film ratio (%) = unexposed film thickness after development / film thickness after pre-baking × 100.

(3)感度
露光、現像後、10μmのラインアンドスペースパターンを1対1の幅に形成する露光量(以下、これを最適露光量という)を感度とした。
(3) Sensitivity The exposure amount that forms a 10 μm line-and-space pattern in a one-to-one width after exposure and development (hereinafter referred to as the optimum exposure amount) was defined as sensitivity.

(4)解像度
最適露光量におけるキュア後の最小パターン寸法をキュア後解像度とした。
(4) Resolution The minimum pattern size after curing at the optimum exposure amount was set as the after-curing resolution.

(5)感度および残膜率の経時変化評価
得られた組成物を、作製した日から3日間冷凍庫に保管した後、23℃に保たれた部屋に遮光袋中で放置した。放置開始日を0日として、放置開始日、放置開始日から3日後、10日後の各々の残膜率と感度を上記の方法を用いて評価した。
(5) Evaluation of change in sensitivity and remaining film ratio with time After the obtained composition was stored in a freezer for 3 days from the date of preparation, it was left in a light-shielding bag in a room kept at 23 ° C. The standing start date was set to 0 day, and the remaining film ratio and sensitivity after 3 days and 10 days after the standing start date were evaluated using the above method.

(6)重量減少率
組成物をアルミセルに約100mg入れ、熱重量測定装置TGA−50((株)島津製作所製)を用い、窒素雰囲気中、昇温速度10℃/分で300℃まで加熱し、そのまま1時間加熱硬化させ、その後昇温速度10℃/分で400℃までで昇温した時の、重量減少率を測定した。300℃に到達したときの重量を測定し、さらに400℃に到達した時の重量を測定し、300℃時の重量との差を求め、減少した重量分を重量減少率として求めた。
(6) Weight reduction rate About 100 mg of the composition was put in an aluminum cell and heated to 300 ° C. at a temperature rising rate of 10 ° C./min in a nitrogen atmosphere using a thermogravimetric measuring device TGA-50 (manufactured by Shimadzu Corporation). Then, it was cured by heating for 1 hour, and then the weight reduction rate was measured when the temperature was raised to 400 ° C. at a temperature rising rate of 10 ° C./min. The weight when reaching 300 ° C. was measured, the weight when reaching 400 ° C. was measured, the difference from the weight at 300 ° C. was determined, and the reduced weight was determined as the weight reduction rate.

(7)光透過率の測定
MultiSpec−1500((株)島津製作所製)を用いて、まずテンパックスガラス板のみを測定し、その紫外可視吸収スペクトルをリファレンスとした。次に各キュア膜をテンパックスガラスに形成し、これをサンプルとし、サンプルを用いてシングルビームで測定し、3μmあたりの波長400nmでの光透過率を求め、リファレンスとの差異を硬化膜の透過率とした。
(7) Measurement of light transmittance First, only the Tempax glass plate was measured using MultiSpec-1500 (manufactured by Shimadzu Corporation), and the UV-visible absorption spectrum was used as a reference. Next, each cured film is formed on Tempax glass, and this is used as a sample. Using the sample, measurement is performed with a single beam, light transmittance at a wavelength of 400 nm per 3 μm is obtained, and the difference from the reference is transmitted through the cured film. Rate.

(8)誘電率の測定
アルミ基板に、組成物を塗布、プリベーク、露光、キュア処理し、薄膜を形成した。その後この薄膜上部にアルミ電極を形成し、1kHzにおける静電容量をアジレント・テクノロジー社製のLCRメーター4284Aを用いて測定し、下記式により誘電率(ε)を求めた。なお誘電率測定の際、組成物は現像処理していないものを用いた。
(8) Measurement of dielectric constant A thin film was formed by coating, pre-baking, exposing and curing the composition on an aluminum substrate. Thereafter, an aluminum electrode was formed on the upper part of the thin film, and the capacitance at 1 kHz was measured using an LCR meter 4284A manufactured by Agilent Technologies, and the dielectric constant (ε) was determined by the following formula. In measuring the dielectric constant, the composition used was not developed.

ε=C・d/ε・S
但し、Cは静電容量、dは試料膜厚、εは真空中の誘電率、Sは上部電極面積である。
ε = C · d / ε 0 · S
Where C is the capacitance, d is the sample film thickness, ε 0 is the dielectric constant in vacuum, and S is the upper electrode area.

(9)接着性の評価
テンパックスガラス板に、組成物を塗布、プリベーク、露光、キュア処理し、薄膜を形成する。JIS K−5400 8.5.2(1990)碁盤目テープ法に準じて測定した。テンパックスガラス板上の薄膜表面に、カッターナイフでガラス板の素地に到達するように、直交する縦横11本ずつの平行な直線を1mm間隔で引いて、1mm×1mmのマス目を100個作製した。切られた薄膜表面にセロハン粘着テ−プ(幅=18mm、粘着力=3.7N/10mm)を張り付け、消しゴム(JISS−6050合格品)で擦って密着させ、テープの一端を持ち、板に直角に保ち瞬間的に剥離した際のマス目の残存数を目視によって評価した。
(9) Adhesive evaluation The composition is applied to a Tempax glass plate, pre-baked, exposed, and cured to form a thin film. Measured according to JIS K-5400 8.5.2 (1990) cross cut tape method. On the surface of the thin film on the Tempax glass plate, draw 100 straight 1 mm x 1 mm squares by drawing 11 parallel straight lines at 1 mm intervals to reach the substrate of the glass plate with a cutter knife. did. A cellophane adhesive tape (width = 18 mm, adhesive strength = 3.7 N / 10 mm) is attached to the cut thin film surface, and it is adhered by rubbing with an eraser (JISS-6050 passed product). The remaining number of squares when peeled instantaneously while maintaining a right angle was visually evaluated.

なお、表2に示した硬化膜の評価結果は放置開始日(0日後)における組成物の硬化膜を用いて得られたものである。   In addition, the evaluation result of the cured film shown in Table 2 was obtained using the cured film of the composition on the standing start date (after 0 days).

実施例2
ポリシロキサン(a)溶液をポリシロキサン(b)溶液に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物2とする。実施例1と同様に得られた組成物の評価を行った。
Example 2
A positive photosensitive siloxane composition was obtained in the same manner as in Example 1 except that the polysiloxane (a) solution was replaced with the polysiloxane (b) solution. The resulting composition is designated as Composition 2. The composition obtained in the same manner as in Example 1 was evaluated.

実施例3
ジフェニルジメトキシシランをジフェニルジエトキシシラン0.1163g(2重量部)に換え、ポリシロキサン(a)溶液をポリシロキサン(b)溶液17.6281g(100重量部)に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物3とする。実施例1と同様に得られた組成物の評価を行った。
Example 3
Example 1 except that diphenyldimethoxysilane was replaced with 0.1163 g (2 parts by weight) of diphenyldiethoxysilane and the polysiloxane (a) solution was replaced with 17.6281 g (100 parts by weight) of the polysiloxane (b) solution. The positive photosensitive siloxane composition was obtained. The resulting composition is designated as Composition 3. The composition obtained in the same manner as in Example 1 was evaluated.

実施例4
ジフェニルジメトキシシランをビス(4−メチルフェニル)ジメトキシシラン0.1163g(2重量部)に換え、ポリシロキサン(a)溶液をポリシロキサン(b)溶液17.6281g(100重量部)に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物4とする。実施例1と同様に得られた組成物の評価を行った。
Example 4
Except that diphenyldimethoxysilane was replaced with 0.1163 g (2 parts by weight) of bis (4-methylphenyl) dimethoxysilane, and the polysiloxane (a) solution was replaced with 17.6281 g (100 parts by weight) of the polysiloxane (b) solution. In the same manner as in Example 1, a positive photosensitive siloxane composition was obtained. The resulting composition is designated as Composition 4. The composition obtained in the same manner as in Example 1 was evaluated.

実施例5
ジフェニルジメトキシシランをトリフェニルエトキシシラン0.1163g(2重量部)に換え、ポリシロキサン(a)溶液をポリシロキサン(b)溶液17.6281g(100重量部)に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物5とする。実施例1と同様に得られた組成物の評価を行った。
Example 5
Example 1 except that diphenyldimethoxysilane was replaced with 0.1163 g (2 parts by weight) of triphenylethoxysilane and the polysiloxane (a) solution was replaced with 17.6281 g (100 parts by weight) of the polysiloxane (b) solution. The positive photosensitive siloxane composition was obtained. The obtained composition is designated as Composition 5. The composition obtained in the same manner as in Example 1 was evaluated.

比較例1
黄色灯下にてキノンジアジド化合物(c)0.474g(8重量部)をDAA/GBL(80/20)1.6902gに溶解させ、ポリシロキサン(b)溶液17.9545g(100重量部)、シリコーン系界面活性剤であるBYK−333(BYK−Chemie社製)のDAA/GBL(80/20)1%溶液を0.1g(50ppm)加え、撹拌した。次いで0.2μmのフィルターでろ過を行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物6とする。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 1
Under a yellow light, 0.474 g (8 parts by weight) of quinonediazide compound (c) is dissolved in 1.6902 g of DAA / GBL (80/20), and 17.95545 g (100 parts by weight) of a polysiloxane (b) solution, silicone 0.1 g (50 ppm) of a DAA / GBL (80/20) 1% solution of BYK-333 (manufactured by BYK-Chemie), a system surfactant, was added and stirred. Subsequently, it filtered with a 0.2 micrometer filter and obtained positive type photosensitive siloxane composition. The obtained composition is designated as Composition 6. The composition obtained in the same manner as in Example 1 was evaluated.

比較例2
ジフェニルジメトキシシランをフェニルトリメトキシシラン0.1163g(2重量部)に換え、ポリシロキサン(a)溶液をポリシロキサン(b)溶液17.6281g(100重量部)に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物7とする。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 2
Example 1 except that diphenyldimethoxysilane was replaced with 0.1163 g (2 parts by weight) of phenyltrimethoxysilane and the polysiloxane (a) solution was replaced with 17.6281 g (100 parts by weight) of the polysiloxane (b) solution. The positive photosensitive siloxane composition was obtained. The obtained composition is designated as Composition 7. The composition obtained in the same manner as in Example 1 was evaluated.

比較例3
ジフェニルジメトキシシランをビニルトリメトキシシラン0.1163g(2重量部)に換え、ポリシロキサン(a)溶液をポリシロキサン(b)溶液17.6281g(100重量部)に換えた以外は実施例1と同様に行い、ポジ型感光性シロキサン組成物を得た。得られた組成物を組成物8とする。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 3
Example 1 except that diphenyldimethoxysilane was replaced with 0.1163 g (2 parts by weight) of vinyltrimethoxysilane and the polysiloxane (a) solution was replaced with 17.6281 g (100 parts by weight) of the polysiloxane (b) solution. The positive photosensitive siloxane composition was obtained. The resulting composition is designated as Composition 8. The composition obtained in the same manner as in Example 1 was evaluated.

比較例4
黄色灯下にてキノンジアジド化合物(c)1.3844g(30重量部)をEDM3.1336gに溶解させ、アクリルポリマー(d)溶液15.3828g(100重量部)、シリコーン系界面活性剤であるBYK−333(BYK−Chemie社製)のEDM1%溶液を0.1000g(50ppm)加え、撹拌した。次いで0.2μmのフィルターでろ過を行い、ポジ型感光性アクリル組成物を得た。得られた組成物を組成物9とする。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 4
Under a yellow light, 1.3844 g (30 parts by weight) of the quinonediazide compound (c) is dissolved in 3.1336 g of EDM, 15.3828 g (100 parts by weight) of an acrylic polymer (d) solution, and BYK- which is a silicone surfactant. 0.1000 g (50 ppm) of an EDM 1% solution of 333 (BYK-Chemie) was added and stirred. Subsequently, it filtered with a 0.2 micrometer filter and obtained the positive photosensitive acrylic composition. The resulting composition is designated as Composition 9. The composition obtained in the same manner as in Example 1 was evaluated.

比較例5
黄色灯下にてキノンジアジド化合物(c)1.3634g(30重量部)、ビニルトリメトキシシラン0.0909g(2重量部)をEDM3.2967gに溶解させ、合成例4で得られたアクリルポリマー(d)溶液15.149g(100重量部)、シリコーン系界面活性剤であるBYK−333(BYK−Chemie社製)のEDM1%溶液を0.1000g(50ppm)加え、撹拌した。次いで0.2μmのフィルターでろ過を行い、組成物10を得た。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 5
Under a yellow light, 1.3634 g (30 parts by weight) of quinonediazide compound (c) and 0.0909 g (2 parts by weight) of vinyltrimethoxysilane were dissolved in 3.2967 g of EDM, and the acrylic polymer (d ) 15.149 g (100 parts by weight) of the solution, 0.1000 g (50 ppm) of EDM 1% solution of BYK-333 (manufactured by BYK-Chemie), which is a silicone surfactant, were added and stirred. Subsequently, it filtered with a 0.2 micrometer filter and the composition 10 was obtained. The composition obtained in the same manner as in Example 1 was evaluated.

比較例6
黄色灯下にてキノンジアジド化合物(c)2.6778g(45重量部)、ビニルトリメトキシシラン0.119g(2重量部)をHPE/EPE(70/30)12.9493gに溶解させ、ノボラック樹脂(e)溶液34.0039g(100重量部)、シリコーン系界面活性剤であるBYK−333(BYK−Chemie社製)のHPE/EPE(70/30)1%溶液を0.25g(50ppm)加え、撹拌した。次いで0.2μmのフィルターでろ過を行い、ポジ型感光性ノボラック組成物を得た。得られた組成物を組成物10とする。実施例1と同様に得られた組成物の評価を行った。
Comparative Example 6
Under yellow light, quinonediazide compound (c) 2.6778 g (45 parts by weight) and vinyltrimethoxysilane 0.119 g (2 parts by weight) were dissolved in HPE / EPE (70/30) 12.9493 g, and a novolac resin ( e) 34.0039 g (100 parts by weight) of the solution, 0.25 g (50 ppm) of a 1% HPE / EPE (70/30) solution of BYK-333 (BYK-Chemie), which is a silicone surfactant, Stir. Subsequently, it filtered with a 0.2 micrometer filter and obtained the positive photosensitive novolak composition. The obtained composition is designated as Composition 10. The composition obtained in the same manner as in Example 1 was evaluated.

組成物1〜11の各組成比を表1に、実施例1〜5、比較例1〜6の結果を表2に示した。   The composition ratios of the compositions 1 to 11 are shown in Table 1, and the results of Examples 1 to 5 and Comparative Examples 1 to 6 are shown in Table 2.

Figure 2007163720
Figure 2007163720

Figure 2007163720
Figure 2007163720

Claims (4)

(a)ポリシロキサン、(b)キノンジアジド化合物、(c)溶剤、(d)一般式(1)で表されるオルガノシラン化合物を含有する感光性シロキサン組成物。
Figure 2007163720
(R、Rは同じでも異なってもよく、水素、炭素数1〜10のアルキル基、炭素数2〜10のアルケニル基、炭素数6〜15のアリール基のいずれかを表し、複数のR、Rはそれぞれ同じでも異なっていてもよい。Rは水素、炭素数1〜6のアルキル基、炭素数2〜6のアシル基、炭素数6〜15のアリール基のいずれかを表し、複数のRはそれぞれ同じでも異なっていてもよい。nは2または3を表す。)
A photosensitive siloxane composition containing (a) polysiloxane, (b) a quinonediazide compound, (c) a solvent, and (d) an organosilane compound represented by the general formula (1).
Figure 2007163720
(R 1 and R 2 may be the same or different and each represents hydrogen, an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, or an aryl group having 6 to 15 carbon atoms, R 1 and R 2 may be the same or different, and R 3 represents any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, an acyl group having 2 to 6 carbon atoms, and an aryl group having 6 to 15 carbon atoms. And a plurality of R 3 may be the same or different, and n represents 2 or 3.)
(a)ポリシロキサンが共重合体であり、該ポリシロキサンの少なくとも一部に化学的に結合したシリカ粒子を含んでいる請求項1記載の感光性シロキサン組成物。 The photosensitive siloxane composition according to claim 1, wherein (a) the polysiloxane is a copolymer and contains silica particles chemically bonded to at least a part of the polysiloxane. 請求項1記載の感光性シロキサン組成物から形成された硬化膜であって、波長400nmにおける膜厚3μmあたりの光透過率が95%以上である硬化膜。 A cured film formed from the photosensitive siloxane composition according to claim 1, wherein the light transmittance per film thickness of 3 μm at a wavelength of 400 nm is 95% or more. 請求項3記載の硬化膜を具備する素子。 An element comprising the cured film according to claim 3.
JP2005358538A 2005-12-13 2005-12-13 Photosensitive siloxane composition, cured film formed therefrom, and device having cured film Active JP4655914B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005358538A JP4655914B2 (en) 2005-12-13 2005-12-13 Photosensitive siloxane composition, cured film formed therefrom, and device having cured film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005358538A JP4655914B2 (en) 2005-12-13 2005-12-13 Photosensitive siloxane composition, cured film formed therefrom, and device having cured film

Publications (2)

Publication Number Publication Date
JP2007163720A true JP2007163720A (en) 2007-06-28
JP4655914B2 JP4655914B2 (en) 2011-03-23

Family

ID=38246692

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005358538A Active JP4655914B2 (en) 2005-12-13 2005-12-13 Photosensitive siloxane composition, cured film formed therefrom, and device having cured film

Country Status (1)

Country Link
JP (1) JP4655914B2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008065944A1 (en) * 2006-11-30 2008-06-05 Toray Industries, Inc. Photosensitive siloxane composition, hardened film formed therefrom and device having the hardened film
WO2009028360A1 (en) * 2007-08-24 2009-03-05 Toray Industries, Inc. Photosensitive composition, cured film formed therefrom, and device having cured film
WO2009096050A1 (en) * 2008-01-28 2009-08-06 Toray Industries, Inc. Siloxane resin compositions
JP2009229892A (en) * 2008-03-24 2009-10-08 Jsr Corp Method of producing radiation-sensitive resin composition, inter-layer insulating film and microlens
JP2010008603A (en) * 2008-06-25 2010-01-14 Jsr Corp Radiation sensitive resin composition for forming wiring partition, wiring partition, and its forming method
JP2010153655A (en) * 2008-12-25 2010-07-08 Asahi Kasei E-Materials Corp Polysiloxane-based reactant for filling trench
JP2010177648A (en) * 2009-02-02 2010-08-12 Asahi Kasei E-Materials Corp Polysilane-based composition for being filled in trench
JP2010177647A (en) * 2009-02-02 2010-08-12 Asahi Kasei E-Materials Corp Polysilazane-based composition for being filled in trench
JP2010186975A (en) * 2009-02-13 2010-08-26 Asahi Kasei E-Materials Corp Method of forming trench embedding insulation film
JP2010186938A (en) * 2009-02-13 2010-08-26 Asahi Kasei E-Materials Corp Condensation reaction product for filling polysiloxane-based trench and method for manufacturing trench filling film
JP2011100856A (en) * 2009-11-06 2011-05-19 Asahi Kasei E-Materials Corp Method for forming trench-embedding insulating film
WO2011078106A1 (en) * 2009-12-22 2011-06-30 東レ株式会社 Positive photosensitive resin composition, cured film formed from same, and element having cured film
CN102918460A (en) * 2010-06-09 2013-02-06 东丽株式会社 Photosensitive siloxane composition, cured film formed form same, and element having cured film
CN103069341A (en) * 2010-08-24 2013-04-24 Az电子材料Ip(日本)株式会社 Positive photosensitive siloxane composition
JP2013109216A (en) * 2011-11-22 2013-06-06 Jsr Corp Positive radiation-sensitive composition, cured film for display element, method for forming cured film for display element, and display element
CN104797978A (en) * 2012-11-22 2015-07-22 Az电子材料(卢森堡)有限公司 Positive-acting photosensitive siloxane composition
WO2015170524A1 (en) * 2014-05-09 2015-11-12 住友ベークライト株式会社 Photosensitive resin composition, cured film, protective film, insulating film, and electronic device
EP3203320A4 (en) * 2014-09-30 2018-06-20 Toray Industries, Inc. Photosensitive resin composition, cured film, element provided with cured film, and method for manufacturing semiconductor device
CN110095941A (en) * 2011-12-26 2019-08-06 东丽株式会社 The manufacturing method of photosensitive polymer combination and semiconductor element
WO2022059506A1 (en) * 2020-09-16 2022-03-24 セントラル硝子株式会社 Silicon-containing monomer mixture, polysiloxane, resin composition, photosensitive resin composition, cured film, production method for cured film, patterned cured film, and production method for patterned cured film

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04338958A (en) * 1990-07-06 1992-11-26 Nippon Telegr & Teleph Corp <Ntt> Resist material, its manufacture, and pattern forming method using same
JPH08328241A (en) * 1995-05-26 1996-12-13 Fuji Photo Film Co Ltd Production of wetting-waterless photosensitive planographic printing plate
JPH10319594A (en) * 1997-05-21 1998-12-04 Fuji Photo Film Co Ltd Positive photoresist composition for far ultraviolet ray exposure
JPH11352699A (en) * 1998-06-08 1999-12-24 Fujifilm Olin Co Ltd Formation of partition wall
JP2003020335A (en) * 2001-05-01 2003-01-24 Jsr Corp Polysiloxane and radiation-sensitive resin composition
JP2005043883A (en) * 2003-07-09 2005-02-17 Toray Ind Inc Photosensitive resin precursor composition
WO2005036270A1 (en) * 2003-10-07 2005-04-21 Hitachi Chemical Co., Ltd. Radiation-curing composition, method for storing same, method for forming cured film, method for forming pattern, method for using pattern, electronic component, and optical waveguide
JP2005215035A (en) * 2004-01-27 2005-08-11 Nippon Steel Chem Co Ltd Photosensitive resin composition and cured object

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04338958A (en) * 1990-07-06 1992-11-26 Nippon Telegr & Teleph Corp <Ntt> Resist material, its manufacture, and pattern forming method using same
JPH08328241A (en) * 1995-05-26 1996-12-13 Fuji Photo Film Co Ltd Production of wetting-waterless photosensitive planographic printing plate
JPH10319594A (en) * 1997-05-21 1998-12-04 Fuji Photo Film Co Ltd Positive photoresist composition for far ultraviolet ray exposure
JPH11352699A (en) * 1998-06-08 1999-12-24 Fujifilm Olin Co Ltd Formation of partition wall
JP2003020335A (en) * 2001-05-01 2003-01-24 Jsr Corp Polysiloxane and radiation-sensitive resin composition
JP2005043883A (en) * 2003-07-09 2005-02-17 Toray Ind Inc Photosensitive resin precursor composition
WO2005036270A1 (en) * 2003-10-07 2005-04-21 Hitachi Chemical Co., Ltd. Radiation-curing composition, method for storing same, method for forming cured film, method for forming pattern, method for using pattern, electronic component, and optical waveguide
JP2005215035A (en) * 2004-01-27 2005-08-11 Nippon Steel Chem Co Ltd Photosensitive resin composition and cured object

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008065944A1 (en) * 2006-11-30 2008-06-05 Toray Industries, Inc. Photosensitive siloxane composition, hardened film formed therefrom and device having the hardened film
JP2009015285A (en) * 2006-11-30 2009-01-22 Toray Ind Inc Photosensitive siloxane composition, cured film formed therefrom, and element having the cured film
US8338510B2 (en) 2006-11-30 2012-12-25 Toray Industries, Inc. Photosensitive siloxane composition, cured film formed therefrom and device having the cured film
WO2009028360A1 (en) * 2007-08-24 2009-03-05 Toray Industries, Inc. Photosensitive composition, cured film formed therefrom, and device having cured film
JP5099140B2 (en) * 2007-08-24 2012-12-12 東レ株式会社 Photosensitive composition, cured film formed therefrom, and device having cured film
WO2009096050A1 (en) * 2008-01-28 2009-08-06 Toray Industries, Inc. Siloxane resin compositions
US8389649B2 (en) 2008-01-28 2013-03-05 Toray Industries, Inc. Siloxane-based resin composition
JP2009229892A (en) * 2008-03-24 2009-10-08 Jsr Corp Method of producing radiation-sensitive resin composition, inter-layer insulating film and microlens
JP2010008603A (en) * 2008-06-25 2010-01-14 Jsr Corp Radiation sensitive resin composition for forming wiring partition, wiring partition, and its forming method
JP2010153655A (en) * 2008-12-25 2010-07-08 Asahi Kasei E-Materials Corp Polysiloxane-based reactant for filling trench
JP2010177647A (en) * 2009-02-02 2010-08-12 Asahi Kasei E-Materials Corp Polysilazane-based composition for being filled in trench
JP2010177648A (en) * 2009-02-02 2010-08-12 Asahi Kasei E-Materials Corp Polysilane-based composition for being filled in trench
JP2010186938A (en) * 2009-02-13 2010-08-26 Asahi Kasei E-Materials Corp Condensation reaction product for filling polysiloxane-based trench and method for manufacturing trench filling film
JP2010186975A (en) * 2009-02-13 2010-08-26 Asahi Kasei E-Materials Corp Method of forming trench embedding insulation film
JP2011100856A (en) * 2009-11-06 2011-05-19 Asahi Kasei E-Materials Corp Method for forming trench-embedding insulating film
CN102667625B (en) * 2009-12-22 2015-11-25 东丽株式会社 Positive type photosensitive organic compound, the cured film formed by said composition and there is the element of cured film
WO2011078106A1 (en) * 2009-12-22 2011-06-30 東レ株式会社 Positive photosensitive resin composition, cured film formed from same, and element having cured film
CN102667625A (en) * 2009-12-22 2012-09-12 东丽株式会社 Positive photosensitive resin composition, cured film formed from the same, and device having cured film
TWI490642B (en) * 2009-12-22 2015-07-01 Toray Industries Positive photosensitive resin composition, cured film formed from same and device having cured film
CN102918460B (en) * 2010-06-09 2015-07-22 东丽株式会社 Photosensitive siloxane composition, cured film formed form same, and element having cured film
CN102918460A (en) * 2010-06-09 2013-02-06 东丽株式会社 Photosensitive siloxane composition, cured film formed form same, and element having cured film
CN103069341A (en) * 2010-08-24 2013-04-24 Az电子材料Ip(日本)株式会社 Positive photosensitive siloxane composition
JP2013109216A (en) * 2011-11-22 2013-06-06 Jsr Corp Positive radiation-sensitive composition, cured film for display element, method for forming cured film for display element, and display element
CN110095941B (en) * 2011-12-26 2023-02-17 东丽株式会社 Photosensitive resin composition and method for producing semiconductor element
CN110095941A (en) * 2011-12-26 2019-08-06 东丽株式会社 The manufacturing method of photosensitive polymer combination and semiconductor element
CN104797978B (en) * 2012-11-22 2019-03-15 Az电子材料(卢森堡)有限公司 Positive type photo-sensitive siloxane composition
CN104797978A (en) * 2012-11-22 2015-07-22 Az电子材料(卢森堡)有限公司 Positive-acting photosensitive siloxane composition
JPWO2015170524A1 (en) * 2014-05-09 2017-04-20 住友ベークライト株式会社 Photosensitive resin composition, cured film, protective film, insulating film, and electronic device
CN106462061A (en) * 2014-05-09 2017-02-22 住友电木株式会社 Photosensitive resin composition, cured film, protective film, insulating film, and electronic device
WO2015170524A1 (en) * 2014-05-09 2015-11-12 住友ベークライト株式会社 Photosensitive resin composition, cured film, protective film, insulating film, and electronic device
CN106462061B (en) * 2014-05-09 2019-11-26 住友电木株式会社 Photosensitive polymer combination, cured film, protective film, insulating film and electronic device
EP3203320A4 (en) * 2014-09-30 2018-06-20 Toray Industries, Inc. Photosensitive resin composition, cured film, element provided with cured film, and method for manufacturing semiconductor device
US10409163B2 (en) 2014-09-30 2019-09-10 Toray Industries, Inc. Photosensitive resin composition, cured film, element provided with cured film, and method for manufacturing semiconductor device
WO2022059506A1 (en) * 2020-09-16 2022-03-24 セントラル硝子株式会社 Silicon-containing monomer mixture, polysiloxane, resin composition, photosensitive resin composition, cured film, production method for cured film, patterned cured film, and production method for patterned cured film

Also Published As

Publication number Publication date
JP4655914B2 (en) 2011-03-23

Similar Documents

Publication Publication Date Title
JP4655914B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP4670693B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP4586655B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP4687315B2 (en) Photosensitive resin composition, cured film formed therefrom, and element having cured film
JP4784283B2 (en) Positive photosensitive siloxane composition, cured film formed therefrom, and device having cured film
US7374856B2 (en) Positive type photo-sensitive siloxane composition, cured film formed from the composition and device incorporating the cured film
JP4853228B2 (en) Photosensitive siloxane composition, cured film formed therefrom, element having cured film, and pattern forming method
JP4725160B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
WO2011078106A1 (en) Positive photosensitive resin composition, cured film formed from same, and element having cured film
JP5696665B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP2007193318A (en) Photosensitive siloxane composition, cured film formed of the same and element having cured film
JPWO2009028360A1 (en) Photosensitive composition, cured film formed therefrom, and device having cured film
JP6318634B2 (en) Photosensitive siloxane composition, cured film and device
JP2013114238A (en) Positive photosensitive composition, cured film formed of the positive photosensitive composition and element having the cured film
JP5659561B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP4910646B2 (en) Photosensitive siloxane composition and method for producing the same, cured film formed from photosensitive siloxane composition, and element having cured film
JP2012053381A (en) Positive photosensitive composition, cured film formed therefrom and element having cured film
JP2009169343A (en) Photosensitive composition, cured film formed thereof, and element having cured film
JP5444704B2 (en) Photosensitive composition, cured film formed therefrom, and device having cured film
JP2007226214A (en) Photosensitive siloxane composition, cured film formed of the same and element having cured film
JP5343649B2 (en) Photosensitive composition, cured film formed therefrom, and device having cured film
JP2010032977A (en) Positive photosensitive composition, cured film formed of the same, and device with cured film
JP5169027B2 (en) Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
JP5233526B2 (en) Photosensitive composition, cured film formed therefrom, and device having cured film
JP5540632B2 (en) Photosensitive composition, cured film formed therefrom, and device having cured film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101130

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101213

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R151 Written notification of patent or utility model registration

Ref document number: 4655914

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3