JP2007026178A - Data generation apparatus and method - Google Patents

Data generation apparatus and method Download PDF

Info

Publication number
JP2007026178A
JP2007026178A JP2005208622A JP2005208622A JP2007026178A JP 2007026178 A JP2007026178 A JP 2007026178A JP 2005208622 A JP2005208622 A JP 2005208622A JP 2005208622 A JP2005208622 A JP 2005208622A JP 2007026178 A JP2007026178 A JP 2007026178A
Authority
JP
Japan
Prior art keywords
information
floor plan
naming
placement
conversion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005208622A
Other languages
Japanese (ja)
Inventor
Koji Aoki
恒治 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2005208622A priority Critical patent/JP2007026178A/en
Publication of JP2007026178A publication Critical patent/JP2007026178A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a data generation apparatus and method capable of keeping secrecy of data while a physical designer maintains timing convergence in a layout stage by applying naming conversion to a netlist, floor plan information and layout wiring information. <P>SOLUTION: A library provision method is provided with a naming conversion means for applying naming conversion to the netlist, a floor plan, and the layout wiring information, and a inverse naming conversion means for inversely converting verification data generated in the netlist after the naming conversion. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、LSI開発用設計データであるネットリスト、フロアプラン、及び配置配線情報のデータ生成装置、及び方法に関する。   The present invention relates to a data generation apparatus and method for a net list, floor plan, and placement and routing information, which are design data for LSI development.

従来、LSIを設計する場合、論理回路設計者は半導体製造メーカからライブラリデータを入手し、HDL(Hardware Description Language)等のRTL(Register Transfer Level)記述をして、論理合成手段等によりネットリストを作成していた。作成したネットリストは半導体製造メーカにLSI設計データとして提出され、後工程である物理設計を行っていた。   Conventionally, when designing an LSI, a logic circuit designer obtains library data from a semiconductor manufacturer, describes an RTL (Register Transfer Level) such as HDL (Hardware Description Language), and then creates a netlist using a logic synthesis means. I was making it. The created netlist was submitted to the semiconductor manufacturer as LSI design data for physical design as a subsequent process.

このようにネットリストを半導体製造メーカとのインターフェースデータに利用するデザインフローでは、論理回路設計者は論理合成の段階でワイヤーロードモデルを用いたタイミング検証を行う。ワイヤーロードモデルは、仮想的な遅延情報を算出するためのテーブルとして構成され、ダイサイズ、ファンアウト数、ゲート規模等がパラメータとして定義されている。   In the design flow in which the netlist is used as interface data with the semiconductor manufacturer in this way, the logic circuit designer performs timing verification using the wire load model at the logic synthesis stage. The wire load model is configured as a table for calculating virtual delay information, and the die size, the number of fan-outs, the gate scale, etc. are defined as parameters.

しかし、近年のように半導体プロセスがディープサブミクロン時代になると、開発されるLSIに搭載される回路規模は半導体プロセスの進化に伴い飛躍的に増大し、タイミング検証に使用される遅延時間は論理セル単体よりも実配置配線時の配線長による影響の方が支配的となってきている。そのため、仮配線長のワイヤーロードモデルによる遅延時間と、実配置配線時の遅延時間との誤差も拡大する傾向にある。   However, when the semiconductor process is in the deep submicron era as in recent years, the circuit scale mounted on the LSI to be developed increases with the evolution of the semiconductor process, and the delay time used for timing verification is a logic cell. The influence of the wiring length at the time of actual placement and routing is more dominant than the single unit. For this reason, the error between the delay time due to the wire load model of the temporary wiring length and the delay time during actual placement and wiring tends to increase.

従来のデザインフローでは、論理回路設計者が仮配線長のワイヤーロードモデルを用いて仮のタイミングを見積もり、最終的なタイミング収束を物理設計者がレイアウト段階で行っていた。レイアウト段階では各機能ブロックの配置や、各機能ブロック間の配線長を調整することにより、より最適な配置配線の情報を決定しタイミングを改善していた。従って、物理設計者がレイアウトの段階で効率的にタイミング収束が出来るように、論理回路設計者は物理設計者に対してRTL設計段階のオリジナルのブロック名称、ネット名称、インスタンス名称を保持した状態でネットリストやフロアプランを提出しなければならなかった。   In the conventional design flow, a logic circuit designer estimates a temporary timing using a wire load model with a temporary wiring length, and a physical designer performs final timing convergence at the layout stage. In the layout stage, by adjusting the arrangement of each functional block and the wiring length between the functional blocks, more optimal arrangement wiring information is determined and the timing is improved. Therefore, in order for the physical designer to efficiently converge the timing at the layout stage, the logical circuit designer keeps the original block name, net name, and instance name at the RTL design stage for the physical designer. I had to submit a netlist and floor plan.

又、従来例としては、例えば特許文献1をあげることが出来る。
特開平7-152801号公報
As a conventional example, for example, Patent Document 1 can be cited.
Japanese Patent Laid-Open No. 7-12801

しかしながら、従来の方法では、論理回路設計者は物理設計者に対してRTL設計段階のオリジナルのブロック名称、ネット名称、インスタンス名称を保持した状態でネットリストやフロアプランを提出するため、提出データが第三者に見られてしまった場合には階層構造が容易に解析されてしまい、論理構造を理解されてしまう危険性があった。   However, in the conventional method, the logic circuit designer submits the netlist and floor plan to the physical designer while retaining the original block name, net name, and instance name in the RTL design stage. When viewed by a third party, the hierarchical structure is easily analyzed, and there is a risk that the logical structure is understood.

そこで上記危険性を回避する目的で、従来はネットリストの特定機能ブロックの階層を展開してフラット化し論理構造を分かり難くする方法や、ブロック名称、ネット名称、インスタンス名称をネーミング変換して論理構造の解析を難しくする方法が採られていた。しかしながら、前者の場合、ネットリストの階層を展開してフラット化しても、RTL設計段階のオリジナルのブロック名称、ネット名称、インスタンス名称としては残ってしまうため、論理構造を解析することは比較的容易であった。また、後者の場合、ブロック名称、ネット名称、インスタンス名称をネーミング変換するため、ネットリストの機密性は保持されるが、開発TAT(Turn Around Time)が増大してしまうといった問題が残っていた。   Therefore, in order to avoid the above danger, conventionally, the hierarchy of specific functional blocks in the netlist is expanded and flattened to make it difficult to understand the logical structure, and the block name, net name, and instance name are converted to a logical structure. The method of making it difficult to analyze was taken. However, in the former case, even if the netlist hierarchy is expanded and flattened, the original block name, net name, and instance name remain in the RTL design stage, so it is relatively easy to analyze the logical structure. Met. In the latter case, the block name, net name, and instance name are renamed, so that the confidentiality of the netlist is maintained, but there remains a problem that the development TAT (Turn Around Time) increases.

つまり、従来のデザインフローでは物理設計者がレイアウトの段階で効率的に配置配線を行いタイミング収束するため、タイミング収束に問題が発生した場合は、最初にネーミング変換後のネットリストで問題発生個所の特定を行い、次に問題発生個所に対応するネーミング変換前のネットリストの特定を行う。そのため、論理回路設計者、物理設計者の双方でレイアウト段階のタイミング収束の作業工数が増大し、TAT(Turn Around Time)が増大するためである。   In other words, in the conventional design flow, physical designers efficiently place and route at the layout stage to converge timing, so if there is a problem with timing convergence, the netlist after the naming conversion first shows the location of the problem occurrence. Next, the netlist before naming conversion corresponding to the problem occurrence part is specified. For this reason, both the logic circuit designer and the physical designer increase the man-hours for timing convergence at the layout stage and increase TAT (Turn Around Time).

このように、論理回路設計者がネットリスト、フロアプラン情報、及び配置配線情報の機密性保持することと、物理設計者がレイアウト段階で効率的にタイミングを収束させることを同時に対応することは難しかった。   In this way, it is difficult for the logic circuit designer to simultaneously maintain the confidentiality of the netlist, floor plan information, and placement and routing information, and to allow the physical designer to converge the timing efficiently at the layout stage. It was.

本発明では、上記従来の問題点を解決するためのもので、ネットリスト、フロアプラン情報、配置配線情報をネーミング変換することによって、物理設計者がレイアウト段階でのタイミング収束性を保ちつつ、前記データの機密性を保持することが可能となるデータ生成装置、及び方法を提供する。   In the present invention, in order to solve the above-described conventional problems, the physical designer maintains the timing convergence in the layout stage by performing the naming conversion of the net list, the floor plan information, and the placement and routing information, while Provided are a data generation device and a method capable of maintaining confidentiality of data.

この目的に対して、本請求項1に記載するライブラリ提供方法、及び方法は、ネットリスト、フロアプラン、配置配線情報をネーミング変換するネーミング変換手段と、前記ネーミング変換後のネットリストに生成される検証データを逆変換するための逆ネーミング変換手段を有する。   For this purpose, the library providing method and method according to claim 1 are generated in a netlist, a floor plan, naming conversion means for converting the layout and wiring information, and a netlist after the naming conversion. Inverse naming conversion means for inversely converting the verification data is provided.

この目的に対して、本請求項2に記載するライブラリ提供方法、及び方法は、前記ネーミング変換後のネットリスト、前記ネーミング変換後のフロアプラン、前記ネーミング変換後の配置配線情報を物理設計側への提供データとする工程を有する。   To this end, the library providing method and method according to claim 2 are configured to transfer the net list after the naming conversion, the floor plan after the naming conversion, and the placement and routing information after the naming conversion to the physical design side. And providing data.

この目的に対して、本請求項3に記載するライブラリ提供方法、及び方法は、前記ネーミング変換前と、前記ネーミング変換後の二つのネットリスト、及びフロアプランを同時に表示する工程を有する。   For this purpose, the library providing method and method according to claim 3 include a step of simultaneously displaying the two netlists and the floor plan before the naming conversion and after the naming conversion.

以上により、論理回路設計側であらかじめ配置配線性、タイミング収束を検証したLSI設計データをネーミング変換してLSI設計データを提供することで、配置配線性、タイミング収束性を犠牲にせず、機密性を保持することが可能なデータ生成装置、及び方法を提供する。   As described above, by providing the LSI design data by converting the LSI design data that has been verified in advance for placement and routing and timing convergence on the logic circuit design side, it is possible to maintain confidentiality without sacrificing placement and routing and timing convergence. Provided are a data generation apparatus and method that can be retained.

以上のように、ネットリスト、フロアプラン情報、及び配置配線情報をネーミング変換させることによって、論理回路設計者が付けたオリジナルのブロック名称、ネット名称、インスタンス名称をネーミング変換して物理設計者に提供出来るので、機密性を保持したデータ提供が可能となる。また、論理回路設計者があらかじめタイミング収束性を確認してネーミング変換前のネットリスト、フロアプラン、及び配置配線情報を生成しているため、物理設計者はネーミング変換後のネットリスト、フロアプラン、及び配置配線情報を利用することで、タイミング収束での工数も抑えることが可能となる。   As described above, the original block name, net name, and instance name given by the logic circuit designer are converted to the physical designer by naming conversion of the netlist, floor plan information, and placement and routing information. As a result, data with confidentiality can be provided. In addition, since the logic circuit designer confirms the timing convergence beforehand and generates the netlist, floor plan, and placement and routing information before the naming conversion, the physical designer can create the netlist, floor plan, In addition, by using the placement and routing information, it is possible to reduce the man-hour for timing convergence.

また、物理設計者がタイミング検証目的で生成するレイアウト後の配置配線情報から生成される遅延情報は、ネーミング変換の逆変換を行う逆ネーミング変換手段をネーミング変換時に同時に生成し処理を行うことで容易に読み込みが可能となり、検証作業を進めることが可能となる。   In addition, delay information generated from post-layout placement and routing information generated by a physical designer for timing verification purposes can be easily generated by simultaneously generating and processing an inverse naming conversion means for performing inverse conversion of naming conversion at the time of naming conversion. Can be read, and verification work can proceed.

更に、ネットリスト、フロアプラン、遅延情報等をネーミング変換前のデータと、ネーミング変換後のデータを同時に表示させることによって、論理回路設計者がネーミング変換によるネットリスト、フロアプラン、仮配置配線情報を簡単に把握することが可能となる。   In addition, by displaying the netlist, floor plan, delay information, etc. before the naming conversion and the data after the naming conversion at the same time, the logic circuit designer can obtain the netlist, floorplan, and temporary placement and routing information by the naming conversion. It becomes possible to grasp easily.

以下、本発明の実施の形態について、図を用いて説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

図1は、本発明の実施の形態におけるデザインフローである。   FIG. 1 is a design flow in the embodiment of the present invention.

論理回路設計者が論理合成手段等を用いてRTL(Register Transfer Level)記述から生成したオリジナルネットリスト(105)と、フロアプランイメージ情報(106)をフロアプラン生成手段(101)に入力する。   The logic circuit designer inputs the original net list (105) generated from the RTL (Register Transfer Level) description and the floor plan image information (106) to the floor plan generation means (101) using the logic synthesis means.

フロアプランイメージ情報(106)は、図9に示すように、LSIのチップレベルフロアプラン生成に必要なデータからなる。例えば、入力されたデータが、チップ内の各機能ブロックでどのようにしてデータが処理されているかを示す“データフロー情報“、機能ブロックと機能ブロックの接続情報である”機能ブロック間接続情報“、機能ブロックと内蔵RAMとの接続情報である”内蔵RAM接続情報“、機能ブロックとI/O Pad への接続情報である”I/O接続情報“、各機能ブロックの規模を表す”ゲート規模情報“、各機能ブロックで使用されるクロックの情報である”クロックドメイン情報“等によって構成される。   As shown in FIG. 9, the floor plan image information (106) includes data necessary for generating a chip level floor plan of the LSI. For example, “data flow information” indicating how the input data is processed in each function block in the chip, “function block connection information” indicating connection information between function blocks and function blocks. , “Built-in RAM connection information”, which is connection information between the function block and the built-in RAM, “I / O connection information”, which is connection information between the function block and the I / O Pad, and a gate scale that represents the scale of each functional block Information “,” “clock domain information” that is information on the clock used in each functional block, and the like.

フロアプラン生成手段(101)では、オリジナルネットリスト(105)と、フロアプランイメージ情報(106)の各種情報から各機能ブロックを配置し、処理データが入力端子から出力端子までデータフローを満足するような第一のフロアプラン(107)を作成する。   The floor plan generation means (101) arranges each functional block from various information of the original net list (105) and the floor plan image information (106) so that the processing data satisfies the data flow from the input terminal to the output terminal. A first floor plan (107) is created.

第一のフロアプラン(107)では、各機能ブロック間や内蔵RAM等の接続情報からフロアプランを作成しているが、実際に配置配線した場合の問題は確認されていないものである。   In the first floor plan (107), the floor plan is created from the connection information between the functional blocks and the built-in RAM. However, no problem has been confirmed in the actual placement and wiring.

そこで、オリジナルネットリスト(105)、及び第一のフロアプラン(107)を仮配置配線手段(102)に入力し、第一のフロアプランに配置配線した場合の配線混雑性や、タイミング収束に問題がないかを確認する。ここで、仮配置配線手段(102)は、最終的に配置配線を行う詳細配置配線手段(104)とは異なり、致命的な問題を短時間に抽出するため、抽象度は高い配置配線手段となる。   Therefore, when the original netlist (105) and the first floor plan (107) are input to the temporary placement and routing means (102) and placed and routed on the first floor plan, there is a problem in wiring congestion and timing convergence. Check if there is any. Here, unlike the detailed placement and routing means (104) that finally performs placement and routing, the temporary placement and routing means (102) extracts a fatal problem in a short time. Become.

仮配置配線手段(102)を用いた仮配置配線の段階で、配線混雑性やタイミング収束に問題が発見されれば、図示されるようにフロアプラン生成手段(101)に戻り、問題を回避、収束させるため制約条件を盛り込み再度フロアプランを作成する。   If a problem is found in wiring congestion and timing convergence at the stage of temporary placement and routing using the temporary placement and routing means (102), the process returns to the floor plan generation means (101) as shown in FIG. In order to make it converge, a constraint plan is included and a floor plan is created again.

仮配置配線手段(102)からは、第二のフロアプラン情報(108)、及び仮配置配線情報(109)が生成される。生成された第二のフロアプラン情報(108)は、第一のフロアプラン情報(107)に加え、仮配置配線を行った段階で追加した各機能ブロック間の接続情報や、RAMの配置情報の制約情報等も含まれる。また、仮配置配線情報(109)には、第一のフロアプラン(107)の階層情報を元にした各機能ブロックの物理的な仮配置配線情報が生成される。   From the temporary placement and routing means (102), second floor plan information (108) and temporary placement and routing information (109) are generated. The generated second floor plan information (108) includes, in addition to the first floor plan information (107), connection information between functional blocks added at the stage of provisional placement and routing, and RAM placement information. Constraint information and the like are also included. Further, in the temporary placement and routing information (109), physical temporary placement and routing information of each functional block based on the hierarchical information of the first floor plan (107) is generated.

ネーミング変換手段(103)には、オリジナルネットリスト(105)、第二のフロアプラン情報(108)と、仮配置配線情報(109)が入力され、ブロック名称、ネット名称、インスタンス名称等のネーミング変換が行われる。   The naming conversion means (103) receives the original net list (105), the second floor plan information (108), and the temporary placement and routing information (109), and converts the block name, net name, instance name, etc. Is done.

ネーミング変換手段(103)からは、オリジナルネットリスト(105)をネーミング変換したネーミング変換後ネットリスト(110)、仮配置配線情報(109)をネーミング変換した結果であるネーミング変換後仮配置配線情報(111)、第二のフロアプラン情報(108)をネーミング変換した結果であるネーミング変換後フロアプラン情報(112)、ネーミング変換の処理内容を出力したネーミング変換情報(113)、及び詳細配置配線を行う物理設計側で生成される遅延情報等を元のネーミングに逆変換する逆ネーミング変換手段(114)が生成される。   From the naming conversion means (103), the post-naming converted netlist (110) obtained by converting the original netlist (105) and the post-naming-converted temporary placement and routing information (109) as a result of the naming conversion of the temporary placement and routing information (109). 111), post-naming-converted floor plan information (112) that is a result of naming conversion of the second floor plan information (108), naming conversion information (113) that outputs the processing contents of the naming conversion, and detailed placement and routing are performed. An inverse naming conversion means (114) for inversely converting delay information generated on the physical design side into the original naming is generated.

ネーミング変換情報(113)は、図10で示されるように、変換前の名称と変換後の名称の形式で情報が出力される。例えば、ネーミング変換前のネットリストでは、“Screen Sub-1”、“Screen Sub-2”で定義された機能ブロック名は、“S1”、“S2”、“Filter Sub-1”、“Filter Sub-2”で定義された機能ブロック名は、“F1”、“F2”のように一対一の対応が取られる。   As shown in FIG. 10, the naming conversion information (113) is output in the format of the name before conversion and the name after conversion. For example, in the netlist before naming conversion, the function block names defined in “Screen Sub-1” and “Screen Sub-2” are “S1”, “S2”, “Filter Sub-1”, “Filter Sub The function block names defined by “-2” have a one-to-one correspondence such as “F1” and “F2”.

また、ネーミング変換手段(103)で生成された逆ネーミング変換手段(114)は、ネーミング変換手段(103)の逆変換処理であるためネーミング変換情報(113)を利用して簡単に変換処理することが出来る。   Further, since the inverse naming conversion means (114) generated by the naming conversion means (103) is an inverse conversion process of the naming conversion means (103), it can be easily converted using the naming conversion information (113). I can do it.

ここで、論理回路設計では、ネーミング変換手段で生成された前記情報の内、次の3点を物理設計者に提供する。一つ目は、ネーミング変換後ネットリスト(110)、二つ目は、ネーミング変換後仮配置配線情報(111)、三つ目は、ネーミング変換後フロアプラン情報(112)である。   Here, in the logic circuit design, the following three points are provided to the physical designer among the information generated by the naming conversion means. The first is a netlist after naming conversion (110), the second is provisional placement and wiring information after naming conversion (111), and the third is floorplan information after naming conversion (112).

論理回路設計では、詳細配置配線手段(104)に、ネーミング変換後ネットリスト(110)、ネーミング変換後仮配置配線情報(111)、ネーミング変換後フロアプラン情報(112)を入力して詳細配置配線を行い、詳細配置配線情報(115)と遅延情報(116)を生成する。   In the logic circuit design, the detailed placement and routing means (104) is inputted with the netlist after naming conversion (110), the provisional placement and routing information after naming conversion (111), and the floorplan information after naming conversion (112) and detailed placement and routing. To generate detailed placement and routing information (115) and delay information (116).

一方、図2は、従来のデザインフロー(1)を示したものである。   On the other hand, FIG. 2 shows a conventional design flow (1).

従来、論理回路設計者は論理合成手段等を用いてRTL(Register Transfer Level)記述から生成したオリジナルネットリスト(205)と、フロアプランイメージ情報(206)を作成し情報を物理設計者に提供していた。ここで使用するフロアプランイメージ情報(206)は、図9で説明したものである。   Conventionally, a logic circuit designer creates an original netlist (205) generated from an RTL (Register Transfer Level) description and floor plan image information (206) by using a logic synthesis means and provides the information to the physical designer. It was. The floor plan image information (206) used here is the one described with reference to FIG.

物理設計者は、オリジナルネットリスト(205)と、フロアプランイメージ情報(206)をフロアプラン生成手段(201)に入力し、フロアプランイメージ情報(206)の各種情報から各機能ブロックを配置し、データフローを満足するような第一のフロアプラン(207)を作成していた。   The physical designer inputs the original net list (205) and the floor plan image information (206) to the floor plan generation means (201), arranges each functional block from various information of the floor plan image information (206), The first floor plan (207) that satisfies the data flow was created.

第一のフロアプラン(207)では、各機能ブロック間や内蔵RAM等の接続情報からフロアプランを作成しているが、実際の配置配線した場合の問題は判断されていないものである。   In the first floor plan (207), the floor plan is created from the connection information between the functional blocks and the built-in RAM, but the problem in the actual placement and wiring is not determined.

そこで、第一のフロアプラン(207)を仮配置配線手段(202)に入力し、第一のフロアプランに配置配線した場合の配線混雑性や、タイミング収束に問題がないかを確認していた。   Therefore, the first floor plan (207) was input to the temporary placement and routing means (202), and it was confirmed that there was no problem in wiring congestion and timing convergence when placing and wiring in the first floor plan. .

仮配置配線手段(202)を用いた仮配置配線の段階で、配線混雑性やタイミング収束に問題が発見されれば、図示されるようにフロアプラン生成手段(201)に戻り、問題を回避、収束させるための制約条件を盛り込み再度フロアプランを作成する。   If a problem is found in wiring congestion and timing convergence at the stage of temporary placement and routing using the temporary placement and routing means (202), the process returns to the floor plan generation means (201) as shown in FIG. Incorporate constraints for convergence and create a floor plan again.

仮配置配線手段(202)からは、第二のフロアプラン情報(208)、及び配置配線情報(209)が生成される。生成された第二のフロアプラン情報(208)は、第一のフロアプラン情報(207)に加え、仮配置配線を行った段階で追加した各機能ブロック間の接続情報や、RAMの配置情報の制約情報等も含まれる。また、仮配置配線情報(209)には、第一のフロアプラン(207)の階層情報を元にした各機能ブロックの物理的な配置配線情報が生成される。   From the temporary placement and routing means (202), second floor plan information (208) and placement and routing information (209) are generated. The generated second floor plan information (208) includes, in addition to the first floor plan information (207), connection information between functional blocks added at the stage of provisional placement and routing, and RAM placement information. Constraint information and the like are also included. In addition, in the temporary placement and routing information (209), physical placement and routing information of each functional block based on the hierarchical information of the first floor plan (207) is generated.

詳細配置配線手段(204)に、オリジナルネットリスト(205)、第二のフロアプラン情報(208)、仮配置配線情報(209)を入力して詳細配置配線を行い、詳細配置配線情報(215)と遅延情報(216)を生成する。   The detailed placement and routing information (215) is entered by inputting the original netlist (205), the second floor plan information (208), and the temporary placement and routing information (209) to the detailed placement and routing means (204). And delay information (216).

ところが、図2の従来のデザインフロー(1)では、RTL設計、論理検証を行ってネットリストを生成する論理回路設計と、ネットリストを入手して実配置配線までの物理設計で作業を切り分けている。   However, in the conventional design flow (1) in FIG. 2, the work is divided into RTL design and logic circuit design for generating a netlist by performing logic verification, and physical design from acquiring the netlist to actual placement and routing. Yes.

そのため、フロアプランイメージ情報(206)は、論理回路設計と物理設計間でやりとりされるため、双方の認識のずれや情報の過不足といった問題が発生すると情報を収束させるまでのTAT(Turn Around Time)が増大してしまう。   Therefore, the floor plan image information (206) is exchanged between the logical circuit design and the physical design. Therefore, if a problem such as misrecognition of both sides or excess or deficiency of information occurs, TAT (Turn Around Time until the information is converged) ) Will increase.

一方、設計データの機密性保持の観点では、レイアウトでタイミング収束させるデザインフローであるため、RTL設計段階での名称が使用されているため回路構造を読み取られる危険性があった。   On the other hand, from the standpoint of maintaining the confidentiality of design data, the design flow causes timing to converge in the layout, so there is a risk that the circuit structure can be read because the name at the RTL design stage is used.

更に、上記危険性を最小限にするために論理回路設計から物理設計側へは必要最低限のみの情報を提出していた。その結果、生成されたフロアプランは十分に最適化されていないといった問題もあった。   Furthermore, in order to minimize the risk, only the minimum necessary information is submitted from the logic circuit design to the physical design side. As a result, there is a problem that the generated floor plan is not sufficiently optimized.

これらの問題を回避するため、図3の従来のデザインフロー(2)のような論理回路設計側で仮配置配線処理までを実行し、配置配線した場合の配線混雑性や、タイミング収束までを確認する手法が採用されている。   In order to avoid these problems, the logic circuit design side as shown in the conventional design flow (2) in Fig. 3 is executed up to the temporary placement and routing process, and the congestion of the placement and routing and the timing convergence are confirmed. This technique is adopted.

図3では、論理回路設計者は論理合成手段等を用いてRTL(Register Transfer Level)記述から生成したオリジナルネットリスト(305)と、フロアプランイメージ情報(306)を作成し、フロアプラン生成手段(301)に入力する。   In FIG. 3, the logic circuit designer creates an original net list (305) and floor plan image information (306) generated from the RTL (Register Transfer Level) description using logic synthesis means or the like, and generates floor plan generation means ( 301).

ここで使用するフロアプランイメージ情報(306)は、図9で説明したものである。   The floor plan image information (306) used here is the one described with reference to FIG.

フロアプラン生成手段(301)では、オリジナルネットリスト(305)と、フロアプランイメージ情報(306)の各種情報から各機能ブロックを配置し、処理データが入力端子から出力端子までデータフローを満足するような第一のフロアプラン(307)を作成する。   The floor plan generation means (301) arranges each functional block from various information of the original net list (305) and the floor plan image information (306) so that the processing data satisfies the data flow from the input terminal to the output terminal. A first floor plan (307) is created.

第一のフロアプラン(307)では、各機能ブロック間や内蔵RAM等の接続情報からフロアプランを作成しているが、実際の配置配線した場合の問題の有無は判断されていないものである。   In the first floor plan (307), a floor plan is created from connection information between functional blocks, built-in RAM, etc., but it is not determined whether or not there is a problem in actual placement and wiring.

そこで、第一のフロアプラン(307)を仮配置配線手段(302)に入力し、第一のフロアプランに配置配線した場合の配線混雑性や、タイミング収束に問題がないかを確認する。   Therefore, the first floor plan (307) is input to the temporary placement and routing means (302), and it is confirmed whether there is any problem in wiring congestion and timing convergence when the first floor plan is placed and routed on the first floor plan.

例えば、仮配置配線手段(302)を用いた仮配置配線の段階で、配線混雑性やタイミング収束に問題が発見されれば、図示されるようにフロアプラン生成手段(301)に戻り、問題を回避、収束させるための制約条件を盛り込み再度フロアプランを作成する。   For example, if a problem is found in the wiring congestion or timing convergence at the stage of temporary placement and routing using the temporary placement and routing means (302), the problem is returned to the floor plan generation means (301) as shown in FIG. Create a floor plan again, including constraints to avoid and converge.

仮配置配線手段(302)からは、第二のフロアプラン情報(308)、及び配置配線情報(309)が生成される。生成された第二のフロアプラン情報(308)は、第一のフロアプラン情報(307)に加え、仮配置配線を行った段階で追加した各機能ブロック間の接続情報や、RAMの配置情報の制約情報等も含まれる。また、仮配置配線情報(309)には、第一のフロアプラン(307)の階層情報を元にした各機能ブロックを仮配置配線した物理的な情報が生成される。   From the temporary placement and routing means (302), second floor plan information (308) and placement and routing information (309) are generated. The generated second floor plan information (308) includes, in addition to the first floor plan information (307), connection information between functional blocks added at the stage of provisional placement and routing, and RAM placement information. Constraint information and the like are also included. In addition, in the temporary placement and routing information (309), physical information on the temporary placement and routing of each functional block based on the hierarchical information of the first floor plan (307) is generated.

論理回路設計側では、詳細配置配線手段(304)に、オリジナルネットリスト(305)、仮配置配線情報(309)、第二のフロアプラン情報(308)を入力して詳細配置配線を行い、詳細配置配線情報(315)と遅延情報(316)を生成する。   On the logic circuit design side, the original netlist (305), temporary placement and routing information (309), and second floor plan information (308) are input to the detailed placement and routing means (304) to perform detailed placement and routing. Place and route information (315) and delay information (316) are generated.

図3の従来のデザインフロー(2)では、図2の従来のデザインフロー(1)開発TATの問題は、論理回路設計側でフロアプラン作成及び仮配置配線を行い、詳細配置配線時に問題となる配線混雑性やタイミング収束を事前に確認出来る。   In the conventional design flow (2) of FIG. 3, the problem of the conventional design flow (1) development TAT of FIG. 2 becomes a problem at the time of detailed placement and routing by creating a floor plan and temporary placement and routing on the logic circuit design side. Wiring congestion and timing convergence can be confirmed in advance.

しかし、設計データの機密性保持の観点では、ネットリストやフロアプランデータに設計時のオリジナル名称が残った状態で物理設計に設計データが提供するため回路構造の機密性が保持されていない。   However, from the viewpoint of maintaining the confidentiality of the design data, the circuit structure is not confidential because the design data is provided to the physical design with the original name at the time of design remaining in the netlist or floor plan data.

従って、第三者がこの設計データであるネットリストと、フロアプランデータを入手した場合、フロアプラン情報から、LSI設計データを構成する各ブロックの構成、接続情報が読み取られ、ネットリストからは、各インスタンス名や、ネット名が読み取られてしまうことになる。   Therefore, when a third party obtains this design data netlist and floorplan data, the configuration and connection information of each block constituting the LSI design data is read from the floorplan information, and from the netlist, Each instance name and net name will be read.

そこで、図1の本発明のデザインフローとすることで、配線混雑性や、タイミング収束性の問題を考慮すると同時に設計データの機密性を保持する。   Therefore, by adopting the design flow of the present invention of FIG. 1, the confidentiality of design data is maintained while considering the problems of wiring congestion and timing convergence.

具体的には、図1のデザインフローのように、フロアプラン生成手段(101)で生成した第一のフロアプラン情報(107)を用いて仮配置配線手段(102)で仮配置配線することによって、LSIのチップ全体の配置配線性、及びタイミングの確認を行う。問題が無いことを確認した段階で、各機能ブロックの外端の入出力位置情報を固定した仮配置配線情報(109)を生成する。
ここで、図4のフロアプランの例を説明する。
Specifically, as shown in the design flow of FIG. 1, the temporary placement and routing means (102) performs temporary placement and routing using the first floor plan information (107) created by the floor plan creation means (101). Then, the layout and wiring performance and timing of the entire LSI chip are checked. When it is confirmed that there is no problem, temporary placement and routing information (109) in which the input / output position information of the outer end of each functional block is fixed is generated.
Here, an example of the floor plan of FIG. 4 will be described.

図4は、LSI設計データのチップ(401)の階層構造を表す。   FIG. 4 shows the hierarchical structure of the LSI design data chip (401).

Screen処理ブロック(402)には、サブ階層として“Screen-sub1”及び、“Screen-sub2”があり、Screen処理で使用する内蔵RAM(RAM1、RAM2)が含まれる。   The Screen processing block (402) has “Screen-sub1” and “Screen-sub2” as sub-layers, and includes built-in RAMs (RAM1, RAM2) used for Screen processing.

同様に、Filter処理ブロック(403)には、サブ階層として“Filter-sub1”、“Filter-sub2”及び、“Filter-sub3”があり、Filter処理で使用する内蔵RAM(RAM3、RAM4、RAM5)が含まれる。   Similarly, the Filter processing block (403) includes “Filter-sub1”, “Filter-sub2”, and “Filter-sub3” as sub-layers, and built-in RAM (RAM3, RAM4, RAM5) used for Filter processing. Is included.

更に、func1(404)は機能処理ブロック、func4(405)は機能処理ブロック、PLL(406)、Hard Macro(407)、RAM6(408)、RAM7(409)、RAM8(410)、RAM9(411)で構成されている。   Further, func1 (404) is a function processing block, func4 (405) is a function processing block, PLL (406), Hard Macro (407), RAM6 (408), RAM7 (409), RAM8 (410), RAM9 (411). It consists of

図5は、ネーミング変換前のブロック名称の一例を示したものである。   FIG. 5 shows an example of block names before naming conversion.

図5は、図4のScreen処理ブロック(402)をブロック表示したものであり、Screen(501)、Screen処理のサブ階層であるScreen-sub1(502)、Screen処理のサブ階層であるScreen-sub2(502)、Screen-sub1で使用するRAM1(504)、Screen-sub2で使用するRAM2(505)を表している。   FIG. 5 is a block display of the Screen processing block (402) of FIG. 4. Screen (501), Screen-sub1 (502) which is a sub-hierarchy of Screen processing, and Screen-sub2 which is a sub-hierarchy of Screen processing (502), RAM1 (504) used in Screen-sub1, and RAM2 (505) used in Screen-sub2.

一方、図6は、ネーミング変換後のブロック名称の一例を示したものである。   On the other hand, FIG. 6 shows an example of the block name after the naming conversion.

図6は、図4のScreen処理ブロック(402)をブロック表示したものであり、“S”(601)は、“S1”(602)、“S2”(603)、“S1-R”(604)、“S2-R”(605)の名称が付けられている。   FIG. 6 is a block display of the Screen processing block (402) of FIG. 4. “S” (601) is “S1” (602), “S2” (603), “S1-R” (604). ), “S2-R” (605).

図10は、図5及び図6の各機能ブロックの対応を表すネーミング変換情報(113)の一例である。   FIG. 10 is an example of naming conversion information (113) representing the correspondence between the functional blocks of FIGS.

ここでは、ネーミング変換手段(103)のネーミング変換処理によって、“Screen-sub1“は、”S1”、“Screen-sub2“は、”S2”、“Filter-sub1“は、”F1”、“Filter-sub2“は、”F2”に変換されていることを示している。   Here, “Screen-sub1” is “S1”, “Screen-sub2” is “S2”, “Filter-sub1” is “F1”, “Filter” by the naming conversion process of the naming conversion means (103). "-sub2" indicates that it has been converted to "F2".

更に、図8のScreen-sub1(802)の外端ではレイアウトでの配置配線情報を保持し、セル及びセル同士を接続する配線の位置情報を固定化して仮配置配線情報(109)を出力する。   Further, the layout / wiring information in the layout is held at the outer end of Screen-sub1 (802) in FIG. 8, and the positional information of the cells and the wiring connecting the cells is fixed and the temporary layout / wiring information (109) is output. .

物理設計側では、生成した第二のフロアプラン情報(108)、及び仮配置配線情報(109)を詳細配置配線手段(104)を使用することで、ネーミング変換後の名称で各機能ブロックの配置配線性、タイミング収束等が確認できる。同時に、各機能ブロックの名称は読み取り難くなるため設計データの解析を困難にして設計データの機密性が保持出来る。   On the physical design side, the generated second floor plan information (108) and provisional placement and routing information (109) are used by the detailed placement and routing means (104), so that each functional block is placed with the name after the naming conversion. Wiring property, timing convergence, etc. can be confirmed. At the same time, it becomes difficult to read the names of the functional blocks, so that it is difficult to analyze the design data, and the confidentiality of the design data can be maintained.

しかし、これは同時に物理設計によって生成される詳細配置配線情報や、遅延情報もネーミング変換によって同様に読み取りにくい名称となることを意味する。そこで、論理回路設計では物理設計側で生成した詳細配置配線情報や遅延情報の名称を逆ネーミング変換する逆ネーミング変換手段(107)をネーミング変換手段(103)によって生成する。   However, this means that detailed placement and routing information generated by physical design and delay information are similarly difficult to read by naming conversion. Therefore, in the logic circuit design, the naming conversion means (103) generates reverse naming conversion means (107) that performs reverse naming conversion on the names of detailed placement and routing information and delay information generated on the physical design side.

論理回路設計側では、物理設計で生成した情報に対して逆ネーミング変換手段(107)を適用し、ネーミング変換する前の元の名称に変換して解析を行う。   On the logic circuit design side, reverse naming conversion means (107) is applied to the information generated in the physical design, and the information is converted to the original name before the naming conversion and analyzed.

以上のように、物理設計側では配置配線性、タイミング収束の問題は論理回路設計者があらかじめ確認したネーミング変換後のネットリスト(110)、ネーミング変換後仮配置配線情報(111)、ネーミング変換後フロアプラン情報(112)を用いて、機能ブロックの外端の入出力位置、配置配線の物理設計のTAT増大を抑えることが出来る。更に設計データの機密性保持の観点でも物理設計側で使用される設計データは、各名称がネーミング変換手段(103)の後のデータであるため、各機能ブロックの名称から回路構造の解析をすることを困難にしている。   As described above, on the physical design side, the problems of placement and routing and timing convergence are the net conversion after naming conversion (110), the provisional placement and routing information after naming conversion (111), and the post-naming conversion that are confirmed in advance by the logic circuit designer. By using the floor plan information (112), it is possible to suppress the TAT increase in the input / output positions of the outer ends of the functional blocks and the physical design of the placement and routing. Further, from the viewpoint of maintaining the confidentiality of the design data, since the design data used on the physical design side is data after the naming conversion means (103), the circuit structure is analyzed from the name of each functional block. Making it difficult.

上記説明では、ブロック名称のみネーミング変換を実行した場合を説明しているが、各ネット、各インスタンスレベルでネーミング変換を行っても同様の効果が得られることは言うまでもない。   In the above description, the case where the naming conversion is executed only for the block name is described, but it goes without saying that the same effect can be obtained even if the naming conversion is performed at each net and each instance level.

また、ネーミング変換のデータ作成時間を制御するため、ネーミング変換するレベルをブロック名称、インスタンス名称、ネット名称で適応的に切り替えることも可能である。   In addition, in order to control the data creation time for naming conversion, it is possible to adaptively switch the level for naming conversion by block name, instance name, and net name.

更に本発明では、図7のようにネーミング変換前後のブロック名称を同時表示させる機能を持つ。   Further, the present invention has a function of simultaneously displaying block names before and after the naming conversion as shown in FIG.

図7は、グラフィカルユーザインタフェースでのブロック名称重複表示例である。   FIG. 7 is an example of a block name overlapping display in the graphical user interface.

701は、“Screen”と“S”、702は、“Screen-sub1”と“S1”、703は、“Screen-sub2”と“S2”、704は、“RAM1”と“S-R1”、705は、“RAM2”と“S-R2”を示している。   701 is “Screen” and “S”, 702 is “Screen-sub1” and “S1”, 703 is “Screen-sub2” and “S2”, 704 is “RAM1” and “S-R1”, Reference numeral 705 denotes “RAM2” and “S-R2”.

以上のように図5、及び図6の各ブロック名称をネーミング変換前と、ネーミング変換後の名称としてこの機能ブロックの同時表示機能で複数同時表示し、ネーミング変換後のブロック名称を括弧書きで表している。   As described above, a plurality of block names in FIGS. 5 and 6 are simultaneously displayed by the simultaneous display function of this functional block as names before and after naming conversion, and the block names after naming conversion are expressed in parentheses. ing.

このようにネットリスト、フロアプラン、遅延情報等をネーミング変換前のデータと、ネーミング変換後のデータを同時に表示させることによって、ネーミング変換したネットリスト、フロアプラン、仮配置配線情報を物理設計に提供した場合でも解析が容易となり設計データの機密性も保持出来る。   In this way, by displaying the netlist, floorplan, delay information, etc. before the naming conversion and the data after the naming conversion at the same time, the netlist, floorplan, and temporary placement and routing information after the naming conversion is provided to the physical design. Even in this case, analysis is easy and confidentiality of design data can be maintained.

本発明のデザインフロー。The design flow of the present invention. 従来のデザインフロー(1)。Conventional design flow (1). 従来のデザインフロー(2)。Conventional design flow (2). フロアプランの例。An example of a floor plan. ネーミング変換前のブロック名称の一例。An example of a block name before naming conversion. ネーミング変換後のブロック名称の一例。An example of the block name after naming conversion. ネーミング変換前後でのブロック名称の同時表示例。Example of simultaneous display of block names before and after naming conversion. ブロックの外端の固定例。An example of fixing the outer edge of a block. フロアプランイメージ情報。Floor plan image information. ネーミング変換情報の例。An example of naming conversion information.

符号の説明Explanation of symbols

101 フロアプラン生成手段
102 仮配置配線手段
103 ネーミング変換手段
104 詳細配置配線手段
105 オリジナルネットリスト
106 フロアプランイメージ情報
107 第一のフロアプラン情報
108 第二のフロアプラン情報
109 仮配置配線情報
110 ネーミング変換後ネットリスト
111 ネーミング変換後仮配置配線情報
112 ネーミング変換後フロアプラン情報
113 ネーミング変換情報
114 逆ネーミング変換手段
115 詳細配置配線情報
116 遅延情報
201 フロアプラン生成手段
202 仮配置配線手段
204 詳細配置配線手段
205 オリジナルネットリスト
206 フロアプラン情報
207 第一のフロアプラン情報
208 第二のフロアプラン情報
209 仮配置配線情報
215 詳細配置配線情報
216 遅延情報
301 フロアプラン生成手段
302 仮配置配線手段
304 詳細配置配線手段
305 オリジナルネットリスト
306 フロアプランイメージ情報
307 第一のフロアプラン情報
308 第二のフロアプラン情報
309 仮配置配線情報
315 詳細配置配線情報
316 遅延情報
401 チップレベルのフロアプラン
402 Screen処理ブロック
403 Filter処理ブロック
404 func1処理ブロック
405 func4処理ブロック
406 PLL
407 Hard Macro
408 RAM6
409 RAM7
410 RAM8
411 RAM9
501 Screen処理ブロック(ネーミング変換前データ)
502 Screen処理のサブ1ブロック(ネーミング変換前データ)
503 Screen処理のサブ2ブロック(ネーミング変換前データ)
504 Screen処理用RAM1ブロック(ネーミング変換前データ)
505 Screen処理用RAM2ブロック(ネーミング変換前データ)
601 Screen処理のブロック(ネーミング変換後データ)
602 Screen処理のサブ1ブロック(ネーミング変換後データ)
603 Screen処理のサブ2ブロック(ネーミング変換後データ)
604 Screen処理用RAM1ブロック(ネーミング変換後データ)
605 Screen処理用RAM2ブロック(ネーミング変換後データ)
701 Screen処理のブロック(ネーミング変換前、ネーミング変換後データ)
702 Screen処理のサブ1ブロック(ネーミング変換前、ネーミング変換後データ)
703 Screen処理のサブ2ブロック(ネーミング変換前、ネーミング変換後データ)
704 Screen処理用RAM1ブロック(ネーミング変換前、ネーミング変換後データ)
705 Screen処理用RAM2ブロック(ネーミング変換前、ネーミング変換後データ)
801 Screen処理のブロック
802 Screen処理のサブ1ブロック
803 Screen処理のサブ2ブロック
DESCRIPTION OF SYMBOLS 101 Floor plan production | generation means 102 Temporary placement and routing means 103 Naming conversion means 104 Detailed placement and routing means 105 Original net list 106 Floor plan image information 107 First floor plan information 108 Second floor plan information 109 Temporary placement and wiring information 110 Naming conversion Subsequent netlist 111 Temporary placement and routing information after naming conversion 112 Floor plan information after naming transformation 113 Naming conversion information 114 Reverse naming conversion means 115 Detailed placement and routing information 116 Delay information 201 Floor plan generation means 202 Temporary placement and routing means 204 Detailed placement and routing means 205 Original Net List 206 Floor Plan Information 207 First Floor Plan Information 208 Second Floor Plan Information 209 Temporary Place and Route Information 215 Detailed Place and Route Information 216 Delay information 301 Floor plan generation means 302 Temporary placement and routing means 304 Detailed placement and routing means 305 Original net list 306 Floor plan image information 307 First floor plan information 308 Second floor plan information 309 Temporary placement and routing information 315 Detailed placement Wiring information 316 Delay information 401 Chip level floor plan 402 Screen processing block 403 Filter processing block 404 func1 processing block 405 func4 processing block 406 PLL
407 Hard Macro
408 RAM6
409 RAM7
410 RAM8
411 RAM9
501 Screen processing block (data before naming conversion)
502 Sub-block of screen processing (data before naming conversion)
503 Sub-block of screen processing (data before naming conversion)
504 Screen processing RAM1 block (data before conversion)
505 Screen processing RAM2 block (data before naming conversion)
601 Screen processing block (data after naming conversion)
602 Sub-block of screen processing (data after naming conversion)
603 Sub-block of screen processing (data after naming conversion)
604 Screen processing RAM 1 block (data after naming conversion)
605 Screen processing RAM 2 blocks (data after naming conversion)
701 Screen processing block (data before and after naming conversion)
702 Sub-block of screen processing (data before naming conversion, data after naming conversion)
703 Sub-block of screen processing (data before naming conversion and after naming conversion)
704 Screen processing RAM 1 block (data before naming conversion, data after naming conversion)
705 Screen processing RAM 2 blocks (pre-naming conversion and post-naming conversion data)
801 Screen processing block 802 Screen processing sub 1 block 803 Screen processing sub 2 block

Claims (3)

ネットリスト、フロアプラン、配置配線情報をネーミング変換するネーミング変換手段と、
前記ネーミング変換後のネットリストに生成される検証データを逆変換するための逆ネーミング変換手段とで構成されることを特徴とするデータ生成装置。
Naming conversion means for converting the net list, floor plan, and placement and routing information;
A data generation apparatus comprising: an inverse naming conversion means for inversely converting verification data generated in the netlist after the naming conversion.
請求項1において、前記ネーミング変換後のネットリスト、前記ネーミング変換後のフロアプラン、前記ネーミング変換後の配置配線情報を物理設計側への提供データとすることを特徴とするデータ生成装置。   2. The data generation apparatus according to claim 1, wherein the netlist after the naming conversion, the floor plan after the naming conversion, and the placement and routing information after the naming conversion are provided to the physical design side. 請求項1、請求項2において、前記ネーミング変換前と、前記ネーミング変換後の二つのネットリスト、及びフロアプランを同時に表示することを特徴とするデータ生成装置。   3. The data generation apparatus according to claim 1, wherein two netlists and a floor plan before the naming conversion and after the naming conversion are displayed at the same time.
JP2005208622A 2005-07-19 2005-07-19 Data generation apparatus and method Withdrawn JP2007026178A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005208622A JP2007026178A (en) 2005-07-19 2005-07-19 Data generation apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005208622A JP2007026178A (en) 2005-07-19 2005-07-19 Data generation apparatus and method

Publications (1)

Publication Number Publication Date
JP2007026178A true JP2007026178A (en) 2007-02-01

Family

ID=37786828

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005208622A Withdrawn JP2007026178A (en) 2005-07-19 2005-07-19 Data generation apparatus and method

Country Status (1)

Country Link
JP (1) JP2007026178A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009518717A (en) * 2005-11-30 2009-05-07 フリースケール セミコンダクター インコーポレイテッド Method and program product for protecting information in EDA tool design view

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009518717A (en) * 2005-11-30 2009-05-07 フリースケール セミコンダクター インコーポレイテッド Method and program product for protecting information in EDA tool design view

Similar Documents

Publication Publication Date Title
US20220261526A1 (en) Partitioning in post-layout circuit simulation
Panth et al. Shrunk-2-D: A physical design methodology to build commercial-quality monolithic 3-D ICs
US6553338B1 (en) Timing optimization in presence of interconnect delays
US20100122227A1 (en) System and Technique of Pattern Matching and Pattern Replacement
US20050268268A1 (en) Methods and systems for structured ASIC electronic design automation
TW201101079A (en) Method and apparatus for generating a floorplan using a reduced netlist
US8893070B2 (en) Method for repeated block modification for chip routing
US8234612B2 (en) Cone-aware spare cell placement using hypergraph connectivity analysis
US9443050B2 (en) Low-voltage swing circuit modifications
US11238202B2 (en) Verifying glitches in reset path using formal verification and simulation
US20140359546A1 (en) Structured placement of hierarchical soft blocks during physical synthesis of an integrated circuit
Karimullah et al. Pin density technique for congestion estimation and reduction of optimized design during placement and routing
JP3005538B1 (en) LSI design system by model creation of functional block and LSI design method
Wu et al. Exploring feasibilities of symmetry islands and monotonic current paths in slicing trees for analog placement
US10346579B2 (en) Interactive routing of connections in circuit using auto welding and auto cloning
US20230118981A1 (en) General purpose functionality processor with a scalable architecture for neural networks
Pasricha et al. FABSYN: Floorplan-aware bus architecture synthesis
Liu et al. Synergistic topology generation and route synthesis for on-chip performance-critical signal groups
Ziesemer Jr et al. Physical design automation of transistor networks
Chupilko et al. Survey of Open-source Flows for Digital Hardware Design
JP2007026178A (en) Data generation apparatus and method
US11704467B2 (en) Automated balanced global clock tree synthesis in multi level physical hierarchy
US9293450B2 (en) Synthesis of complex cells
US10346578B2 (en) Placement-based congestion-aware logic restructuring
Chang et al. ECO optimization using metal-configurable gate-array spare cells

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20081007