JP2006270018A - Plasma processing system and method, and computer-readable storage medium - Google Patents

Plasma processing system and method, and computer-readable storage medium Download PDF

Info

Publication number
JP2006270018A
JP2006270018A JP2005181132A JP2005181132A JP2006270018A JP 2006270018 A JP2006270018 A JP 2006270018A JP 2005181132 A JP2005181132 A JP 2005181132A JP 2005181132 A JP2005181132 A JP 2005181132A JP 2006270018 A JP2006270018 A JP 2006270018A
Authority
JP
Japan
Prior art keywords
electrode
voltage
plasma processing
plasma
conductive member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005181132A
Other languages
Japanese (ja)
Other versions
JP2006270018A5 (en
JP5036143B2 (en
Inventor
Akira Koshiishi
公 輿石
Masaru Sugimoto
勝 杉本
Kunihiko Hiuga
邦彦 日向
Noriyuki Kobayashi
典之 小林
Chishio Koshimizu
地塩 輿水
Ryuji Otani
竜二 大谷
Kazuo Kibi
和雄 吉備
Masashi Saito
昌司 斉藤
Naoki Matsumoto
直樹 松本
Manabu Iwata
学 岩田
Daisuke Yano
大介 矢野
Yohei Yamazawa
陽平 山澤
Hidetoshi Hanaoka
秀敏 花岡
Toshiyasu Hayamizu
利泰 速水
Hiroki Yamazaki
広樹 山崎
Manabu Sato
学 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005181132A priority Critical patent/JP5036143B2/en
Publication of JP2006270018A publication Critical patent/JP2006270018A/en
Publication of JP2006270018A5 publication Critical patent/JP2006270018A5/ja
Application granted granted Critical
Publication of JP5036143B2 publication Critical patent/JP5036143B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma etching system in which etching can be carried out at a high selection ratio, while sustaining high plasma resistance of the organic mask layer, such as resist layer, eliminating sticking of deposits to electrode effectively, and the plasma density can be controlled. <P>SOLUTION: An upper electrode 34 and a lower electrode 16 for supporting a wafer are arranged facing each other in a chamber 10; the lower electrode 16 is connected with a first high-frequency power supply 89 for applying a first high-frequency power of relatively high frequency and a second high-frequency power supply 90 for applying a second high-frequency power of relatively low frequency, the upper electrode 34 is connected with a variable DC power supply 50; and plasma etching is carried out by supplying a processing gas into the chamber 10 and turning into plasma. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体基板等の被処理基板にプラズマ処理を施すプラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体に関する。   The present invention relates to a plasma processing apparatus and a plasma processing method for performing plasma processing on a substrate to be processed such as a semiconductor substrate, and a computer-readable storage medium.

例えば半導体デバイスの製造プロセスにおいては、被処理基板である半導体ウエハに形成された所定の層に所定のパターンを形成するために、レジストをマスクとしてプラズマによりエッチングするプラズマエッチング処理が多用されている。   For example, in a manufacturing process of a semiconductor device, in order to form a predetermined pattern on a predetermined layer formed on a semiconductor wafer that is a substrate to be processed, a plasma etching process is often used in which etching is performed with plasma using a resist as a mask.

このようなプラズマエッチングを行うためのプラズマエッチング装置としては、種々のものが用いられているが、その中でも容量結合型平行平板プラズマ処理装置が主流である。   Various plasma etching apparatuses for performing such plasma etching are used, and among them, a capacitively coupled parallel plate plasma processing apparatus is the mainstream.

容量結合型平行平板プラズマエッチング装置は、チャンバ内に一対の平行平板電極(上部および下部電極)を配置し、処理ガスをチャンバ内に導入するとともに、電極の一方に高周波を印加して電極間に高周波電界を形成し、この高周波電界により処理ガスのプラズマを形成して半導体ウエハの所定の層に対してプラズマエッチングを施す。   In the capacitively coupled parallel plate plasma etching apparatus, a pair of parallel plate electrodes (upper and lower electrodes) are arranged in a chamber, a processing gas is introduced into the chamber, and a high frequency is applied to one of the electrodes to provide a gap between the electrodes. A high frequency electric field is formed, plasma of a processing gas is formed by the high frequency electric field, and plasma etching is performed on a predetermined layer of the semiconductor wafer.

具体的には、上部電極にプラズマ形成用の高周波を印加してプラズマを形成し、下部電極にイオン引き込み用の高周波を印加することにより、適切なプラズマ状態を形成するプラズマエッチング装置が知られており、これにより、高選択比で再現性の高いエッチング処理が可能である(例えば特許文献1)。   Specifically, a plasma etching apparatus is known that forms a plasma by applying a high frequency for plasma formation to the upper electrode and applying a high frequency for ion attraction to the lower electrode. Thus, an etching process with a high selectivity and high reproducibility is possible (for example, Patent Document 1).

ところで、近年の微細加工の要求に対応して、マスクとして用いられるフォトレジストの膜厚が薄くなり、使用されるフォトレジストもKrFフォトレジスト(すなわち、KrFガスを発光源としたレーザー光で露光するフォトレジスト)から、約0.13μm以下のパターン開口を形成することができるArFフォトレジスト(すなわち、ArFガスを発光源とした、より短波長のレーザー光で露光するフォトレジスト)に移行されつつある。   By the way, in response to the recent demand for microfabrication, the thickness of the photoresist used as a mask is reduced, and the photoresist used is also exposed with KrF photoresist (that is, with a laser beam using KrF gas as a light source). Photoresists) are being transferred to ArF photoresists that can form pattern openings of about 0.13 μm or less (that is, photoresists that are exposed to shorter wavelength laser light using ArF gas as the light source). .

しかしながら、ArFフォトレジストは耐プラズマ性が低いため、KrFレジストではほとんど発生しなかったエッチング途中での表面の荒れが生じてしまうという問題がある。このため、開口部の内壁面に縦筋(ストライエーション)が入ったり、開口部が広がる(CDの広がり)等の問題が生じ、フォトレジストの膜厚が薄いことと相俟って、良好なエッチング選択比でエッチングホールを形成することができないという不都合が生じている。   However, since the ArF photoresist has low plasma resistance, there is a problem that the surface becomes rough during the etching, which is hardly generated in the KrF resist. For this reason, problems such as vertical streaks entering the inner wall surface of the opening or spreading of the opening (expansion of CD) occur, which is favorable in combination with the thin film thickness of the photoresist. There is a disadvantage that the etching holes cannot be formed with the etching selectivity.

一方、この種のエッチング装置では、上部電極に供給したプラズマ生成用の高周波電力のパワーが小さい場合には、エッチング終了後に上部電極に堆積物(デポ)が付着し、プロセス特性の変化やパーティクルの懸念がある。また、パワーが大きい場合には、電極の削れが生じ、パワーが小さい場合とはプロセス特性が変化する。高周波電源からのパワーはプロセスによって適正な範囲が決まるため、どのようなパワーでもプロセスが変動しないことが望まれる。さらに、エッチングの際にはチャンバ壁にデポが生じ、連続エッチングプロセスの場合等に、前の処理の影響が残存して次の処理に悪影響を与えるメモリー効果が生じるため、チャンバ壁への堆積物の付着の解消も求められる。   On the other hand, in this type of etching apparatus, when the high frequency power for plasma generation supplied to the upper electrode is small, deposits (depots) adhere to the upper electrode after the etching is completed, and process characteristics change and particle There are concerns. Also, when the power is high, the electrode is scraped, and the process characteristics change from when the power is low. Since the appropriate range of the power from the high-frequency power source is determined by the process, it is desirable that the process does not vary with any power. Furthermore, deposits occur on the chamber wall during etching, and in the case of a continuous etching process, the influence of the previous process remains and a memory effect that adversely affects the next process occurs. Elimination of adhesion is also required.

さらに、このような平行平板型容量結合型のエッチング装置では、チャンバ内の圧力が高くかつ使用するエッチングガスが負性ガス(例えば、C、Oなど)の場合に、チャンバ中心部のプラズマ密度が低くなるが、このような場合にプラズマ密度をコントロールすることは困難である。
特開2000−173993号公報
Further, in such a parallel plate type capacitively coupled etching apparatus, when the pressure in the chamber is high and the etching gas to be used is a negative gas (for example, C x F y , O 2, etc.), the central portion of the chamber In such a case, it is difficult to control the plasma density.
JP 2000-173993 A

本発明はかかる事情に鑑みてなされたものであって、レジスト層等の有機マスク層の耐プラズマ性を高く維持して高選択比でエッチングすることができ、または電極への堆積物の付着を有効に解消することができ、または高速なエッチングができ、または被処理基板に対して均一なエッチングを行うことができるプラズマ処理装置及びプラズマ処理方法を提供することを目的とする。   The present invention has been made in view of such circumstances, and can maintain high plasma resistance of an organic mask layer such as a resist layer and perform etching at a high selection ratio, or adhere deposits to electrodes. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method that can be effectively eliminated, can perform high-speed etching, or can perform uniform etching on a substrate to be processed.

上記課題を解決するため、本発明の第1の観点では、被処理基板が収容され、真空排気可能な処理容器と、処理容器内に対向して配置される第1電極および被処理基板を支持する第2電極と、前記第2電極に相対的に周波数の高い第1の高周波電力を印加する第1の高周波電力印加ユニットと、前記第2電極に相対的に周波数の低い第2の高周波電力を印加する第2の高周波電力印加ユニットと、前記第1電極に直流電圧を印加する直流電源と、前記処理容器内に処理ガスを供給する処理ガス供給ユニットとを具備することを特徴とするプラズマ処理装置を提供する。   In order to solve the above problems, according to a first aspect of the present invention, a substrate to be processed is accommodated, a processing container capable of being evacuated, and a first electrode and a substrate to be processed that are disposed to face each other in the processing container are supported A second high frequency power application unit that applies a first high frequency power having a relatively high frequency to the second electrode, and a second high frequency power having a relatively low frequency to the second electrode. And a processing gas supply unit for supplying a processing gas into the processing container. A processing device is provided.

この場合に、前記直流電源は、前記第1電極への印加電圧、印加電流および印加電力のいずれかが可変であるように構成することができる。また、前記直流電源から前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御する制御装置をさらに具備するように構成することができる。この場合に、前記制御装置は、前記直流電源から前記第1電極への直流電圧の印加可否を制御するように構成することができる。また、生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に基づいて前記制御装置が前記直流電源から前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御するように構成することができる。   In this case, the DC power supply can be configured such that any one of the applied voltage, applied current, and applied power to the first electrode is variable. Moreover, it can comprise so that the control apparatus which controls either the applied voltage from the said DC power supply to the said 1st electrode, an applied current, and an applied power may further be provided. In this case, the control device can be configured to control whether or not a DC voltage can be applied from the DC power source to the first electrode. In addition, a detector for detecting the state of the generated plasma is further provided, and based on the information of the detector, the control device can select any one of applied voltage, applied current, and applied power from the DC power supply to the first electrode. It can be configured to control.

上記第1の観点に係るプラズマ処理装置においては、典型的には前記第1電極は上部電極であり、前記第2電極は下部電極である。この場合に、前記第2電極に印加される第1の高周波電力の周波数は27MHz以上であることが好ましく、40MHz以上が一層好ましい。また、前記第2の電極に印加される第2の高周波電力の周波数は13.56MHz以下であることが好ましい。   In the plasma processing apparatus according to the first aspect, typically, the first electrode is an upper electrode and the second electrode is a lower electrode. In this case, the frequency of the first high-frequency power applied to the second electrode is preferably 27 MHz or more, and more preferably 40 MHz or more. Moreover, it is preferable that the frequency of the 2nd high frequency electric power applied to the said 2nd electrode is 13.56 MHz or less.

また、上記第1の観点のプラズマ処理装置において、前記直流電源は、−2000〜+1000Vの範囲の電圧を印加するものであることが好ましい。また、前記第1電極の前記第2電極との対向面は、シリコン含有物質で形成することができる。   In the plasma processing apparatus of the first aspect, the DC power supply preferably applies a voltage in the range of −2000 to + 1000V. In addition, the surface of the first electrode facing the second electrode can be formed of a silicon-containing material.

また、上記第1の観点のプラズマ処理装置において、前記第1電極は、接地電位に対して直流的にフローティング状態であることが好ましい。この場合に、前記第1電極をフローティング状態あるいは接地状態に可変可能な可変装置を有し、全体制御装置からの指令に基づいて、前記第1電極に直流電圧が印加されているとき前記可変装置は前記第1電極を接地電位に対してフローティング状態とし、前記第1電極に直流電圧が印加されていないとき前記可変装置は前記第1電極を接地電位に対してフローティング状態あるいは接地状態のいずれかとすることが好ましい。   In the plasma processing apparatus of the first aspect, it is preferable that the first electrode is in a DC floating state with respect to a ground potential. In this case, the variable device has a variable device capable of changing the first electrode to a floating state or a grounded state, and the DC voltage is applied to the first electrode based on a command from the overall control device. Sets the first electrode in a floating state with respect to a ground potential, and when no DC voltage is applied to the first electrode, the variable device determines whether the first electrode is in a floating state or a ground state with respect to the ground potential. It is preferable to do.

上記第1の観点のプラズマ処理装置において、前記第1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、常時接地されている導電性部材を前記処理容器内に設けることができる。この場合に、前記第1電極が上部電極であり、前記第2電極が下部電極であり、前記導電性部材は、前記第2電極の周囲に設置されるようにすることができる。また、前記第1電極の近傍に配置されるようにすることもできる。また、前記導電性部材は、前記第1電極の外側にリング状に配置することができる。また、前記接地された導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有している構成とすることができる。   In the plasma processing apparatus of the first aspect, in order to release a current based on a DC voltage from the DC power source applied to the first electrode through the plasma, a conductive member that is always grounded is provided in the processing container. Can be provided inside. In this case, the first electrode may be an upper electrode, the second electrode may be a lower electrode, and the conductive member may be installed around the second electrode. Further, it may be arranged in the vicinity of the first electrode. The conductive member may be disposed in a ring shape outside the first electrode. Further, the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing.

このような構成において、前記導電性部材の一部を覆う保護板を有し、前記保護板を前記導電性部材に対して相対移動させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。また、前記導電性部材はその一部がプラズマに露出される円柱形状であり、前記導電性部材を円柱の軸を中心に回転させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。また、前記導電性部材の一部を覆いかつプラズマによりエッチングされ得る材質を有する段差形状の保護膜を有し、前記保護膜がエッチングされることにより、前記導電性部材のプラズマに露出される部分が変化するようにしてもよい。   In such a configuration, a portion of the conductive member that is exposed to plasma by a driving mechanism that includes a protective plate that covers a part of the conductive member and moves the protective plate relative to the conductive member. May be changed. The conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member that is exposed to plasma is driven by a driving mechanism that rotates the conductive member about a cylinder axis. It may be changed. And a step-shaped protective film that covers a part of the conductive member and has a material that can be etched by plasma, and is exposed to plasma of the conductive member by etching the protective film. May be changed.

上記第1の観点に係るプラズマ処理装置において、前記第1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、全体制御装置からの指令に基づいて接地される導電性部材を前記処理容器内に設けることができる。この場合に、前記第1電極が上部電極であり、前記第2電極が下部電極であり、前記導電性部材は、前記第2電極の周囲に設置されるようにすることができる。また、前記第1電極の近傍に配置されるようにすることもできる。また、前記導電性部材は、前記第1電極の外側にリング状に配置することができる。また、前記接地された導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有している構成とすることができる。また、前記導電性部材は、プラズマエッチング時に接地されるようにすることができる。   In the plasma processing apparatus according to the first aspect, grounding is performed based on a command from the overall control apparatus in order to release a current based on a DC voltage from the DC power source applied to the first electrode through the plasma. A conductive member can be provided in the processing container. In this case, the first electrode may be an upper electrode, the second electrode may be a lower electrode, and the conductive member may be installed around the second electrode. Further, it may be arranged in the vicinity of the first electrode. The conductive member may be disposed in a ring shape outside the first electrode. Further, the grounded conductive member may have a recess for preventing adhesion of flying objects during plasma processing. The conductive member may be grounded during plasma etching.

前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされるものとすることができる。この場合に、前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることが好ましい。また、前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされるようにすることができる。このような構成において、前記導電性部材には負の直流電圧が印加可能となっていることが好ましい。そして、このように負の直流電圧が印加可能な構成において、前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に流入した直流電子電流を排出するために、接地された導電性補助部材を設けることが好ましい。この場合において、前記第1電極が上部電極であり、前記第2電極が下部電極であって、前記導電性部材は、前記第1の電極の近傍に配置され、前記導電性補助部材は、前記第2電極の周囲に設置される構成とすることができる。   A DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. can do. In this case, it is preferable that a DC voltage or an AC voltage is applied to the conductive member during cleaning. Further, a switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided, and when the conductive member is connected to the DC power source side by the switching mechanism, By applying a DC voltage or an AC voltage to the conductive member, the surface can be sputtered or etched. In such a configuration, it is preferable that a negative DC voltage can be applied to the conductive member. In such a configuration in which a negative DC voltage can be applied, the processing container is grounded to discharge a DC electron current that flows when a negative DC voltage is applied to the conductive member. It is preferable to provide a conductive auxiliary member. In this case, the first electrode is an upper electrode, the second electrode is a lower electrode, the conductive member is disposed in the vicinity of the first electrode, and the conductive auxiliary member is It can be set as the structure installed around the 2nd electrode.

上記第1の観点に係るプラズマ処理装置において、全体制御装置からの指令に基づいて、前記第1電極に供給された前記直流電源からの直流電流をプラズマを介して逃がすために接地される第1の状態、および前記直流電源から直流電圧が印加されてその表面がスパッタまたはエッチングされる第2の状態のいずれかをとる導電性部材を前記処理容器内に設け、前記直流電源の負極が前記印加電極に接続され、かつ前記導電性部材が接地ラインに接続される第1の接続と、前記直流電源の正極が前記第1電極に接続され、前記直流電源の負極が前記導電性部材に接続される第2の接続との間で切り替え可能であり、その切り替えにより、それぞれ前記第1の状態および前記第2の状態を形成可能な接続切替機構をさらに具備する構成とすることができる。この場合に、前記第1の状態はプラズマエッチング時に形成され、前記第2の状態は前記導電性部材のクリーニング時に形成されることが好ましい。   In the plasma processing apparatus according to the first aspect, the first grounded in order to release the DC current from the DC power source supplied to the first electrode via the plasma based on a command from the overall control apparatus. A conductive member that takes one of the following conditions: a DC voltage applied from the DC power supply and a second state in which the surface is sputtered or etched; and a negative electrode of the DC power supply is applied to the processing container A first connection connected to an electrode and the conductive member is connected to a ground line; a positive electrode of the DC power supply is connected to the first electrode; and a negative electrode of the DC power supply is connected to the conductive member. And a connection switching mechanism that can form the first state and the second state by the switching, respectively. Can. In this case, the first state is preferably formed during plasma etching, and the second state is preferably formed during cleaning of the conductive member.

本発明の第2の観点では、処理容器内に、第1電極および被処理基板を支持する第2電極を対向して配置し、前記第2電極に相対的に周波数の高い第1の高周波電力と相対的に周波数の低い第2の高周波電力を印加しながら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方法であって、前記第1電極に直流電圧を印加する工程と、前記第1電極に直流電圧を印加しながら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズマ処理方法を提供する。   In the second aspect of the present invention, a first electrode and a second electrode that supports a substrate to be processed are disposed opposite to each other in a processing container, and the first high-frequency power having a relatively high frequency is disposed on the second electrode. And supplying a processing gas into the processing container while applying a second high-frequency power having a relatively low frequency, generating plasma of the processing gas, and applying to the substrate to be processed supported by the second electrode. A plasma processing method for performing plasma processing, comprising: applying a DC voltage to the first electrode; and applying a plasma process to the substrate to be processed while applying a DC voltage to the first electrode. A plasma processing method is provided.

この場合に、前記第1電極への印加電圧、印加電流および印加電力のいずれかを可変とすることができる。また、前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御することができる。また、前記第1電極への直流電圧の印加可否を制御することができる。また、生成されたプラズマの状態を検出し、その検出情報に基づいて前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御することができる。   In this case, any one of the applied voltage, applied current and applied power to the first electrode can be made variable. In addition, any one of applied voltage, applied current and applied power to the first electrode can be controlled. In addition, it is possible to control whether or not a DC voltage can be applied to the first electrode. In addition, the state of the generated plasma can be detected, and any one of the applied voltage, applied current, and applied power to the first electrode can be controlled based on the detection information.

また、上記第2の観点に係るプラズマ処理方法において、前記第1電極は、接地電位に対して直流的にフローティング状態であることが好ましい。この場合に、前記第1電極はフローティング状態あるいは接地状態に可変可能であって、全体制御装置からの指令に基づいて、前記第1電極に直流電圧が印加されているとき前記第1電極を接地電位に対してフローティング状態とし、前記第1電極に直流電圧が印加されていないとき前記第1電極を接地電位に対してフローティング状態あるいは接地状態のいずれかとすることが好ましい。   In the plasma processing method according to the second aspect, it is preferable that the first electrode is in a DC floating state with respect to a ground potential. In this case, the first electrode can be changed to a floating state or a grounded state, and the first electrode is grounded when a DC voltage is applied to the first electrode based on a command from the overall control device. It is preferable that the first electrode is in a floating state with respect to a potential, and the first electrode is in a floating state or a ground state with respect to a ground potential when a DC voltage is not applied to the first electrode.

また、上記第2の観点に係るプラズマ処理方法において、常時接地されている導電性部材を前記処理容器内に設け、前記第1電極に印加された直流電圧に基づく電流をプラズマを介して逃がすことが好ましい。あるいは、全体制御装置からの指令に基づいて接地される導電性部材を前記処理容器内に設け、前記第1電極に印加された直流電圧に基づく電流をプラズマを介して逃がすことが好ましい。   In the plasma processing method according to the second aspect, a conductive member that is always grounded is provided in the processing container, and a current based on a DC voltage applied to the first electrode is released through the plasma. Is preferred. Alternatively, it is preferable that a conductive member to be grounded is provided in the processing container based on a command from the overall control device, and a current based on a DC voltage applied to the first electrode is released via plasma.

これらの構成において、前記導電性部材は、プラズマエッチング時に接地されるようにしてもよい。また、前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされるようにしてもよい。また、前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されるようにしてもよい。また、前記導電性部材の接続を、直流電圧を印加する直流電源側と接地ラインとで切り替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされるようにしてもよい。また、前記導電性部材には負の直流電圧が印加可能としてもよい。また、前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を設けるようにしてもよい。   In these configurations, the conductive member may be grounded during plasma etching. Further, a DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. You may do it. The conductive member may be applied with a DC voltage or an AC voltage during cleaning. In addition, when the conductive member is further connected to the DC power source side by the switching mechanism, further comprising a switching mechanism for switching the connection of the conductive member between the DC power supply side for applying a DC voltage and the ground line, The surface may be sputtered or etched by applying a DC voltage or an AC voltage from the DC power source to the conductive member. Further, a negative DC voltage may be applied to the conductive member. In addition, a grounded conductive auxiliary member is provided in the processing container in order to discharge a DC electron current that flows into the processing container when a negative DC voltage is applied to the conductive member. May be.

また、上記第2の観点に係るプラズマ処理方法において、前記第2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜の下地膜との選択比を大きくするために、前記処理ガスとして、C、Ar、N、およびC、Ar、N、およびC、Ar、N、O、およびC、Ar、N、COのいずれかの組み合わせを使用することができる。また、同様に前記第2電極に支持された被処理基板の絶縁膜をエッチングする際前記絶縁膜のマスクとの選択比を大きくするために、前記処理ガスとして、CF、およびCF、Ar、およびN、Hのいずれかの組み合わせを使用することもできる。さらに、前記第2電極に支持された被処理基板の絶縁膜上の有機反射防止膜をエッチングする際、前記処理ガスとして、CF、およびCF、C、およびCF、C、およびCF、Cのいずれかの組み合わせを使用することができる。さらにまた、前記第2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜のエッチング速度を大きくするために、前記処理ガスとして、C、CF、Ar、O、およびC、C、Ar、O、およびC、C、Ar、O、およびC、C、Ar、O、およびC、Ar、Oのいずれかの組み合わせを使用することができる。 In the plasma processing method according to the second aspect, when the insulating film of the substrate to be processed supported by the second electrode is etched, in order to increase the selection ratio of the insulating film to the base film, Process gases include C 5 F 8 , Ar, N 2 , and C 4 F 8 , Ar, N 2 , and C 4 F 8 , Ar, N 2 , O 2 , and C 4 F 8 , Ar, N 2 , Any combination of CO can be used. Similarly, in order to increase the selectivity with respect to the mask of the insulating film when etching the insulating film of the substrate to be processed supported by the second electrode, as the processing gas, CF 4 , CF 4 , Ar , And any combination of N 2 and H 2 can also be used. Further, when etching the organic antireflection film on the insulating film of the substrate to be processed supported by the second electrode, CF 4 , CF 4 , C 3 F 8 , and CF 4 , C 4 are used as the processing gas. Any combination of F 8 and CF 4 , C 4 F 6 can be used. Furthermore, when the insulating film of the substrate to be processed supported by the second electrode is etched, in order to increase the etching rate of the insulating film, as the processing gas, C 4 F 6 , CF 4 , Ar, O 2 and C 4 F 6 , C 3 F 8 , Ar, O 2 , and C 4 F 6 , C 4 F 8 , Ar, O 2 , and C 4 F 6 , C 2 F 6 , Ar, O 2 , And any combination of C 4 F 8 , Ar, O 2 can be used.

本発明の第3の観点では、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、上記第2の観点のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可能な記憶媒体が提供される。   According to a third aspect of the present invention, there is provided a computer storage medium storing a control program that runs on a computer, and the control program is executed so that the plasma processing method according to the second aspect is performed at the time of execution. A computer readable storage medium is provided that controls the plasma processing apparatus.

本発明によれば、被処理基板を支持する第2電極に対し、相対的に周波数の高い第1の高周波電力を印加する第1の高周波電力印加ユニットと、相対的に周波数の低い第2の高周波電力を印加する第2の高周波電力印加ユニットとを接続し、第1電極に直流電圧を印加する直流電源を接続するので、第2電極に、第1および第2の高周波電力印加ユニットから周波数の異なる高周波電力を印加して処理ガスのプラズマを形成しかつ被処理基板にイオンを引き込みながらプラズマエッチングする際に、第1電極に直流電圧を印加することにより、(1)第1電極の自己バイアス電圧の絶対値を大きくして第1電極表面へのスパッタ効果、(2)第1電極におけるプラズマシースを拡大させ、形成されるプラズマが縮小化される効果、(3)第1電極近傍に生じた電子を被処理基板上に照射させる効果、(4)プラズマポテンシャルを制御する効果、(5)電子(プラズマ)密度を上昇させる効果、(6)中心部のプラズマ密度を上昇させる効果の少なくとも一つを奏することができる。   According to the present invention, the first high-frequency power application unit that applies the first high-frequency power having a relatively high frequency to the second electrode that supports the substrate to be processed, and the second high-frequency power application unit that has a relatively low frequency. A second high frequency power application unit that applies high frequency power is connected, and a DC power source that applies a DC voltage is connected to the first electrode, so that the frequency from the first and second high frequency power application units is connected to the second electrode. By applying a DC voltage to the first electrode when forming plasma of a processing gas by applying different high frequency powers and performing plasma etching while attracting ions to the substrate to be processed, (1) the self of the first electrode Sputtering effect on the surface of the first electrode by increasing the absolute value of the bias voltage, (2) Effect of expanding the plasma sheath in the first electrode and reducing the plasma to be formed, (3) First The effect of irradiating a substrate to be processed with electrons generated in the vicinity of the substrate, (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, and (6) increasing the plasma density at the center. At least one of the effects can be achieved.

上記(1)の効果により、第1電極の表面にプロセスガスに起因するポリマーとフォトレジストからのポリマーが付着した場合でも、ポリマーをスパッタして電極表面を清浄化することができる。それとともに、基板上に最適なポリマーを供給してフォトレジスト膜の荒れを解消することができる。また、電極自体がスパッタされることにより電極材料を基板上に供給してフォトレジスト膜等の有機マスクを強化することができる。   Due to the effect (1) above, even when a polymer derived from the process gas and a polymer from the photoresist adhere to the surface of the first electrode, the surface of the electrode can be cleaned by sputtering the polymer. At the same time, an optimal polymer can be supplied onto the substrate to eliminate the roughness of the photoresist film. Further, when the electrode itself is sputtered, an electrode material can be supplied onto the substrate to strengthen an organic mask such as a photoresist film.

また、上記(2)の効果により、被処理基板上の実効レジデンスタイムが減少し、かつプラズマが被処理基板上に集中して拡散が抑えられ排気空間が減少するので、フロロカーボン系の処理ガスの解離が抑えられ、フォトレジスト膜等の有機マスクがエッチングされ難くなる。   In addition, due to the effect (2), the effective residence time on the substrate to be processed is reduced, and the plasma is concentrated on the substrate to be processed, so that the diffusion is suppressed and the exhaust space is reduced. Dissociation is suppressed, and an organic mask such as a photoresist film is hardly etched.

さらに、上記(3)の効果により、被処理基板上のマスク組成が改質され、フォトレジスト膜の荒れを解消することができる。また、高速の電子が被処理基板に照射されることから、シェーディング効果が抑制され、被処理基板の微細加工性が向上する。   Furthermore, due to the effect (3), the mask composition on the substrate to be processed is modified, and the roughness of the photoresist film can be eliminated. In addition, since the substrate to be processed is irradiated with high-speed electrons, the shading effect is suppressed and the fine workability of the substrate to be processed is improved.

さらにまた、上記(4)の効果により、プラズマポテンシャルを適切に制御して、電極や、チャンバ壁(デポシールド等)、処理容器内の絶縁材等の処理容器内部材へのエッチング副生物の付着を抑制することができる。   Furthermore, due to the effect of (4) above, the plasma potential is appropriately controlled so that etching by-products adhere to the inner members of the processing vessel such as electrodes, chamber walls (depot shields, etc.) and insulating materials in the processing vessel. Can be suppressed.

さらにまた、上記(5)の効果により、被処理基板に対するエッチングレート(エッチング速度)を上昇させることができる。   Furthermore, the etching rate (etching rate) for the substrate to be processed can be increased by the effect (5).

さらにまた、上記(6)の効果により、処理容器内の圧力が高くかつ使用するエッチングガスが負性ガスであっても、処理容器内の中心部のプラズマ密度が周辺に比べて低くなることを抑制でき(負イオンの生成を抑制でき)、プラズマ密度が均一化するようにプラズマ密度をコントロールすることができる。 Furthermore, due to the effect of (6) above, even when the pressure in the processing container is high and the etching gas used is a negative gas, the plasma density in the central part in the processing container is lower than the surroundings. The plasma density can be controlled so that the plasma density can be made uniform.

これにより、レジスト層等の有機マスク層の耐プラズマ性を高く維持して高選択比でエッチングすることができる。または、電極への堆積物の付着を有効に解消することができる。または高速なエッチングができ、または被処理基板に対して均一なエッチングを行うことができる。   Thereby, the plasma resistance of the organic mask layer such as a resist layer can be maintained high and etching can be performed with a high selectivity. Or adhesion of the deposit to an electrode can be canceled effectively. Alternatively, high-speed etching can be performed, or uniform etching can be performed on the substrate to be processed.

以下、添付図面を参照して本発明の実施の形態について具体的に説明する。
図1は、本発明の一実施形態に係るプラズマエッチング装置を単純化して模式的に示す断面図である。この図に示すように、この装置は、下部電極であるサセプタ16に第1の高周波電源89からプラズマ生成用の例えば40MHzの高周波(RF)電力を印加するとともに、第2の高周波電源90からイオン引き込み用の例えば2MHzの高周波(RF)電力を印加する下部RF2周波印加タイプのプラズマエッチング装置であって、図示のように上部電極34に可変直流電源50を接続して所定の直流(DC)電圧が印加されるプラズマエッチング装置である。このプラズマエッチング装置について、図2を使ってさらに詳述する。
Embodiments of the present invention will be specifically described below with reference to the accompanying drawings.
FIG. 1 is a cross-sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention. As shown in this figure, this apparatus applies, for example, 40 MHz high frequency (RF) power for plasma generation from the first high frequency power supply 89 to the susceptor 16 which is the lower electrode, and ion from the second high frequency power supply 90. A plasma etching apparatus of a lower RF 2 frequency application type that applies a high frequency (RF) power of, for example, 2 MHz for pulling in, and a variable direct current power source 50 is connected to the upper electrode 34 as shown in the figure, and a predetermined direct current (DC) voltage is applied. Is a plasma etching apparatus to which is applied. This plasma etching apparatus will be further described in detail with reference to FIG.

図2は本発明の一実施形態に係るプラズマエッチング装置を示す概略断面図である。このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置として構成されており、例えば表面が陽極酸化処理されたアルミニウムからなる略円筒状のチャンバ(処理容器)10を有している。このチャンバ10は保安接地されている。   FIG. 2 is a schematic sectional view showing a plasma etching apparatus according to one embodiment of the present invention. This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has a substantially cylindrical chamber (processing vessel) 10 made of aluminum whose surface is anodized, for example. The chamber 10 is grounded for safety.

チャンバ10の底部には、セラミックス等からなる絶縁板12を介して円柱状のサセプタ支持台14が配置され、このサセプタ支持台14の上に例えばアルミニウムからなるサセプタ16が設けられている。サセプタ16は下部電極を構成し、その上に被処理基板である半導体ウエハWが載置される。   A cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 made of ceramics or the like, and a susceptor 16 made of, for example, aluminum is provided on the susceptor support 14. The susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.

サセプタ16の上面には、半導体ウエハWを静電力で吸着保持する静電チャック18が設けられている。この静電チャック18は、導電膜からなる電極20を一対の絶縁層または絶縁シートで挟んだ構造を有するものであり、電極20には直流電源22が電気的に接続されている。そして、直流電源22からの直流電圧により生じたクーロン力等の静電力により半導体ウエハWが静電チャック18に吸着保持される。   On the upper surface of the susceptor 16, an electrostatic chuck 18 that holds the semiconductor wafer W by electrostatic force is provided. The electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. The semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22.

静電チャック18(半導体ウエハW)の周囲でサセプタ16の上面には、エッチングの均一性を向上させるための、例えばシリコンからなる導電性のフォーカスリング(補正リング)24が配置されている。サセプタ16およびサセプタ支持台14の側面には、例えば石英からなる円筒状の内壁部材26が設けられている。   A conductive focus ring (correction ring) 24 made of, for example, silicon is disposed on the upper surface of the susceptor 16 around the electrostatic chuck 18 (semiconductor wafer W) to improve etching uniformity. A cylindrical inner wall member 26 made of, for example, quartz is provided on the side surfaces of the susceptor 16 and the susceptor support 14.

サセプタ支持台14の内部には、例えば円周上に冷媒室28が設けられている。この冷媒室には、外部に設けられた図示しないチラーユニットより配管30a,30bを介して所定温度の冷媒、例えば冷却水が循環供給され、冷媒の温度によってサセプタ上の半導体ウエハWの処理温度を制御することができる。   Inside the susceptor support 14, for example, a coolant chamber 28 is provided on the circumference. A coolant having a predetermined temperature, for example, cooling water, is circulated and supplied to the coolant chamber from a chiller unit (not shown) provided outside through the pipes 30a and 30b, and the processing temperature of the semiconductor wafer W on the susceptor is controlled by the coolant temperature. Can be controlled.

さらに、図示しない伝熱ガス供給機構からの伝熱ガス、例えばHeガスがガス供給ライン32を介して静電チャック18の上面と半導体ウエハWの裏面との間に供給される。   Further, a heat transfer gas, for example, He gas, from a heat transfer gas supply mechanism (not shown) is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.

下部電極であるサセプタ16の上方には、サセプタ16と対向するように平行に上部電極34が設けられている。そして、上部および下部電極34,16間の空間がプラズマ生成空間となる。上部電極34は、下部電極であるサセプタ16上の半導体ウエハWと対向してプラズマ生成空間と接する面、つまり対向面を形成する。   Above the susceptor 16 that is the lower electrode, an upper electrode 34 is provided in parallel so as to face the susceptor 16. A space between the upper and lower electrodes 34 and 16 becomes a plasma generation space. The upper electrode 34 faces the semiconductor wafer W on the susceptor 16 that is the lower electrode, and forms a surface that is in contact with the plasma generation space, that is, a facing surface.

この上部電極34は、絶縁性遮蔽部材42を介して、チャンバ10の上部に支持されており、サセプタ16との対向面を構成しかつ多数の吐出孔37を有する電極板36と、この電極板36を着脱自在に支持し、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなる水冷構造の電極支持体38とによって構成されている。電極板36は、ジュール熱の少ない低抵抗の導電体または半導体が好ましく、また、後述するようにレジストを強化する観点からはシリコン含有物質が好ましい。このような観点から、電極板36はシリコンやSiCで構成されるのが好ましい。電極支持体38の内部には、ガス拡散室40が設けられ、このガス拡散室40からはガス吐出孔37に連通する多数のガス通流孔41が下方に延びている。   The upper electrode 34 is supported on the upper portion of the chamber 10 via an insulating shielding member 42, and forms an opposing surface to the susceptor 16 and has a number of discharge holes 37, and the electrode plate 36 is detachably supported, and is constituted by a water-cooled electrode support 38 made of a conductive material, for example, aluminum whose surface is anodized. The electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as will be described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC. A gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.

電極支持体38にはガス拡散室40へ処理ガスを導くガス導入口62が形成されており、このガス導入口62にはガス供給管64が接続され、ガス供給管64には処理ガス供給源66が接続されている。ガス供給管64には、上流側から順にマスフローコントローラ(MFC)68および開閉バルブ70が設けられている。そして、処理ガス供給源66から、エッチングのための処理ガスとして、例えばCガスのようなフロロカーボンガス(C)がガス供給管64からガス拡散室40に至り、ガス通流孔41およびガス吐出孔37を介してシャワー状にプラズマ生成空間に吐出される。すなわち、上部電極34は処理ガスを供給するためのシャワーヘッドとして機能する。 The electrode support 38 is formed with a gas inlet 62 for introducing a processing gas to the gas diffusion chamber 40, and a gas supply pipe 64 is connected to the gas inlet 62, and a processing gas supply source is connected to the gas supply pipe 64. 66 is connected. The gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order from the upstream side. Then, as a processing gas for etching, a fluorocarbon gas (C x F y ) such as C 4 F 8 gas reaches the gas diffusion chamber 40 from the gas supply pipe 64 from the processing gas supply source 66, and the gas flow It is discharged into the plasma generation space in the form of a shower through the hole 41 and the gas discharge hole 37. That is, the upper electrode 34 functions as a shower head for supplying the processing gas.

上記上部電極34には、ローパスフィルタ(LPF)46aを介して可変直流電源50が電気的に接続されている。可変直流電源50はバイポーラ電源であってもよい。この可変直流電源50は、オン・オフスイッチ52により給電のオン・オフが可能となっている。可変直流電源50の極性および電流・電圧ならびにオン・オフスイッチ52のオン・オフはコントローラ(制御装置)51により制御されるようになっている。   A variable DC power supply 50 is electrically connected to the upper electrode 34 via a low pass filter (LPF) 46a. The variable DC power supply 50 may be a bipolar power supply. The variable DC power supply 50 can be turned on / off by an on / off switch 52. The polarity and current / voltage of the variable DC power supply 50 and on / off of the on / off switch 52 are controlled by a controller (control device) 51.

ローパスフィルタ(LPF)46aは、後述する第1および第2の高周波電源からの高周波をトラップするためのものであり、好適にはLRフィルタまたはLCフィルタで構成される。   The low-pass filter (LPF) 46a is for trapping high frequencies from first and second high-frequency power sources, which will be described later, and is preferably composed of an LR filter or an LC filter.

チャンバ10の側壁から上部電極34の高さ位置よりも上方に延びるように円筒状の接地導体10aが設けられている。この円筒状接地導体10aは、その上部に天壁を有している。   A cylindrical ground conductor 10 a is provided so as to extend upward from the side wall of the chamber 10 above the height position of the upper electrode 34. The cylindrical ground conductor 10a has a top wall at the top.

下部電極であるサセプタ16には、整合器87を介して第1の高周波電源89が電気的に接続され、また、整合器88を介して第2の高周波電源90が接続されている。第1の高周波電源89は、27MHz以上の周波数、例えば40MHzの高周波電力を出力する。第2の高周波電源90は、13.56MHz以下の周波数、例えば2MHzの高周波電力を出力する。   A first high-frequency power source 89 is electrically connected to the susceptor 16, which is the lower electrode, via a matching unit 87, and a second high-frequency power source 90 is connected via a matching unit 88. The first high frequency power supply 89 outputs a high frequency power of 27 MHz or higher, for example, 40 MHz. The second high frequency power supply 90 outputs a high frequency power of 13.56 MHz or less, for example, 2 MHz.

整合器87,88は、それぞれ第1および第2の高周波電源89,90の内部(または出力)インピーダンスに負荷インピーダンスを整合させるためのもので、チャンバ10内にプラズマが生成されている時に第1および第2の高周波電源89,90の内部インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。   Matching devices 87 and 88 are used to match the load impedance to the internal (or output) impedances of the first and second high-frequency power sources 89 and 90, respectively, and are used when the plasma is generated in the chamber 10. Also, the internal impedance and the load impedance of the second high-frequency power supplies 89 and 90 function so as to coincide with each other.

チャンバ10の底部には排気口80が設けられ、この排気口80に排気管82を介して排気装置84が接続されている。排気装置84は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内を所望の真空度まで減圧可能となっている。また、チャンバ10の側壁には半導体ウエハWの搬入出口85が設けられており、この搬入出口85はゲートバルブ86により開閉可能となっている。また、チャンバ10の内壁に沿ってチャンバ10にエッチング副生物(デポ)が付着することを防止するためのデポシールド11が着脱自在に設けられている。すなわち、デポシールド11がチャンバ壁を構成している。また、デポシールド11は、内壁部材26の外周にも設けられている。チャンバ10の底部のチャンバ壁側のデポシールド11と内壁部材26側のデポシールド11との間には排気プレート83が設けられている。デポシールド11および排気プレート83としては、アルミニウム材にY等のセラミックスを被覆したものを好適に用いることができる。 An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82. The exhaust device 84 includes a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum. Further, a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and the loading / unloading port 85 can be opened and closed by a gate valve 86. A deposition shield 11 is detachably provided along the inner wall of the chamber 10 for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposition shield 11 forms a chamber wall. The deposition shield 11 is also provided on the outer periphery of the inner wall member 26. An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side. As the deposition shield 11 and the exhaust plate 83, an aluminum material coated with ceramics such as Y 2 O 3 can be suitably used.

デポシールド11のチャンバ内壁を構成する部分のウエハWとほぼ同じ高さ部分には、グランドにDC的に接続された導電性部材(GNDブロック)91が設けられており、これにより後述するような異常放電防止効果を発揮する。   A conductive member (GND block) 91 connected to the ground in a DC manner is provided at a portion substantially the same height as the wafer W that constitutes the chamber inner wall of the deposition shield 11. Demonstrate the effect of preventing abnormal discharge.

プラズマエッチング装置の各構成部は、制御部(全体制御装置)95に接続されて制御される構成となっている。また、制御部95には、工程管理者がプラズマエッチング装置を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース96が接続されている。   Each component of the plasma etching apparatus is connected to and controlled by a control unit (overall control device) 95. In addition, the control unit 95 includes a user interface 96 including a keyboard on which a process manager inputs commands to manage the plasma etching apparatus, a display that visualizes and displays the operating status of the plasma processing apparatus, and the like. It is connected.

さらに、制御部95には、プラズマエッチング装置で実行される各種処理を制御部95の制御にて実現するための制御プログラムや、処理条件に応じてプラズマエッチング装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部97が接続されている。レシピはハードディスクや半導体メモリーに記憶されていてもよいし、CDROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で記憶部97の所定位置にセットするようになっていてもよい。   Furthermore, the control unit 95 causes each component of the plasma etching apparatus to execute processes according to a control program for realizing various processes executed by the plasma etching apparatus under the control of the control unit 95 and processing conditions. A storage unit 97 that stores a program for storing the recipe, that is, a recipe, is connected. The recipe may be stored in a hard disk or semiconductor memory, or set at a predetermined position in the storage unit 97 while being stored in a portable computer-readable storage medium such as a CDROM or DVD. Also good.

そして、必要に応じて、ユーザーインターフェース96からの指示等にて任意のレシピを記憶部97から呼び出して制御部95に実行させることで、制御部95の制御下で、プラズマエッチング装置での所望の処理が行われる。なお、本発明の実施の形態で述べるプラズマ処理装置(プラズマエッチング装置)は、この制御部95を含むものとする。   Then, if necessary, an arbitrary recipe is called from the storage unit 97 by an instruction from the user interface 96 and is executed by the control unit 95, so that a desired value in the plasma etching apparatus is controlled under the control of the control unit 95. Processing is performed. Note that the plasma processing apparatus (plasma etching apparatus) described in the embodiment of the present invention includes the control unit 95.

このように構成されるプラズマエッチング装置においてエッチング処理を行う際には、まず、ゲートバルブ86を開状態とし、搬入出口85を介してエッチング対象である半導体ウエハWをチャンバ10内に搬入し、サセプタ16上に載置する。そして、処理ガス供給源66からエッチングのための処理ガスを所定の流量でガス拡散室40へ供給し、ガス通流孔41およびガス吐出孔37を介してチャンバ10内へ供給しつつ、排気装置84によりチャンバ10内を排気し、その中の圧力を例えば0.1〜150Paの範囲内の設定値とする。ここで、処理ガスとしては、従来用いられている種々のものを採用することができ、例えばCガスのようなフロロカーボンガス(C)に代表されるハロゲン元素を含有するガスを好適に用いることができる。さらに、ArガスやOガス等の他のガスが含まれていてもよい。 When performing the etching process in the plasma etching apparatus configured as described above, first, the gate valve 86 is opened, and the semiconductor wafer W to be etched is loaded into the chamber 10 via the loading / unloading port 85, and the susceptor is loaded. 16 is mounted. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and is supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37, while being exhausted. The chamber 10 is evacuated by 84, and the pressure therein is set to a set value within a range of 0.1 to 150 Pa, for example. Here, various conventionally used gases can be employed as the processing gas. For example, a gas containing a halogen element typified by a fluorocarbon gas (C x F y ) such as C 4 F 8 gas. Can be suitably used. Furthermore, other gases such as Ar gas and O 2 gas may be contained.

このようにチャンバ10内にエッチングガスを導入した状態で、下部電極であるサセプタ16に、第1の高周波電源88からプラズマ生成用の高周波電力を所定のパワーで印加するとともに、第2の高周波電源90よりイオン引き込み用の高周波電力を所定のパワーで印加する。そして、可変直流電源50から所定の直流電圧を上部電極34に印加する。さらに、静電チャック18のための直流電源22から直流電圧を静電チャック18の電極20に印加して、半導体ウエハWをサセプタ16に固定する。   With the etching gas introduced into the chamber 10 as described above, high-frequency power for plasma generation is applied from the first high-frequency power supply 88 to the susceptor 16 as the lower electrode at a predetermined power, and the second high-frequency power supply is applied. From 90, high-frequency power for ion attraction is applied at a predetermined power. Then, a predetermined DC voltage is applied to the upper electrode 34 from the variable DC power supply 50. Further, a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.

上部電極34の電極板36に形成されたガス吐出孔37から吐出された処理ガスは、高周波電力により生じた上部電極34と下部電極であるサセプタ16間のグロー放電中でプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウエハWの被処理面がエッチングされる。   The processing gas discharged from the gas discharge hole 37 formed in the electrode plate 36 of the upper electrode 34 is turned into plasma in the glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by the high frequency power. The surface to be processed of the semiconductor wafer W is etched by the generated radicals and ions.

このプラズマエッチング装置では、下部電極であるサセプタ16に第1の高周波電源89から高い周波数領域(例えば、10MHz以上)の高周波電力を供給しているので、プラズマを好ましい状態で高密度化することができ、より低圧の条件下でも高密度プラズマを形成することができる。   In this plasma etching apparatus, high frequency power in a high frequency region (for example, 10 MHz or more) is supplied from the first high frequency power supply 89 to the susceptor 16 that is the lower electrode. And high density plasma can be formed even under lower pressure conditions.

本実施形態では、このようにしてプラズマが形成される際に、上部電極34に可変直流電源50から所定の極性および大きさの直流電圧が印加される。このとき、印加電極である上部電極34の表面つまり電極板36の表面に対する所定の(適度な)スパッタ効果が得られる程度にその表面の自己バイアス電圧Vdcが深くなるように、つまり上部電極34表面でのVdcの絶対値が大きくなるように、可変直流電源50からの印加電圧をコントローラ51により制御することが好ましい。第1の高周波電源89から高周波を印加してプラズマを生成した場合に、上部電極34にポリマーが付着することがあるが、可変直流電源50から適切な直流電圧を印加することにより、上部電極34に付着したポリマーをスパッタして上部電極34の表面を清浄化することができる。それとともに、半導体ウエハW上に最適な量のポリマーを供給してフォトレジスト膜の表面荒れを解消することができる。また、可変直流電源50からの電圧を調整して上部電極34自体をスパッタして電極材料自体を半導体ウエハW表面に供給するようにすることにより、フォトレジスト膜表面でカーバイドを形成してフォトレジスト膜が強化され、かつスパッタされた電極材料がフロロカーボン系の処理ガス中のFと反応して排気されることによりプラズマ中のF比率が減少してフォトレジスト膜がエッチングされ難くなる。電極板36がシリコンやSiC等のシリコン含有物質の場合には、電極板36表面でスパッタされたシリコンがポリマーと反応してフォトレジスト膜表面にSiCが形成され、フォトレジスト膜が極めて強固なものとなり、しかも、SiはFと反応しやすいため、上記効果が特に大きい。したがって、電極板36の材料としてはシリコン含有物質が好ましい。なお、この場合に、可変直流電源50からの印加電圧を制御する代わりに、印加電流または印加電力を制御するようにしてもよい。 In this embodiment, when plasma is formed in this way, a DC voltage having a predetermined polarity and magnitude is applied to the upper electrode 34 from the variable DC power supply 50. At this time, the self-bias voltage V dc on the surface becomes deep enough to obtain a predetermined (moderate) sputtering effect on the surface of the upper electrode 34 that is the application electrode, that is, the surface of the electrode plate 36, that is, the upper electrode 34. It is preferable to control the voltage applied from the variable DC power supply 50 by the controller 51 so that the absolute value of V dc on the surface becomes large. When plasma is generated by applying a high frequency from the first high frequency power supply 89, polymer may adhere to the upper electrode 34. By applying an appropriate DC voltage from the variable DC power supply 50, the upper electrode 34 can be applied. The surface of the upper electrode 34 can be cleaned by sputtering the polymer adhering to the surface. At the same time, an optimum amount of polymer can be supplied onto the semiconductor wafer W to eliminate the surface roughness of the photoresist film. Further, by adjusting the voltage from the variable DC power supply 50 and sputtering the upper electrode 34 itself so as to supply the electrode material itself to the surface of the semiconductor wafer W, a carbide is formed on the surface of the photoresist film to thereby form the photoresist. The film is strengthened, and the sputtered electrode material reacts with F in the fluorocarbon-based processing gas and is exhausted, whereby the F ratio in the plasma is reduced and the photoresist film becomes difficult to be etched. When the electrode plate 36 is a silicon-containing material such as silicon or SiC, the silicon sputtered on the surface of the electrode plate 36 reacts with the polymer to form SiC on the surface of the photoresist film, and the photoresist film is extremely strong. Moreover, since Si easily reacts with F, the above effect is particularly great. Accordingly, the material of the electrode plate 36 is preferably a silicon-containing substance. In this case, the applied current or the applied power may be controlled instead of controlling the applied voltage from the variable DC power supply 50.

このように上部電極34に直流電圧を印加して自己バイアス電圧Vdcが深くなった場合には、図3に示すように、上部電極34側に形成されるプラズマシースの厚さが大きくなる。そして、プラズマシースが厚くなると、その分だけプラズマが縮小化される。例えば、上部電極34に直流電圧を印加しない場合には上部電極側のVdcが例えば−100Vであり、図3の(a)に示すようにプラズマは薄いシース厚dを有する状態である。しかし、上部電極34に−900Vの直流電圧を印加すると上部電極側のVdcが−900Vとなり、プラズマシースの厚さは、Vdcの絶対値の3/4に比例するから、図3の(b)に示すように、より厚いプラズマシースdが形成され、その分プラズマが縮小化する。このように厚いプラズマシースを形成して、プラズマを適切に縮小化することにより、半導体ウエハW上の実効レジデンスタイムが減少し、かつプラズマがウエハW上に集中して拡散が抑えられ解離空間が減少する。これらにより、フロロカーボン系の処理ガスの解離が抑えられ、フォトレジスト膜がエッチングされ難くなる。したがって、可変直流電源50からの印加電圧は、上部電極34におけるプラズマシースの厚さが所望の縮小化されたプラズマが形成される程度に厚くなるようにコントローラ51により制御することが好ましい。この場合にも、可変直流電源50からの印加電圧を制御する代わりに、印加電流または印加電力を制御するようにしてもよい。 Thus, when the DC voltage is applied to the upper electrode 34 and the self-bias voltage V dc becomes deeper, the thickness of the plasma sheath formed on the upper electrode 34 side increases as shown in FIG. When the plasma sheath becomes thicker, the plasma is reduced by that amount. For example, when no DC voltage is applied to the upper electrode 34, the V dc on the upper electrode side is, for example, −100 V, and the plasma has a thin sheath thickness d 0 as shown in FIG. However, when a DC voltage of −900 V is applied to the upper electrode 34, the V dc on the upper electrode side becomes −900 V, and the thickness of the plasma sheath is proportional to 3/4 of the absolute value of V dc . as shown in b), is thicker plasma sheath d 1 is formed, correspondingly plasma is shrink. By forming such a thick plasma sheath and appropriately reducing the plasma, the effective residence time on the semiconductor wafer W is reduced, and the plasma is concentrated on the wafer W to suppress diffusion and to provide a dissociation space. Decrease. As a result, dissociation of the fluorocarbon-based processing gas is suppressed, and the photoresist film becomes difficult to be etched. Therefore, the voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 so that the thickness of the plasma sheath in the upper electrode 34 becomes thick enough to form a desired reduced plasma. Also in this case, the applied current or the applied power may be controlled instead of controlling the applied voltage from the variable DC power supply 50.

また、プラズマが形成される際には、上部電極34近傍に電子が生成される。上部電極34に可変直流電源50から直流電圧を印加すると、印加した直流電圧値とプラズマ電位との電位差により、電子は処理空間の鉛直方向へ加速される。可変直流電源50の極性、電圧値、電流値を所望のものにすることにより、電子は半導体ウエハWに照射される。照射された電子は、マスクとしてのフォトレジスト膜の組成を改質させ、フォトレジスト膜は強化される。したがって、可変直流電源50の印加電圧値および印加電流値により上部電極34近傍で生成する電子の量と、このような電子のウエハWへの加速電圧を制御することで、フォトレジスト膜に対する所定の強化を図ることができる。   Further, when plasma is formed, electrons are generated in the vicinity of the upper electrode 34. When a DC voltage is applied to the upper electrode 34 from the variable DC power supply 50, electrons are accelerated in the vertical direction of the processing space due to the potential difference between the applied DC voltage value and the plasma potential. The semiconductor wafer W is irradiated with electrons by setting the polarity, voltage value, and current value of the variable DC power supply 50 as desired. The irradiated electrons modify the composition of the photoresist film as a mask, and the photoresist film is strengthened. Therefore, by controlling the amount of electrons generated in the vicinity of the upper electrode 34 by the applied voltage value and the applied current value of the variable DC power supply 50 and the acceleration voltage of such electrons to the wafer W, a predetermined amount for the photoresist film is controlled. It can be strengthened.

特に、半導体ウエハW上のフォトレジスト膜がArFエキシマレーザー(波長193nm)用のフォトレジスト膜(以下、ArFレジスト膜と記す)である場合、ArFレジスト膜のポリマー構造は、以下の化学式(1)、(2)に示すような反応を経て、電子が照射されて化学式(3)の右辺のような構造となる。すなわち、電子が照射されると化学式(3)のd部に示すように、ArFレジスト膜の組成の改質が起こる(レジストの架橋反応)。このd部は、エッチング耐性(プラズマ耐性)を非常に強くする働きを有するので、ArFレジスト膜のエッチング耐性は飛躍的に増大する。このため、ArFレジスト膜の表面荒れを抑制することができ、ArFレジスト膜に対するエッチング対象層のエッチング選択比を高めることができる。   In particular, when the photoresist film on the semiconductor wafer W is a photoresist film for ArF excimer laser (wavelength 193 nm) (hereinafter referred to as ArF resist film), the polymer structure of the ArF resist film has the following chemical formula (1) Through the reaction shown in (2), electrons are irradiated to form a structure as shown on the right side of the chemical formula (3). In other words, when irradiated with electrons, the composition of the ArF resist film is modified (resist cross-linking reaction) as shown in part d of the chemical formula (3). Since this d portion has a function of greatly increasing the etching resistance (plasma resistance), the etching resistance of the ArF resist film is remarkably increased. For this reason, the surface roughness of the ArF resist film can be suppressed, and the etching selectivity of the etching target layer with respect to the ArF resist film can be increased.

Figure 2006270018
Figure 2006270018

したがって、可変直流電源50からの印加電圧値・電流値は、電子の照射によってフォトレジスト膜(特にArFレジスト膜)のエッチング耐性が強くなるように、コントローラ51により制御することが好ましい。また、上述したように、上部電極34に直流電圧を印加すると、プラズマが形成される際に上部電極34近傍に生成された電子が処理空間の鉛直方向へ加速されるが、可変直流電源50の極性、電圧値、電流値を所望のものにすることにより、電子を半導体ウエハWのホール内に到達させることができ、シェーディング効果を抑制してボーイングのない良好な加工形状を得ることができ、加工形状の均一性を良好にすることができる。   Therefore, it is preferable to control the applied voltage value and current value from the variable DC power supply 50 by the controller 51 so that the etching resistance of the photoresist film (particularly, ArF resist film) is enhanced by electron irradiation. As described above, when a DC voltage is applied to the upper electrode 34, electrons generated in the vicinity of the upper electrode 34 when plasma is formed are accelerated in the vertical direction of the processing space. By making the polarity, voltage value, and current value as desired, electrons can reach the hole of the semiconductor wafer W, the shading effect can be suppressed, and a good processing shape without bowing can be obtained. The uniformity of the processed shape can be improved.

加速電圧を制御された電子がウエハWに入射する電子量として、直流電圧による電子電流量IDC を用いた場合に、プラズマからウエハに入射するイオン電流量Iionとすると、IDC>(1/2)Iionを満たすことが好ましい。Iion=Zρvione(ただし、Z:荷数、ρ:流速密度、vion:イオン速度、e:電子の電荷量1.6×10−19C)であり、ρは電子密度Neに比例するからIionはNeに比例する。 When an electron current amount I DC due to a DC voltage is used as an electron amount of electrons whose acceleration voltage is controlled to be incident on the wafer W, assuming that an ion current amount I ion incident on the wafer from the plasma is I DC > (1 / 2) It is preferable to satisfy Iion . I ion = Zρv ion (where Z is the number of charges, ρ is the flow velocity density, v ion is the ion velocity, e is the charge amount of electrons 1.6 × 10 −19 C), and ρ is proportional to the electron density Ne. Therefore, I ion is proportional to Ne.

このように、上部電極34に印加する直流電圧を制御して、上記上部電極34のスパッタ機能またはプラズマの縮小化機能、さらには上記上部電極34で生成される多量の電子の半導体ウエハWへの供給機能が発揮されることにより、フォトレジスト膜の強化や最適ポリマーの供給、処理ガスの解離抑制等が図られ、フォトレジストの表面荒れ等を抑制することができ、フォトレジスト膜に対するエッチング対象層のエッチング選択比を高めることができる。それとともに、フォトレジストの開口部におけるCDの広がりを抑制することができ、より高精度のパターン形成を実現することができる。特に、これらスパッタ機能およびプラズマの縮小化機能および電子の供給機能の3つが適切に発揮されるように直流電圧を制御することにより、このような効果をより高めることができる。   In this way, the DC voltage applied to the upper electrode 34 is controlled, the sputtering function of the upper electrode 34 or the plasma reduction function, and a large amount of electrons generated by the upper electrode 34 to the semiconductor wafer W. By providing the supply function, it is possible to strengthen the photoresist film, supply the optimum polymer, suppress dissociation of the processing gas, etc., and suppress the surface roughness of the photoresist. The etching selectivity can be increased. At the same time, the spread of the CD in the opening portion of the photoresist can be suppressed, and more accurate pattern formation can be realized. In particular, such an effect can be further enhanced by controlling the DC voltage so that the three functions of the sputtering function, the plasma reduction function, and the electron supply function are appropriately exhibited.

なお、上記各機能のうちいずれが優勢に生じるかは処理条件等により異なり、これら機能の一つ以上が発揮され、上記効果を有効に奏するように、可変直流電源50から印加される電圧をコントローラ51により制御することが好ましい。   Note that which of the above functions is dominant depends on processing conditions and the like, and the voltage applied from the variable DC power supply 50 is controlled by the controller so that one or more of these functions can be exhibited and the above effects can be effectively achieved. It is preferable to control by 51.

また、上部電極34に印加する直流電圧を調整することにより、プラズマポテンシャルを制御することができる。これにより、上部電極34やチャンバ壁を構成するデポシールド11、内壁部材26、絶縁性遮蔽部材42へのエッチング副生物の付着を抑制する機能を有する。   Further, the plasma potential can be controlled by adjusting the DC voltage applied to the upper electrode 34. Thereby, it has a function which suppresses adhesion of the etching by-product to the deposition shield 11, the inner wall member 26, and the insulating shielding member 42 which comprise the upper electrode 34 and a chamber wall.

エッチング副生物が上部電極34やチャンバ壁を構成するデポシールド11等に付着すると、プロセス特性の変化やパーティクルの懸念がある。特に、多層膜を連続してエッチングする場合、Si系有機膜(SiOC)、SiN膜、SiO膜、フォトレジストを半導体ウエハW上に順次積層した多層膜を連続してエッチングする場合には、各膜によってエッチング条件が異なるため、前の処理の影響が残存して次の処理に悪影響を与えるメモリー効果が生じてしまう。 When the etching by-product adheres to the upper electrode 34, the deposition shield 11 constituting the chamber wall, etc., there is a risk of change in process characteristics and particle. In particular, when the multilayer film is continuously etched, when the multilayer film in which the Si-based organic film (SiOC), the SiN film, the SiO 2 film, and the photoresist are sequentially stacked on the semiconductor wafer W is continuously etched, Since the etching conditions differ for each film, the effect of the previous process remains and a memory effect that adversely affects the next process occurs.

このようなエッチング副生物の付着はプラズマポテンシャルと上部電極34やチャンバ壁等との間のポテンシャル差によって影響するため、プラズマポテンシャルを制御することができれば、このようなエッチング生成物の付着を抑制することができる。   Since the adhesion of such etching by-products is affected by the potential difference between the plasma potential and the upper electrode 34, the chamber wall, etc., if the plasma potential can be controlled, the adhesion of such etching products is suppressed. be able to.

以上、可変直流電源50から上部電極34に印加する電圧を制御することにより、プラズマポテンシャルを低下させることができ、上部電極34やチャンバ壁を構成するデポシールド11、さらにはチャンバ10内の絶縁材(部材26,42)へのエッチング副生物の付着を抑制することができる。プラズマポテンシャルVpの値としては、80V≦Vp≦200Vの範囲が好ましい。   As described above, by controlling the voltage applied from the variable DC power supply 50 to the upper electrode 34, the plasma potential can be lowered, and the deposition shield 11 constituting the upper electrode 34 and the chamber wall, and further the insulating material in the chamber 10. The adhesion of etching by-products to (members 26 and 42) can be suppressed. The value of the plasma potential Vp is preferably in the range of 80V ≦ Vp ≦ 200V.

さらに、上部電極34に直流電圧を印加することによる他の効果として、印加した直流電圧によってプラズマが形成されることにより、プラズマ密度を高めてエッチングレートを上昇させることが挙げられる。   Furthermore, another effect obtained by applying a DC voltage to the upper electrode 34 is that plasma is formed by the applied DC voltage, thereby increasing the plasma density and increasing the etching rate.

これは、上部電極に負の直流電圧を印加すると、電子が上部電極に入り難くなり電子の消滅が抑制されることと、イオンが上部電極に加速されて入ると電子が電極から出ることができ、その電子がプラズマ電位と印加電圧値の差で高速に加速され中性ガスを電離(プラズマ化)することで、電子密度(プラズマ密度)が増加するからである。   This is because when a negative DC voltage is applied to the upper electrode, electrons hardly enter the upper electrode, and the disappearance of the electrons is suppressed, and when ions are accelerated and enter the upper electrode, the electrons can exit the electrode. This is because the electrons are accelerated at a high speed by the difference between the plasma potential and the applied voltage value, and the neutral gas is ionized (plasmaized), thereby increasing the electron density (plasma density).

このことを実験結果に基づいて説明する。
図4は、下部電極であるサセプタ16に印加する第1の高周波電力の周波数を40MHz、第2の高周波電力の周波数を3.2MHzとし、圧力:4PaとしたHARCエッチングの条件で、上部電極に印加する負の直流電圧の絶対値を0V、300V、600V、900Vと変化させた際における、各高周波電力の出力と電子密度分布との関係を示す図である。また、図5は、同様の周波数の2つの高周波電力を印加し、圧力を6.7PaのViaエッチングの条件で、同様に上部電極に印加する直流電圧の絶対値を0V、300V、600V、900Vと変化させた際における、各高周波電力の出力と電子密度分布との関係を示す図である。これらの図に示すように、印加する直流電圧の絶対値が大きくなるに従って、電子密度(プラズマ密度)が上昇しているのがわかる。図6は、上記HARCエッチングで、第1の高周波電力を3000W、第2の高周波電力を4000Wにした場合のウエハ径方向の電子密度分布を示す図である。この図に示すように、印加する直流電圧の絶対値が大きくなるほど電子密度が高くなることがわかる。
This will be described based on experimental results.
FIG. 4 shows the condition of HARC etching with the first high frequency power applied to the lower electrode susceptor 16 having a frequency of 40 MHz, a second high frequency power of 3.2 MHz, and a pressure of 4 Pa. It is a figure which shows the relationship between the output of each high frequency electric power, and electron density distribution when changing the absolute value of the negative DC voltage to apply to 0V, 300V, 600V, and 900V. FIG. 5 also shows that the absolute value of the DC voltage applied to the upper electrode is 0V, 300V, 600V, and 900V under the conditions of Via etching with a pressure of 6.7 Pa when two high-frequency powers having the same frequency are applied. It is a figure which shows the relationship between the output of each high frequency electric power and electron density distribution at the time of changing. As shown in these figures, it can be seen that the electron density (plasma density) increases as the absolute value of the applied DC voltage increases. FIG. 6 is a diagram showing an electron density distribution in the wafer radial direction when the first high frequency power is 3000 W and the second high frequency power is 4000 W by the HARC etching. As shown in this figure, it can be seen that the electron density increases as the absolute value of the applied DC voltage increases.

さらにまた、プラズマが形成された場合に、上部電極34に可変直流電源50から直流電圧を印加することにより、トレンチエッチング時に特に中心部のプラズマ密度を上昇させることができる。トレンチエッチング時の条件のような、チャンバ10内の圧力が高くかつ使用するエッチングガスが負性ガスの場合には、チャンバ10内の中心部のプラズマ密度が低くなる傾向にあるが、このように上部電極34に直流電圧を印加して中心部のプラズマ密度を上昇させることにより、プラズマ密度が均一化するようにプラズマ密度をコントロールすることができる。   Furthermore, when plasma is formed, by applying a DC voltage from the variable DC power supply 50 to the upper electrode 34, it is possible to increase the plasma density particularly in the center during trench etching. When the pressure in the chamber 10 is high and the etching gas to be used is a negative gas, such as the conditions during trench etching, the plasma density in the center of the chamber 10 tends to be low. By applying a DC voltage to the upper electrode 34 to increase the plasma density at the center, the plasma density can be controlled so that the plasma density becomes uniform.

このことを実験結果によって説明する。
図2の装置において、半導体ウエハをチャンバ内に装入してサセプタ上に載置し、処理ガスとしてCFガス、CHFガス、Arガス、Nガスをチャンバ内に導入し、チャンバ内の圧力を26.6Paとし、第1の高周波電力を40MHzで300W、第2の高周波電力を3.2MHzで1000Wとして下部電極であるサセプタに印加するというトレンチエッチングの条件で、上部電極への直流電圧を印加しない場合と−600W印加した場合とでウエハ径方向の電子密度(プラズマ密度)分布を測定した。その結果を図7に示す。この図に示すように、直流電圧を印加しない場合には、ウエハ中心部の電子密度が他の部分よりも低いのに対し、直流電圧を印加することにより、ウエハ中心部の電子密度を上昇させて電子密度が均一化されていることが確認された。また、直流電圧を印加することにより、電子密度が全体的に上昇した。
This will be explained by experimental results.
In the apparatus of FIG. 2, a semiconductor wafer is loaded into a chamber and placed on a susceptor, and CF 4 gas, CHF 3 gas, Ar gas, and N 2 gas are introduced into the chamber as processing gases. The DC voltage applied to the upper electrode under the conditions of trench etching in which the pressure is 26.6 Pa, the first high-frequency power is 300 W at 40 MHz, and the second high-frequency power is 1000 W at 3.2 MHz and applied to the susceptor as the lower electrode. The electron density (plasma density) distribution in the wafer radial direction was measured when no power was applied and when −600 W was applied. The result is shown in FIG. As shown in this figure, when a DC voltage is not applied, the electron density at the center of the wafer is lower than the other parts, whereas by applying a DC voltage, the electron density at the center of the wafer is increased. The electron density was confirmed to be uniform. Further, the application of a DC voltage increased the electron density as a whole.

以上のように、上部電極34に印加する直流電圧を制御することにより、上述の上部電極34のスパッタ機能、プラズマの縮小化機能、電子の供給機能、プラズマポテンシャル制御機能、電子密度(プラズマ密度)上昇機能、およびプラズマ密度コントロール機能の少なくとも一つを有効に発揮させることが可能である。   As described above, by controlling the DC voltage applied to the upper electrode 34, the above-mentioned sputtering function of the upper electrode 34, the plasma reduction function, the electron supply function, the plasma potential control function, the electron density (plasma density). It is possible to effectively exhibit at least one of the ascending function and the plasma density control function.

以上、上部電極34に直流(DC)電圧を印加した場合の作用効果について説明した。   In the foregoing, the operation and effect when a direct current (DC) voltage is applied to the upper electrode 34 has been described.

本実施形態では、上部電極に直流電圧を印加するプラズマエッチング装置として、下部電極にプラズマ形成用の第1の高周波(RF)電力およびイオン引き込み用の第2の高周波(RF)電力を印加する下部RF二周波印加型のプラズマエッチング装置を用いて説明したが、下部RF二周波印加型のプラズマエッチング装置の、他の容量結合型プラズマエッチング装置に対する優位点としては、以下が挙げられる。   In this embodiment, as a plasma etching apparatus that applies a DC voltage to an upper electrode, a lower part that applies a first radio frequency (RF) power for plasma formation and a second radio frequency (RF) power for ion attraction to the lower electrode. Although the description has been made using the RF dual frequency application type plasma etching apparatus, the advantages of the lower RF dual frequency application type plasma etching apparatus over other capacitively coupled plasma etching apparatuses include the following.

まず、本実施形態のように下部電極にプラズマ形成用の高周波電力を印加することで、ウエハにより近いところでプラズマを形成することができるので、またプラズマが広い領域に拡散せず処理ガスの解離を抑えることができるので、処理容器内の圧力が高くプラズマ密度が低いような条件であっても、ウエハに対するエッチングレートを上昇させることができる。また、プラズマ形成用の高周波電力の周波数が高い場合でも、比較的大きなイオンエネルギーを確保することができるので高効率である。これに対して、上部電極にプラズマ形成用の高周波電力を印加するタイプの装置では、上部電極近傍にプラズマが生成されるので、処理容器内の圧力が高くプラズマ密度が低いような条件では、ウエハに対するエッチングレートを上昇させることが困難である。   First, by applying high-frequency power for plasma formation to the lower electrode as in this embodiment, plasma can be formed at a location closer to the wafer, so that the plasma does not diffuse to a wide area and the processing gas is dissociated. Therefore, the etching rate for the wafer can be increased even under conditions where the pressure in the processing chamber is high and the plasma density is low. In addition, even when the frequency of the high-frequency power for plasma formation is high, a relatively large ion energy can be secured, which is highly efficient. In contrast, in an apparatus of a type that applies high-frequency power for plasma formation to the upper electrode, plasma is generated in the vicinity of the upper electrode. Therefore, under conditions where the pressure in the processing vessel is high and the plasma density is low, the wafer is It is difficult to increase the etching rate with respect to.

また、本実施形態のように下部電極にプラズマ形成用の高周波電力とイオン引き込み用の高周波電力を別々に印加することで、プラズマエッチングに必要なプラズマ形成の機能とイオン引き込みの機能とを独立に制御することが可能となる。これに対して、下部電極に一周波の高周波電力を印加するタイプの装置では、プラズマ形成の機能とイオン引き込みの機能とを独立に制御することが不可能であり、高い微細加工性が要求されるエッチングの条件を満たすのが困難である。   In addition, as in this embodiment, the plasma formation function and the ion attraction function necessary for plasma etching can be independently performed by separately applying high frequency power for plasma formation and high frequency power for ion attraction to the lower electrode. It becomes possible to control. On the other hand, in a device of a type that applies high frequency power of one frequency to the lower electrode, it is impossible to independently control the function of plasma formation and the function of ion attraction, and high fine workability is required. It is difficult to satisfy the etching conditions.

以上のように、ウエハに近いところでプラズマを形成することが可能でプラズマが広い領域に拡散せず、かつプラズマ形成の機能とイオン引き込みの機能とを独立に制御することが可能な、下部RF二周波印加型のプラズマエッチング装置に、上部電極へ直流電圧を印加することによって、さらに上部電極のスパッタ機能、プラズマの縮小化機能、ウエハへの電子の供給機能、プラズマポテンシャルの制御機能、プラズマ密度の上昇機能、プラズマ密度コントロール機能の少なくとも一つを併せ持つことが可能になるので、近年のエッチング微細加工に適合したより高いパフォーマンスを有するプラズマエッチング装置を提供することができる。   As described above, it is possible to form a plasma near the wafer, the plasma is not diffused over a wide area, and the plasma forming function and the ion drawing function can be independently controlled. By applying a DC voltage to the upper electrode in a frequency-applied plasma etching apparatus, the upper electrode sputtering function, plasma reduction function, electron supply function to the wafer, plasma potential control function, plasma density control Since it becomes possible to have at least one of the ascending function and the plasma density control function, it is possible to provide a plasma etching apparatus having higher performance adapted to the recent etching fine processing.

なお、上部電極34への直流電圧印加は選択的であってよい。上部電極34への直流電圧印加が必要なエッチング条件においては、可変直流電源50および図2に示したリレースイッチ52をオンにし、上部電極34への直流電圧印加が特に必要のないエッチング条件においては、可変直流電源50およびリレースイッチ52をオフにすればよい。   Note that the application of a DC voltage to the upper electrode 34 may be selective. In etching conditions that require application of a DC voltage to the upper electrode 34, the variable DC power supply 50 and the relay switch 52 shown in FIG. 2 are turned on, and in etching conditions that do not require application of a DC voltage to the upper electrode 34. The variable DC power supply 50 and the relay switch 52 may be turned off.

また、上部電極34へ直流電圧を印加する際、上部電極34が接地されていると直流電圧印加の機能がなくなるので、上部電極34はDC的にフローティングである必要がある。模式図として図8に示す。図8において電気的にキャパシター501、502、503を形成している箇所は、実際には誘電体が入ることになり、上部電極34は誘電体を介して処理容器10および接地導体10aに対してDC的なフローティングとなっている。なお、高周波電源89、90から下部電極16に印加された高周波電力は、処理空間を介して上部電極34に到達し、キャパシター501、502、503を介して、接地された処理容器10及び接地導体10aに到達する。   Further, when a DC voltage is applied to the upper electrode 34, if the upper electrode 34 is grounded, the function of DC voltage application is lost, and therefore the upper electrode 34 needs to be DC floating. FIG. 8 shows a schematic diagram. In FIG. 8, the portions where the capacitors 501, 502, and 503 are electrically formed actually contain a dielectric, and the upper electrode 34 is connected to the processing container 10 and the ground conductor 10a via the dielectric. DC floating. The high-frequency power applied to the lower electrode 16 from the high-frequency power sources 89 and 90 reaches the upper electrode 34 through the processing space, and is grounded through the capacitors 501, 502, and 503 and the grounded processing container 10 and the ground conductor. Reach 10a.

そして、可変直流電源50およびリレースイッチ52をオフにして、上部電極34へ直流電圧を印加しない場合は、上部電極34を接地状態またはDC的にフローティング状態のいずれに可変可能としてもよい。図9の例では、上部電極34へ直流電圧を印加しない場合は、接地導体10aと上部電極34をスイッチ(可変装置)504により短絡させて上部電極34を接地状態としているが、スイッチ(可変装置)504をオフにして上部電極34をDC的にフローティング状態としてもよい。   When the variable DC power supply 50 and the relay switch 52 are turned off and no DC voltage is applied to the upper electrode 34, the upper electrode 34 may be variable to either the ground state or the DC floating state. In the example of FIG. 9, when a DC voltage is not applied to the upper electrode 34, the ground conductor 10a and the upper electrode 34 are short-circuited by a switch (variable device) 504 so that the upper electrode 34 is grounded. ) 504 may be turned off, and the upper electrode 34 may be in a DC floating state.

また、図10のように、電気的にキャパシター501を形成している箇所を、電気的にキャパシタンスが可変できるように構成しても良い。これにより、上部電極のポテンシャルを可変することができる。   Further, as shown in FIG. 10, a portion where the capacitor 501 is electrically formed may be configured so that the capacitance can be electrically varied. Thereby, the potential of the upper electrode can be varied.

また、図11に示すように、例えばプラズマ検出窓10aからプラズマの状態を検出する検出器55を設け、その検出信号に基づいてコントローラ51が可変直流電源50を制御するようにすることにより、上述した機能を有効に発揮するような直流電圧を自動的に上部電極34に印加することが可能である。   As shown in FIG. 11, for example, a detector 55 that detects the state of plasma from the plasma detection window 10 a is provided, and the controller 51 controls the variable DC power supply 50 based on the detection signal, so that It is possible to automatically apply a direct current voltage to the upper electrode 34 so as to effectively perform the function.

また、シース厚を検出する検出器あるいは電子密度を検出する検出器を設け、その検出信号に基づいてコントローラ51が可変直流電源50を制御するようにしてもよい。   Further, a detector for detecting the sheath thickness or a detector for detecting the electron density may be provided, and the controller 51 may control the variable DC power supply 50 based on the detection signal.

ここで、下部RF二周波印加型で上部電極に直流電圧を印加するプラズマエッチング装置において、ウエハW上に形成された絶縁膜(例えばLow−k膜)をエッチングする際に、処理ガスとして使用するのが特に好ましいガスの組み合わせを下記に例示する。   Here, in a plasma etching apparatus that applies a DC voltage to the upper electrode with a lower RF dual frequency application type, it is used as a processing gas when etching an insulating film (for example, a low-k film) formed on the wafer W. Examples of particularly preferred gas combinations are given below.

ビアエッチングの条件におけるオーバーエッチング時に、使用するのが好ましい処理ガスの組み合わせとして、(C、Ar、N)または(C、Ar、N)または(C、Ar、N、O)または(C、Ar、N、CO)が挙げられる。これにより、絶縁膜の下地膜(SiC、SiN等)に対する選択比を大きくとることができる。 As a combination of processing gases preferably used during over-etching under the conditions of via etching, (C 5 F 8 , Ar, N 2 ) or (C 4 F 8 , Ar, N 2 ) or (C 4 F 8 , Ar, N 2 , O 2 ) or (C 4 F 8 , Ar, N 2 , CO). Thereby, the selection ratio of the insulating film to the base film (SiC, SiN, etc.) can be increased.

また、トレンチエッチングの条件では、使用するのが好ましい処理ガスの組み合わせとして、CFまたは(CF、Ar)または(N、H)が挙げられる。これにより、絶縁膜のマスクに対する選択比を大きくとることができる。 Moreover, CF 4 or (CF 4 , Ar) or (N 2 , H 2 ) can be given as a combination of processing gases that are preferably used under the conditions of trench etching. Thereby, the selection ratio of the insulating film to the mask can be increased.

また、絶縁膜上の有機反射防止膜をエッチングする条件では、使用するのが好ましい処理ガスの組み合わせとして、CFまたは(CF、C)または(CF、C)または(CF、C)が挙げられる。 Further, under the conditions for etching the organic antireflection film on the insulating film, CF 4 or (CF 4 , C 3 F 8 ) or (CF 4 , C 4 F 8 ) or (CF 4 , C 4 F 6 ).

また、HARCエッチングの条件では、使用するのが好ましい処理ガスの組み合わせとして、(C、CF、Ar、O)または(C、C、Ar、O)または(C、C、Ar、O)または(C、C、Ar、O)または(C、Ar、O)が挙げられる。これにより、絶縁膜のエッチング速度を大きくすることができる。 In addition, in the condition of HARC etching, a combination of processing gases preferably used is (C 4 F 6 , CF 4 , Ar, O 2 ) or (C 4 F 6 , C 3 F 8 , Ar, O 2 ). Or (C 4 F 6 , C 4 F 8 , Ar, O 2 ) or (C 4 F 6 , C 2 F 6 , Ar, O 2 ) or (C 4 F 8 , Ar, O 2 ). Thereby, the etching rate of the insulating film can be increased.

なお上記に限られず、(Cのガス/N,O等の添加ガス/希釈ガスの組み合わせ)を使用することが可能である。 The present invention is not limited to the above, and it is possible to use (a combination of C x H y F z gas / addition gas / dilution gas such as N 2 and O 2 ).

ところで、上部電極34に直流電圧を印加すると、上部電極34に電子がたまり、チャンバ10の内壁との間等に異常放電が生じるおそれがある。このような異常放電を抑制するため、本実施形態ではDC的に接地されたパーツであるGNDブロック(導電性部材)91をチャンバ壁側のデポシールド11に設けている。このGNDブロック91はプラズマ面に露出しており、デポシールド11の内部の導電部に電気的に接続されており、可変直流電源50から上部電極34に印加された直流電圧電流は、処理空間を経てGNDブロック91に到達し、デポシールド11を介して接地される。GNDブロック91は導電体であり、Si、SiC等のシリコン含有物質であることが望ましい。Cも好適に用いることができる。このGNDブロック91により、上記上部電極34にたまる電子を逃がすことができ、異常放電を防止することができる。GNDブロック91の突出長さは10mm以上であることが好ましい。   By the way, when a DC voltage is applied to the upper electrode 34, electrons accumulate in the upper electrode 34, and abnormal discharge may occur between the inner wall of the chamber 10 and the like. In order to suppress such abnormal discharge, in this embodiment, a GND block (conductive member) 91 which is a part grounded in a DC manner is provided on the deposition shield 11 on the chamber wall side. This GND block 91 is exposed to the plasma surface, and is electrically connected to the conductive portion inside the deposition shield 11, and the DC voltage and current applied from the variable DC power supply 50 to the upper electrode 34 passes through the processing space. Then, it reaches the GND block 91 and is grounded via the deposition shield 11. The GND block 91 is a conductor, and is preferably a silicon-containing material such as Si or SiC. C can also be suitably used. With this GND block 91, electrons accumulated in the upper electrode 34 can be released, and abnormal discharge can be prevented. The protruding length of the GND block 91 is preferably 10 mm or more.

また、異常放電を防止するために、上部電極34に直流電圧を印加する場合に、適宜の手段により直流電圧に重畳して図12に示すような極短い逆極性のパルスを周期的に与えて電子を中和する方法も有効である。   In order to prevent abnormal discharge, when a DC voltage is applied to the upper electrode 34, an extremely short reverse polarity pulse as shown in FIG. A method of neutralizing electrons is also effective.

上記GNDブロック91は、プラズマ形成領域に設けられていれば、その位置は図1の位置に限らず、例えば、図13に示すように、サセプタ16の周囲に設ける等、サセプタ16側に設けてもよく、また図14に示すように、上部電極34の外側にリング状に設ける等、上部電極34近傍に設けてもよい。ただし、プラズマを形成した際に、デポシールド11等に被覆されているYやポリマーが飛翔し、それがGNDブロック91に付着すると、DC的に接地されなくなって、異常放電防止効果を発揮し難くなるため、これらが付着し難いことが重要となる。そのためには、GNDブロック91がY等で被覆された部材から離れた位置であることが好ましく、隣接パーツとしてはSiや石英(SiO)等のSi含有物質であることが好ましい。例えば、図15の(a)に示すように、GNDブロック91の周囲にSi含有部材93を設けることが好ましい。この場合に、Si含有部材93のGNDブロック91の下の部分の長さLはGNDブロック91の突出長さM以上であることが好ましい。また、Yやポリマーの付着による機能低下を抑制するために、図15の(b)に示すように、GNDブロック91として飛翔物が付着し難い凹所91aを設けることが有効である。また、GNDブロック91の表面積を大きくして、Yやポリマーに覆われ難くすることも有効である。さらに、付着物を抑制するためには温度が高いことが有効であるが、上部電極34にはプラズマ形成用の高周波電力が供給され、その近傍の温度が上昇するため、温度を上昇させて付着物を付着させない観点から上記図14のように上部電極34の近傍に設けることも好ましい。この場合、特に、上記図14のように、上部電極34の外側にリング状に設けることがより好ましい。 As long as the GND block 91 is provided in the plasma formation region, the position is not limited to the position shown in FIG. 1. For example, as shown in FIG. 13, the GND block 91 is provided around the susceptor 16. Alternatively, as shown in FIG. 14, it may be provided in the vicinity of the upper electrode 34, for example, in the form of a ring outside the upper electrode 34. However, when the plasma is formed, if Y 2 O 3 or polymer coated on the deposition shield 11 or the like flies and adheres to the GND block 91, it will not be grounded in a DC manner, and an abnormal discharge prevention effect will be obtained. Since it becomes difficult to exhibit, it is important that these do not adhere easily. For this purpose, the GND block 91 is preferably located away from the member covered with Y 2 O 3 or the like, and the adjacent parts are preferably Si-containing substances such as Si or quartz (SiO 2 ). For example, as shown in FIG. 15A, it is preferable to provide a Si-containing member 93 around the GND block 91. In this case, it is preferable that the length L of the lower part of the Si-containing member 93 below the GND block 91 is equal to or longer than the protruding length M of the GND block 91. Further, in order to suppress the functional deterioration due to the adhesion of Y 2 O 3 or polymer, it is effective to provide a recess 91a as a GND block 91 to which flying objects are difficult to adhere as shown in FIG. . It is also effective to increase the surface area of the GND block 91 so that it is not easily covered with Y 2 O 3 or a polymer. In addition, a high temperature is effective for suppressing deposits, but high-frequency power for plasma formation is supplied to the upper electrode 34, and the temperature in the vicinity thereof increases. From the viewpoint of preventing the attachment of the kimono, it is also preferable to provide it near the upper electrode 34 as shown in FIG. In this case, in particular, as shown in FIG. 14, it is more preferable to provide a ring shape outside the upper electrode 34.

デポシールド11等に被覆されているYやポリマーの飛翔にともなうGNDブロック91への付着物の影響をより効果的に排除するためには、図16に示すように、GNDブロック91に負の直流電圧を印加可能にするのが効果的である。すなわち、GNDブロック91に負の直流電圧を印加することにより、そこに付着した付着物がスパッタまたはエッチングされ、GNDブロック91の表面をクリーニングすることができる。図16の構成においては、GNDブロック91に可変直流電源50から電圧印加が可能なように、GNDブロック91の接続を、可変直流電源50側と接地ラインとで切り替える切替機構53が設けられ、さらにGNDブロック91に負の直流電圧が印加された際に発生する直流電子電流を流入させる、接地された導電性補助部材91bが設けられている。切替機構53は、可変直流電源50の接続を整合器46側とGNDブロック91側との間で切り替える第1スイッチ53aと、GNDブロック91の接地ラインへの接続をオン・オフする第2スイッチ53bとを有している。なお、図53の例では、GNDブロック91が上部電極34の外側にリング状に設けられ、導電性補助部材91bがサセプタ16の外周に設けられており、この配置が好ましいが、必ずしもこのような配置でなくてもよい。 In order to more effectively eliminate the influence of deposits on the GND block 91 due to the flight of Y 2 O 3 or polymer coated on the deposition shield 11 or the like, as shown in FIG. It is effective to make it possible to apply a negative DC voltage. That is, by applying a negative DC voltage to the GND block 91, the deposits adhered thereto are sputtered or etched, and the surface of the GND block 91 can be cleaned. In the configuration of FIG. 16, a switching mechanism 53 is provided to switch the connection of the GND block 91 between the variable DC power supply 50 side and the ground line so that a voltage can be applied to the GND block 91 from the variable DC power supply 50. There is provided a grounded conductive auxiliary member 91b for allowing a direct current electronic current generated when a negative direct current voltage is applied to the GND block 91 to flow. The switching mechanism 53 includes a first switch 53a for switching the connection of the variable DC power supply 50 between the matching unit 46 side and the GND block 91 side, and a second switch 53b for turning on / off the connection of the GND block 91 to the ground line. And have. In the example of FIG. 53, the GND block 91 is provided in a ring shape outside the upper electrode 34, and the conductive auxiliary member 91b is provided on the outer periphery of the susceptor 16, and this arrangement is preferable. It may not be an arrangement.

図16の構成の装置において、プラズマエッチング時には、通常、図17の(a)に示すように、切替機構53の第1スイッチ53aが上部電極34側に接続され、可変直流電源50が上部電極34側に接続された状態となり、かつ第2スイッチ53bがオンにされ、GNDブロック91が接地ライン側に接続される。この状態においては、第1の高周波電源48および可変直流電源50から上部電極34に給電されてプラズマが形成され、直流電子電流は、プラズマを介して上部電極34から接地されているGNDブロック91および導電性補助部材91bに流入する(正イオン電流の流れの向きは逆となる)。このとき、GNDブロック91の表面は、上述したようなYやポリマー等の付着物で被覆されることがある。 In the apparatus having the configuration of FIG. 16, during plasma etching, the first switch 53 a of the switching mechanism 53 is normally connected to the upper electrode 34 side and the variable DC power supply 50 is connected to the upper electrode 34 as shown in FIG. The second switch 53b is turned on, and the GND block 91 is connected to the ground line side. In this state, the first high frequency power supply 48 and the variable DC power supply 50 are fed to the upper electrode 34 to form plasma, and the DC electron current is connected to the GND block 91 grounded from the upper electrode 34 through the plasma and It flows into the conductive auxiliary member 91b (the direction of positive ion current flow is reversed). At this time, the surface of the GND block 91 may be covered with the deposit such as Y 2 O 3 or polymer as described above.

このため、このような付着物をクリーニングする。このようなクリーニング時には、図17の(b)に示すように、切替機構53の第1スイッチ53aをGNDブロック91側に切り替え、第2スイッチ53bをオフにする。この状態においては、第1の高周波電源48から上部電極34に給電されてクリーニングプラズマが形成され、可変直流電源50から負の直流電圧がGNDブロック91に印加される。これにより、直流電子電流はGNDブロック91から導電性補助部材91bに流入する。逆に正イオンはGNDブロック91に流入する。このため、直流電圧を調整してGNDブロック91への正イオンの入射エネルギーを制御することにより、GNDブロック91表面をイオンスパッタすることができ、これによりGNDブロック91表面の付着物を除去することができる。   For this reason, such deposits are cleaned. At the time of such cleaning, as shown in FIG. 17B, the first switch 53a of the switching mechanism 53 is switched to the GND block 91 side, and the second switch 53b is turned off. In this state, power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form cleaning plasma, and a negative DC voltage is applied from the variable DC power supply 50 to the GND block 91. As a result, the DC electron current flows from the GND block 91 into the conductive auxiliary member 91b. Conversely, positive ions flow into the GND block 91. For this reason, the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91, thereby removing the deposits on the surface of the GND block 91. Can do.

また、プラズマエッチング時の一部の期間において、図18に示すように、第2スイッチ53bをオフにし、GNDブロック91をフローティング状態としてもよい。このとき、直流電子電流は、プラズマを介して上部電極34から導電性補助部材91bに流入する(正イオン電流の流れの向きは逆となる)。このときGNDブロック91にはセルフバイアス電圧がかかり、その分のエネルギーをもって正イオンが入射され、プラズマエッチング時にGNDブロック91をクリーニングすることができる。   Further, in a part of the period during the plasma etching, as shown in FIG. 18, the second switch 53b may be turned off and the GND block 91 may be in a floating state. At this time, the DC electron current flows from the upper electrode 34 into the conductive auxiliary member 91b via the plasma (the direction of the positive ion current is reversed). At this time, a self-bias voltage is applied to the GND block 91, and positive ions are incident with the corresponding energy, so that the GND block 91 can be cleaned during plasma etching.

なお、上記クリーニング時においては、印加する直流電圧は小さくてよく、その際の直流電子電流は小さい。このため、図16の構成において、リーク電流によりGNDブロック91に電荷がたまらないようにできる場合には、必ずしも導電性補助部材91bは必要ない。   During the cleaning, the applied DC voltage may be small, and the DC electron current at that time is small. For this reason, in the configuration of FIG. 16, the conductive auxiliary member 91 b is not necessarily required when electric charges are not accumulated in the GND block 91 due to the leakage current.

上記図16の例では、クリーニング時に、可変直流電源50の接続を上部電極34側からGND電極91側に切り替え、直流電圧を印加した際の直流電子電流がGNDブロック91から導電性補助部材91aへ流れるようにしたが、可変直流電源50の正極を上部電極34に接続し、負極をGNDブロック91に接続し、直流電圧を印加した際の直流電子電流がGNDブロック91から上部電極34へ流れるようにしてもよい。この場合は、導電性補助部材は不要である。このような構成を図19に示す。図19の構成においては、プラズマエッチング時には、可変直流電源50の負極が上部電極34に接続され、かつGNDブロック91が接地ラインに接続され、クリーニング時には、可変直流電源50の正極が上部電極34に接続され、負極がGNDブロック91に接続されるように、接続を切り替える接続切替機構57が設けられている。この接続切替機構57は、上部電極34に対する可変直流電源50の接続を正極と負極との間で切り替える第1スイッチ57aと、GNDブロック91に対する可変直流電源50の接続を正極と負極との間で切り替える第2スイッチ57bと、可変直流電源50の正極または負極を接地するための第3スイッチ57cとを有している。第1スイッチ57aと第2スイッチ57bとは、第1スイッチ57aが可変直流電源50の正極に接続されている際には第2スイッチ57bが直流電源の負極に接続され、第1スイッチ57aが可変直流電源50の負極に接続されている際には第2スイッチ57bがオフになるように連動する連動スイッチを構成している。   In the example of FIG. 16 described above, at the time of cleaning, the connection of the variable DC power supply 50 is switched from the upper electrode 34 side to the GND electrode 91 side, and a DC electron current when a DC voltage is applied is transferred from the GND block 91 to the conductive auxiliary member 91a. However, the positive electrode of the variable DC power supply 50 is connected to the upper electrode 34, the negative electrode is connected to the GND block 91, and a DC electron current when a DC voltage is applied flows from the GND block 91 to the upper electrode 34. It may be. In this case, the conductive auxiliary member is not necessary. Such a configuration is shown in FIG. In the configuration of FIG. 19, the negative electrode of the variable DC power supply 50 is connected to the upper electrode 34 and the GND block 91 is connected to the ground line during plasma etching, and the positive electrode of the variable DC power supply 50 is connected to the upper electrode 34 during cleaning. A connection switching mechanism 57 for switching the connection is provided so that the negative electrode is connected to the GND block 91. The connection switching mechanism 57 includes a first switch 57a for switching the connection of the variable DC power supply 50 to the upper electrode 34 between the positive electrode and the negative electrode, and a connection of the variable DC power supply 50 to the GND block 91 between the positive electrode and the negative electrode. A second switch 57b for switching and a third switch 57c for grounding the positive electrode or the negative electrode of the variable DC power supply 50 are provided. The first switch 57a and the second switch 57b are configured such that when the first switch 57a is connected to the positive electrode of the variable DC power supply 50, the second switch 57b is connected to the negative electrode of the DC power supply, and the first switch 57a is variable. When connected to the negative electrode of the DC power supply 50, an interlocking switch is configured to interlock so that the second switch 57b is turned off.

図19の構成の装置において、プラズマエッチング時には、図20の(a)に示すように、接続切替機構57の第1スイッチ57aが可変直流電源50の負極側に接続され、可変直流電源50の負極が上部電極34側に接続された状態となり、かつ第2スイッチ57bが可変直流電源50の正極側に接続され、第3スイッチ57cが可変直流電源50の正極側に接続され(可変直流電源50の正極を接地)、GNDブロック91が接地ライン側に接続される。この状態においては、第1の高周波電源48および可変直流電源50から上部電極34に給電されてプラズマが形成され、直流電子電流は、プラズマを介して上部電極34から接地されているGNDブロック91に流入する(正イオン電流の流れの向きは逆となる)。このとき、GNDブロック91の表面は、上述したようなYやポリマー等の付着物で被覆されることがある。 In the apparatus having the configuration shown in FIG. 19, during plasma etching, as shown in FIG. 20A, the first switch 57 a of the connection switching mechanism 57 is connected to the negative electrode side of the variable DC power supply 50, and the negative electrode of the variable DC power supply 50 is connected. Is connected to the upper electrode 34 side, the second switch 57b is connected to the positive side of the variable DC power supply 50, and the third switch 57c is connected to the positive side of the variable DC power supply 50 (of the variable DC power supply 50). The GND block 91 is connected to the ground line side. In this state, power is supplied from the first high frequency power supply 48 and the variable DC power supply 50 to the upper electrode 34 to form plasma, and the DC electron current is applied to the GND block 91 grounded from the upper electrode 34 through the plasma. Inflow (the direction of positive ion current flow is reversed). At this time, the surface of the GND block 91 may be covered with the deposit such as Y 2 O 3 or polymer as described above.

一方、クリーニング時には、図20の(b)に示すように、接続切替機構57の第1スイッチ57aを可変直流電源50の正極側に切り替え、第2スイッチ57bを可変直流電源50の負極側に切り替え、さらに第3スイッチ57cを未接続状態とする。この状態においては、第1の高周波電源48から上部電極34に給電されてクリーニングプラズマが形成され、GNDブロック91には可変直流電源50の負極から、上部電極34には可変直流電源50の正極から、直流電圧が印加され、これらの間の電位差により直流電子電流はGNDブロック91から上部電極34に流入し、逆に正イオンはGNDブロック91に流入する。このため、直流電圧を調整してGNDブロック91への正イオンの入射エネルギーを制御することにより、GNDブロック91表面をイオンスパッタすることができ、これによりGNDブロック91表面の付着物を除去することができる。なお、この場合に可変直流電源50は見かけ上フローティング状態であるが、一般的に電源にはフレーム接地ラインが設けられているので安全である。   On the other hand, at the time of cleaning, as shown in FIG. 20B, the first switch 57a of the connection switching mechanism 57 is switched to the positive side of the variable DC power supply 50, and the second switch 57b is switched to the negative side of the variable DC power supply 50. Further, the third switch 57c is brought into an unconnected state. In this state, power is supplied from the first high frequency power supply 48 to the upper electrode 34 to form a cleaning plasma, and the GND block 91 is supplied from the negative electrode of the variable DC power supply 50 and the upper electrode 34 is supplied from the positive electrode of the variable DC power supply 50. A DC voltage is applied, and a DC electron current flows from the GND block 91 to the upper electrode 34 due to a potential difference therebetween, and conversely, positive ions flow into the GND block 91. For this reason, the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91, thereby removing the deposits on the surface of the GND block 91. Can do. In this case, the variable DC power supply 50 is apparently in a floating state. However, since the power supply is generally provided with a frame ground line, it is safe.

また、上記例では第3スイッチ57cを未接続状態としたが、可変直流電源50の正極側に接続のまま(可変直流電源50の正極を接地)としてもよい。この状態においては、第1の高周波電源48から上部電極34に給電されてクリーニングプラズマが形成され、GNDブロック91には可変直流電源50の負極から直流電圧が印加され、直流電子電流はプラズマを介してGNDブロック91から上部電極34に流入し、逆に正イオンはGNDブロック91に流入する。この場合においても、直流電圧を調整してGNDブロック91への正イオンの入射エネルギーを制御することにより、GNDブロック91表面をイオンスパッタすることができ、これによりGNDブロック91表面の付着物を除去することができる。   In the above example, the third switch 57c is not connected, but may be connected to the positive side of the variable DC power supply 50 (the positive electrode of the variable DC power supply 50 is grounded). In this state, a cleaning plasma is formed by supplying power from the first high frequency power supply 48 to the upper electrode 34, a direct current voltage is applied to the GND block 91 from the negative electrode of the variable direct current power supply 50, and a direct current electron current passes through the plasma. Thus, the positive ions flow from the GND block 91 into the upper electrode 34, and conversely, positive ions flow into the GND block 91. Even in this case, the surface of the GND block 91 can be ion-sputtered by adjusting the DC voltage and controlling the incident energy of positive ions to the GND block 91, thereby removing the deposit on the surface of the GND block 91. can do.

なお、図16よび図19の例では、クリーニングの際にGNDブロック91に直流電圧を印加したが、交流電圧を印加してもよい。また、図16の例において、上部電極に直流電圧を印加するための可変直流電源50を用いてGNDブロック91に電圧を印加したが、別の電源から電圧を印加するようにしてもよい。また、図16および図19の例では、プラズマエッチング時にGNDブロック91を接地させ、クリーニング時にGNDブロック91に負の直流電圧を印加する形態を説明したが、これに限られない。例えば、プラズマエッチング時にGNDブロック91に負の直流電圧を印加してもよい。また、上記のクリーニング時をアッシング時に置き換えてもよい。さらに、可変直流電源50としてバイポーラ電源を用いた場合には、上記接続切替機構57のような複雑なスイッチング動作は不要である。   In the examples of FIGS. 16 and 19, a DC voltage is applied to the GND block 91 during cleaning, but an AC voltage may be applied. In the example of FIG. 16, the voltage is applied to the GND block 91 using the variable DC power supply 50 for applying a DC voltage to the upper electrode, but the voltage may be applied from another power supply. In the examples of FIGS. 16 and 19, the GND block 91 is grounded during plasma etching and a negative DC voltage is applied to the GND block 91 during cleaning. However, the present invention is not limited to this. For example, a negative DC voltage may be applied to the GND block 91 during plasma etching. Further, the above cleaning may be replaced during ashing. Further, when a bipolar power source is used as the variable DC power source 50, a complicated switching operation like the connection switching mechanism 57 is not necessary.

図16の例における切替機構53、図19の例における接続切替機構57の切り替え動作は、制御部95からの指令に基づいて行われる。   The switching operation of the switching mechanism 53 in the example of FIG. 16 and the connection switching mechanism 57 in the example of FIG. 19 is performed based on a command from the control unit 95.

プラズマを形成した際において、YやポリマーがGNDブロック91へ付着することによってDC的に接地されなくなることを簡易に防止する観点からは、GNDブロック91の一部を他の部材で覆い、これらに相対移動を生じさせることにより、GNDブロック91の新たな面が露出するようにすることが有効である。具体的には、図21に示すように、GNDブロック91を比較的大面積として、GNDブロック91のプラズマが当たる表面の一部を矢印方向に移動可能なマスク材211で覆い、この保護板211を移動することにより、GNDブロック91表面のプラズマに曝される部分を変えることを可能とすることを挙げることができる。この場合に駆動機構をチャンバ10内に設けるとパーティクル発生を引き起こす懸念があるが、百時間に一度程度と少ない頻度でよいので大きな問題は生じない。また、図22に示すように、例えば円柱状のGNDブロック191を回転可能に設け、GNDブロック191の外周面の一部のみが露出可能なようにマスク材212で覆うようにし、GNDブロック191を回転させることにより、プラズマに曝されている部分を変えるようにすることも有効である。この場合には、駆動機構はチャンバ10外に設けることができる。マスク材211,212としては、耐プラズマ性の高いもの、例えばY等のセラミックスを溶射したアルミ板を用いることができる。 When the plasma is formed, from the viewpoint of easily preventing Y 2 O 3 or the polymer from adhering to the GND block 91 from being DC grounded, a part of the GND block 91 is covered with another member. It is effective to expose a new surface of the GND block 91 by causing relative movement therebetween. Specifically, as shown in FIG. 21, the GND block 91 has a relatively large area, and a part of the surface of the GND block 91 that is exposed to plasma is covered with a mask material 211 that can move in the direction of the arrow, and this protective plate 211 It is possible to change the portion exposed to the plasma on the surface of the GND block 91 by moving. In this case, if the drive mechanism is provided in the chamber 10, there is a concern that the generation of particles may occur. However, since the frequency may be as low as once every 100 hours, no major problem occurs. Further, as shown in FIG. 22, for example, a cylindrical GND block 191 is rotatably provided, and is covered with a mask material 212 so that only a part of the outer peripheral surface of the GND block 191 can be exposed. It is also effective to change the part exposed to the plasma by rotating it. In this case, the drive mechanism can be provided outside the chamber 10. As the mask materials 211 and 212, a high plasma resistance material, for example, an aluminum plate sprayed with ceramics such as Y 2 O 3 can be used.

また、同様にGNDブロック91が付着物によってDC的に接地されなくなることを簡易に防止するための他の手法としては、GNDブロック91の一部を他の部材で覆い、この他の部材としてプラズマにより徐々にエッチングされるものを用いて、GNDブロック91が常に導電性を失っていない面が露出するようにすることが有効である。例えば、図23の(a)に示すように、段付きの保護膜213でGNDブロック91表面の一部を覆い、初期露出面91cに接地機能を持たせる。この状態でプラズマ処理を例えば200時間行うと、図23の(b)に示すように、GNDブロック91の初期露出面91cが導電性を失うが、その際に段付きの保護膜213の薄い部分がエッチングされてGNDブロック91の新露出面91dが現れるようにする。これにより新露出面91dが接地機能を発揮するようになる。このような保護膜213は、GNDブロック91へ壁面材料が付着するのを防止する効果と、GNDブロック91へのイオンの流入を減少させて汚染を防止する効果を有する。   Similarly, as another method for easily preventing the GND block 91 from being DC-grounded by the deposit, a part of the GND block 91 is covered with another member, and plasma is used as the other member. It is effective that the surface where the GND block 91 does not always lose conductivity is exposed by using what is gradually etched. For example, as shown in FIG. 23A, a part of the surface of the GND block 91 is covered with a stepped protective film 213, and the initial exposed surface 91c has a grounding function. When the plasma treatment is performed for 200 hours in this state, for example, as shown in FIG. 23B, the initial exposed surface 91c of the GND block 91 loses conductivity, but at that time, a thin portion of the stepped protective film 213 is formed. Is etched so that a new exposed surface 91d of the GND block 91 appears. As a result, the new exposed surface 91d exhibits a grounding function. Such a protective film 213 has the effect of preventing the wall surface material from adhering to the GND block 91 and the effect of reducing the inflow of ions to the GND block 91 to prevent contamination.

実際の適用においては、図24に示すように、薄い層214を多数積層して各層を少しずつずらした保護膜213aを用いることが好ましい。この場合に、1つの層214がプラズマによるエッチングによって消失する時間をTeとし、GNDブロック91の露出した表面が汚染されて導電性を消失するまでの時間をTpとすると、かならずTe<Tpを満たすように層214の厚さを設定することにより、GNDブロック91において常に導電性を保った表面を確保することができる。層214の数としては、メンテナンスの周期よりもGNDブロック91の寿命のほうが長くなるように選ぶことが好ましい。また、メンテナンス性の向上のために、図示するように他とは異なる色を付けた層214aを1層設けておき、例えばこの層214aが一定面積以上となった時点で交換するようして交換時期を把握することができる。   In actual application, as shown in FIG. 24, it is preferable to use a protective film 213a in which a large number of thin layers 214 are stacked and each layer is slightly shifted. In this case, assuming that the time until one layer 214 disappears by etching with plasma is Te and the time until the exposed surface of the GND block 91 is contaminated and loses conductivity is Tp, it always satisfies Te <Tp. By setting the thickness of the layer 214 as described above, it is possible to ensure a surface that is always conductive in the GND block 91. The number of layers 214 is preferably selected so that the lifetime of the GND block 91 is longer than the maintenance cycle. In order to improve maintainability, one layer 214a with a different color as shown in the figure is provided as shown in the figure. For example, the layer 214a is replaced when the layer 214a exceeds a certain area. You can grasp the time.

保護膜213、213aとしては、プラズマにより適度にエッチングされるものが好ましく、例えば、フォトレジスト膜を好適に用いることができる。   As the protective films 213 and 213a, those which are appropriately etched by plasma are preferable. For example, a photoresist film can be suitably used.

GNDブロック91が付着物によってDC的に接地されなくなることを簡易に防止するためのさらに他の方法としては、GNDブロック91を複数設け、その中で接地機能を奏するものを順次切り替えていくことを挙げることができる。例えば、図25に示すように、3つのGNDブロック91を設け、これらの一つのみを接地させるように切り替えスイッチ215を設ける。また、共通の接地ライン216には、電流センサー217を設けておき、そこに流れる直流電流をモニターする。接地されているGNDブロック91の電流を電流センサー217でモニターし、その電流値が所定値より低くなった時点で、接地機能を奏しないとして別のGNDブロック91に切り替える。なお、GNDブロック91の数は3〜10個程度の範囲で適当な数を選択すればよい。   As yet another method for easily preventing the GND block 91 from being grounded in a DC manner due to deposits, a plurality of GND blocks 91 are provided, and the ones having a grounding function are sequentially switched. Can be mentioned. For example, as shown in FIG. 25, three GND blocks 91 are provided, and a changeover switch 215 is provided so that only one of them is grounded. Further, a current sensor 217 is provided on the common ground line 216, and a direct current flowing therethrough is monitored. The current of the grounded GND block 91 is monitored by the current sensor 217, and when the current value becomes lower than a predetermined value, the grounding function is not performed and switching to another GND block 91 is performed. Note that the number of the GND blocks 91 may be selected in a range of about 3 to 10.

以上の例においては、接地されていないGNDブロックは電気的にフローティング状態となっているが、使っていないGNDブロックを保護する観点から、切り替えスイッチ215を設ける代わりに、保護するためのポテンシャルを印加できるようにしてもよい。その例を図26に示す。図26では各GNDブロック91に個別に接続された接地ライン218にそれぞれ可変直流電源219を設ける。これにより、接地機能を発揮させるべきGNDブロック91の電圧が0Vになるようにそれに対応する可変直流電源219の電圧を制御し、他のGNDブロック91については、電流が流れない電圧、例えば100Vになるように対応する可変直流電源219の電圧を制御する。そして、接地機能を発揮させるべきGNDブロック91に接続されている接地ライン218に設けられた電流センサー217の電流値が所定値より低くなった時点で、接地機能を奏しなくなったと判断して、別のGNDブロック91に対応する可変直流電源219の電圧値をそのGNDブロックが接地機能を奏する値に制御する。   In the above example, the GND block that is not grounded is in an electrically floating state, but from the viewpoint of protecting the GND block that is not used, a potential for protection is applied instead of providing the changeover switch 215. You may be able to do it. An example is shown in FIG. In FIG. 26, variable DC power supplies 219 are provided on the ground lines 218 individually connected to the GND blocks 91, respectively. As a result, the voltage of the variable DC power supply 219 corresponding to the GND block 91 that should exhibit the grounding function is controlled to be 0V, and other GND blocks 91 are set to a voltage at which no current flows, for example, 100V. The voltage of the corresponding variable DC power supply 219 is controlled so as to be. Then, when the current value of the current sensor 217 provided in the ground line 218 connected to the GND block 91 that should exhibit the grounding function becomes lower than a predetermined value, it is determined that the grounding function is not performed. The voltage value of the variable DC power supply 219 corresponding to the GND block 91 is controlled to a value at which the GND block performs the ground function.

なお、このように直流電源219からの印加電圧を−1kV程度の負の値とすることにより、それに接続されたGNDブロック219をプラズマに直流電圧を与えるための電極として機能させることができる。ただし、この値があまり大きくてもプラズマへ悪影響を与えてしまう。また、GNDブロック91に印加する電圧を制御することにより、GNDブロック91に対するクリーニング効果を奏することができる。   In this way, by setting the applied voltage from the DC power supply 219 to a negative value of about −1 kV, the GND block 219 connected thereto can function as an electrode for applying a DC voltage to the plasma. However, even if this value is too large, it will adversely affect the plasma. Further, by controlling the voltage applied to the GND block 91, a cleaning effect on the GND block 91 can be achieved.

なお、上記第1の高周波電力および第2の高周波電力の採り得る周波数を例示すると、第1の高周波電力としては、13.56MHz、27MHz、40MHz、60MHz、80MHz、100MHz、160MHzを挙げることができ、第2の高周波電力としては、380kHz、800kHz、1MHz、2MHz、3.2MHz、13.56MHzを挙げることができ、プロセスに応じて適宜の組み合わせで用いることができる。   In addition, when the frequency which the said 1st high frequency power and the 2nd high frequency power can take is illustrated, as the 1st high frequency power, 13.56MHz, 27MHz, 40MHz, 60MHz, 80MHz, 100MHz, 160MHz can be mentioned. Examples of the second high-frequency power include 380 kHz, 800 kHz, 1 MHz, 2 MHz, 3.2 MHz, and 13.56 MHz, and they can be used in an appropriate combination depending on the process.

また、以上では、プラズマエッチング装置を例に説明したが、他のプラズマを用いて半導体基板を処理する装置にも適用可能である。例えばプラズマ成膜装置が挙げられる。   In the above description, the plasma etching apparatus has been described as an example. However, the present invention can also be applied to an apparatus for processing a semiconductor substrate using another plasma. For example, a plasma film forming apparatus can be mentioned.

本発明の一実施形態に係るプラズマエッチング装置を示す概略断面図。1 is a schematic sectional view showing a plasma etching apparatus according to an embodiment of the present invention. 本発明の一実施形態に係るプラズマエッチング装置を示す概略断面図。1 is a schematic sectional view showing a plasma etching apparatus according to an embodiment of the present invention. 図2のプラズマエッチング装置において、上部電極に直流電圧を印加した際のVdcおよびプラズマシース厚の変化を示す図。The figure which shows the change of Vdc and plasma sheath thickness at the time of applying a DC voltage to an upper electrode in the plasma etching apparatus of FIG. 図2のプラズマエッチング装置において、HARCエッチングの条件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。The figure which shows the change of the electron density at the time of changing the direct-current voltage to be applied using the conditions of HARC etching in the plasma etching apparatus of FIG. 図2のプラズマエッチング装置において、Viaエッチングの条件を用い、印加する直流電圧を変化させた場合の電子密度の変化を示す図。The figure which shows the change of the electron density at the time of changing the applied DC voltage using the conditions of Via etching in the plasma etching apparatus of FIG. 上記HARCエッチングで、第1の高周波電力を3000W、第2の高周波電力を4000Wにした場合のウエハ径方向の電子密度分布を示す図。The figure which shows the electron density distribution of a wafer radial direction at the time of making the 1st high frequency electric power 3000W and the 2nd high frequency electric power 4000W by the said HARC etching. トレンチエッチングの条件を用い、直流電圧を印加した場合と印加しない場合とでウエハ径方向の電子密度分布を測定した結果を示す図。The figure which shows the result of having measured the electron density distribution of the wafer radial direction by the case where a DC voltage is applied and the case where it does not apply using the conditions of trench etching. 図2のプラズマエッチング装置における、上部電極の電気的状態を表す図。The figure showing the electrical state of an upper electrode in the plasma etching apparatus of FIG. 図2のプラズマエッチング装置における、上部電極の電気的状態を表す図。The figure showing the electrical state of an upper electrode in the plasma etching apparatus of FIG. 図2のプラズマエッチング装置における、上部電極の電気的状態を表す図。The figure showing the electrical state of an upper electrode in the plasma etching apparatus of FIG. 図2のプラズマエッチング装置において、プラズマを検出する検出器を設けた状態を示す断面図。Sectional drawing which shows the state which provided the detector which detects a plasma in the plasma etching apparatus of FIG. 図1のプラズマエッチング装置において、上部電極へ直流電圧を印加する際に異常放電を抑制するための波形を示す図。The figure which shows the waveform for suppressing abnormal discharge, when applying a DC voltage to an upper electrode in the plasma etching apparatus of FIG. GNDブロックの他の配置例を示す概略図。Schematic which shows the other example of arrangement | positioning of a GND block. GNDブロックのさらに他の配置例を示す概略図。Schematic which shows the further example of arrangement | positioning of a GND block. GNDブロックの付着物防止例を説明するための図。The figure for demonstrating the adhesion prevention example of a GND block. GNDブロックの付着物を除去可能な装置構成の一例を示す概略図。Schematic which shows an example of the apparatus structure which can remove the deposit | attachment of a GND block. 図18の装置におけるプラズマエッチング時における状態とクリーニング時における状態を説明するための概略図。The schematic for demonstrating the state at the time of the plasma etching in the apparatus of FIG. 18, and the state at the time of cleaning. 図18の装置におけるプラズマエッチン時における他の状態を示す概略図。Schematic which shows the other state at the time of plasma etching in the apparatus of FIG. GNDブロックの付着物を除去可能な装置構成の他の例を示す概略図。Schematic which shows the other example of the apparatus structure which can remove the deposit | attachment of a GND block. 図21の装置におけるプラズマエッチング時における状態とクリーニング時における状態を説明するための概略図。Schematic for demonstrating the state at the time of plasma etching and the state at the time of cleaning in the apparatus of FIG. DC的に接地されなくなることを防止する機能を備えたGNDブロックの一例を示す模式図。The schematic diagram which shows an example of the GND block provided with the function which prevents that it is no longer grounded by DC. DC的に接地されなくなることを防止する機能を備えたGNDブロックの他の例を示す模式図。The schematic diagram which shows the other example of the GND block provided with the function which prevents that it is no longer grounded by DC. DC的に接地されなくなることを防止する機能を備えたGNDブロックのさらに他の例を示す模式図。The schematic diagram which shows the further another example of the GND block provided with the function which prevents that it is not grounded like DC. DC的に接地されなくなることを防止する機能を備えたGNDブロックのさらに他の例を示す模式図。The schematic diagram which shows the further another example of the GND block provided with the function which prevents that it is not grounded like DC. DC的に接地されなくなることを防止する機能を備えたGNDブロックのさらに他の例を示す模式図。The schematic diagram which shows the further another example of the GND block provided with the function which prevents that it is not grounded like DC. DC的に接地されなくなることを防止する機能を備えたGNDブロックのさらに他の例を示す模式図。The schematic diagram which shows the further another example of the GND block provided with the function which prevents that it is not grounded like DC.

符号の説明Explanation of symbols

10…チャンバ(処理容器)
16…サセプタ(下部電極)
34…上部電極
46a…ローパスフィルタ
50…可変直流電源
51…コントローラ
52…オン・オフスイッチ
66…処理ガス供給源
84…排気装置
89…第1の高周波電源
90…第2の高周波電源
91…GNDブロック
W…半導体ウエハ(被処理基板)
10 ... Chamber (processing container)
16 ... susceptor (lower electrode)
34 ... Upper electrode 46a ... Low pass filter 50 ... Variable DC power supply 51 ... Controller 52 ... On / off switch 66 ... Processing gas supply source 84 ... Exhaust device 89 ... First high frequency power supply 90 ... Second high frequency power supply 91 ... GND block W: Semiconductor wafer (substrate to be processed)

Claims (55)

被処理基板が収容され、真空排気可能な処理容器と、
処理容器内に対向して配置される第1電極および被処理基板を支持する第2電極と、
前記第2電極に相対的に周波数の高い第1の高周波電力を印加する第1の高周波電力印加ユニットと、
前記第2電極に相対的に周波数の低い第2の高周波電力を印加する第2の高周波電力印加ユニットと、
前記第1電極に直流電圧を印加する直流電源と、
前記処理容器内に処理ガスを供給する処理ガス供給ユニットと
を具備することを特徴とするプラズマ処理装置。
A processing container in which a substrate to be processed is accommodated and evacuated;
A first electrode disposed opposite to the processing container and a second electrode for supporting the substrate to be processed;
A first high-frequency power application unit that applies a first high-frequency power having a relatively high frequency to the second electrode;
A second high-frequency power application unit that applies a second high-frequency power having a relatively low frequency to the second electrode;
A DC power supply for applying a DC voltage to the first electrode;
A plasma processing apparatus comprising: a processing gas supply unit that supplies a processing gas into the processing container.
前記直流電源は、前記第1電極への印加電圧、印加電流および印加電力のいずれかが可変であることを特徴とする請求項1に記載のプラズマ処理装置。   2. The plasma processing apparatus according to claim 1, wherein any one of an applied voltage, an applied current, and an applied power to the first electrode is variable. 前記直流電源から前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御する制御装置をさらに具備することを特徴とする請求項2に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 2, further comprising a control device that controls any one of an applied voltage, an applied current, and an applied power from the DC power source to the first electrode. 前記制御装置は、前記直流電源から前記第1電極への直流電圧の印加可否を制御することを特徴とする請求項3に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 3, wherein the control device controls whether or not a DC voltage can be applied from the DC power source to the first electrode. 生成されたプラズマの状態を検出する検出器をさらに具備し、この検出器の情報に基づいて前記制御装置が前記直流電源から前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御することを特徴とする請求項3に記載のプラズマ処理装置。   A detector for detecting the state of the generated plasma is further provided, and based on the information of the detector, the control device determines one of the applied voltage, applied current, and applied power from the DC power source to the first electrode. The plasma processing apparatus according to claim 3, wherein the plasma processing apparatus is controlled. 前記第1電極は上部電極であり、前記第2電極は下部電極であることを特徴とする請求項1から請求項5に記載のプラズマ処理装置。   6. The plasma processing apparatus according to claim 1, wherein the first electrode is an upper electrode, and the second electrode is a lower electrode. 前記第2電極に印加される第1の高周波電力の周波数は27MHz以上であることを特徴とする請求項6に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 6, wherein the frequency of the first high-frequency power applied to the second electrode is 27 MHz or more. 前記第2電極に印加される第1の高周波電力の周波数は40MHz以上であることを特徴とする請求項7に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 7, wherein the frequency of the first high-frequency power applied to the second electrode is 40 MHz or more. 前記第2電極に印加される第2の高周波電力の周波数は13.56MHz以下であることを特徴とする請求項6から請求項8のいずれか1項に記載のプラズマ処理装置。   9. The plasma processing apparatus according to claim 6, wherein a frequency of the second high-frequency power applied to the second electrode is 13.56 MHz or less. 前記直流電源は、−2000〜+1000Vの範囲の電圧を印加することを特徴とする請求項1から請求項9のいずれか1項に記載のプラズマ処理装置。   The plasma processing apparatus according to any one of claims 1 to 9, wherein the DC power supply applies a voltage in a range of -2000 to + 1000V. 前記第1電極の前記第2電極との対向面は、シリコン含有物質で形成されていることを特徴とする請求項1から請求項10のいずれか1項に記載のプラズマ処理装置。   11. The plasma processing apparatus according to claim 1, wherein a surface of the first electrode facing the second electrode is formed of a silicon-containing material. 前記第1電極は、接地電位に対して直流的にフローティング状態であることを特徴とする請求項1から請求項11のいずれか1項に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 1, wherein the first electrode is in a DC floating state with respect to a ground potential. 前記第1電極をフローティング状態と接地状態との間で変化可能な可変装置を有し、全体制御装置からの指令に基づいて、前記第1電極に直流電圧が印加されているとき前記可変装置は前記第1電極を接地電位に対してフローティング状態とし、前記第1電極に直流電圧が印加されていないとき前記可変装置は前記第1電極を接地電位に対してフローティング状態あるいは接地状態のいずれかとすることを特徴とする請求項12に記載のプラズマ処理装置。   The variable device has a variable device capable of changing the first electrode between a floating state and a grounded state, and when a DC voltage is applied to the first electrode based on a command from the overall control device, the variable device is The first electrode is in a floating state with respect to a ground potential, and when no DC voltage is applied to the first electrode, the variable device sets the first electrode in a floating state or a ground state with respect to the ground potential. The plasma processing apparatus according to claim 12. 前記第1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、常時接地されている導電性部材を前記処理容器内に設けることを特徴とする請求項1から請求項13のいずれか1項に記載のプラズマ処理装置。   2. A conductive member that is always grounded is provided in the processing vessel in order to release a current based on a DC voltage from the DC power source applied to the first electrode through plasma. The plasma processing apparatus according to claim 1. 前記第1電極は上部電極であり、前記第2電極は下部電極であり、前記導電性部材は、前記第2電極の周囲に設置されることを特徴とする請求項14に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 14, wherein the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode. . 前記第1電極は上部電極であり、前記第2電極は下部電極であり、前記導電性部材は、前記第1電極の近傍に配置されることを特徴とする請求項14に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 14, wherein the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed in the vicinity of the first electrode. . 前記導電性部材は、前記第1電極の外側にリング状に配置されることを特徴とする請求項16に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 16, wherein the conductive member is arranged in a ring shape outside the first electrode. 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有していることを特徴とする請求項14に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 14, wherein the conductive member has a recess for preventing adhesion of flying objects during the plasma processing. 前記導電性部材の一部を覆う保護板を有し、前記保護板を前記導電性部材に対して相対移動させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化することを特徴とする請求項14に記載のプラズマ処理装置。   A portion of the conductive member exposed to plasma is changed by a driving mechanism that includes a protective plate that covers a part of the conductive member and moves the protective plate relative to the conductive member. The plasma processing apparatus according to claim 14. 前記導電性部材はその一部がプラズマに露出される円柱形状であり、前記導電性部材を円柱の軸を中心に回転させる駆動機構により、前記導電性部材のプラズマに露出される部分が変化することを特徴とする請求項14に記載のプラズマ処理装置。   The conductive member has a cylindrical shape in which a part of the conductive member is exposed to plasma, and a portion of the conductive member exposed to plasma is changed by a driving mechanism that rotates the conductive member around a cylinder axis. The plasma processing apparatus according to claim 14. 前記導電性部材の一部を覆いかつプラズマによりエッチングされ得る材質を有する段差形状の保護膜を有し、前記保護膜がエッチングされることにより、前記導電性部材のプラズマに露出される部分が変化することを特徴とする請求項14に記載のプラズマ処理装置。   A protective film having a step shape that covers a part of the conductive member and has a material that can be etched by plasma, and the portion of the conductive member exposed to plasma is changed by etching the protective film. The plasma processing apparatus according to claim 14. 前記第1電極に印加された前記直流電源からの直流電圧に基づく電流をプラズマを介して逃がすために、全体制御装置からの指令に基づいて接地される導電性部材を前記処理容器内に設けることを特徴とする請求項1から請求項13のいずれか1項に記載のプラズマ処理装置。   In order to release the current based on the DC voltage from the DC power source applied to the first electrode through the plasma, a conductive member that is grounded based on a command from the overall control device is provided in the processing container. The plasma processing apparatus according to any one of claims 1 to 13, wherein: 前記第1電極は上部電極であり、前記第2電極は下部電極であり、前記導電性部材は、前記第2電極の周囲に設置されることを特徴とする請求項22に記載のプラズマ処理装置。   23. The plasma processing apparatus of claim 22, wherein the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode. . 前記第1電極は上部電極であり、前記第2電極は下部電極であり、前記導電性部材は、前記第1電極の近傍に配置されることを特徴とする請求項22に記載のプラズマ処理装置。   23. The plasma processing apparatus of claim 22, wherein the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed in the vicinity of the first electrode. . 前記導電性部材は、前記第1電極の外側にリング状に配置されることを特徴とする請求項24に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 24, wherein the conductive member is arranged in a ring shape outside the first electrode. 前記導電性部材は、プラズマ処理の際の飛翔物の付着を防止するための凹所を有していることを特徴とする請求項22に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 22, wherein the conductive member has a recess for preventing adhesion of flying objects during plasma processing. 前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項22に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 22, wherein the conductive member is grounded during plasma etching. 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされることを特徴とする請求項22から請求項27のいずれか1項に記載のプラズマ処理装置。   A DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. 28. The plasma processing apparatus according to claim 22, wherein the plasma processing apparatus is characterized in that 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを特徴とする請求項28に記載のプラズマ処理装置。   29. The plasma processing apparatus according to claim 28, wherein a DC voltage or an AC voltage is applied to the conductive member during cleaning. 前記導電性部材の接続を、前記直流電源側と接地ラインとで切り替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされることを特徴とする請求項28に記載のプラズマ処理装置。   A switching mechanism for switching the connection of the conductive member between the DC power source side and the ground line is further provided. When the conductive member is connected to the DC power source side by the switching mechanism, the conductive member is connected from the DC power source to the conductive member. 29. The plasma processing apparatus according to claim 28, wherein the surface is sputtered or etched by applying a DC voltage or an AC voltage to the active member. 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求項28に記載のプラズマ処理装置。   29. The plasma processing apparatus according to claim 28, wherein a negative DC voltage can be applied to the conductive member. 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を設けることを特徴とする請求項31に記載のプラズマ処理装置。   A grounded conductive auxiliary member is provided in the processing container to discharge a direct current electron current flowing into the processing container when a negative DC voltage is applied to the conductive member. The plasma processing apparatus according to claim 31. 前記第1電極は上部電極であり、前記第2電極は下部電極であり、前記導電性部材は、前記第1電極の近傍に配置され、前記導電性補助部材は、前記第2電極の周囲に設置されることを特徴とする請求項32に記載のプラズマ処理装置。   The first electrode is an upper electrode, the second electrode is a lower electrode, the conductive member is disposed in the vicinity of the first electrode, and the conductive auxiliary member is disposed around the second electrode. The plasma processing apparatus according to claim 32, wherein the plasma processing apparatus is installed. 全体制御装置からの指令に基づいて、前記第1電極に供給された前記直流電源からの直流電流をプラズマを介して逃がすために接地される第1の状態、および前記直流電源から直流電圧が印加されてその表面がスパッタまたはエッチングされる第2の状態のいずれかをとる導電性部材を前記処理容器内に設け、前記直流電源の負極が前記第1電極に接続され、かつ前記導電性部材が接地ラインに接続される第1の接続と、前記直流電源の正極が前記第1電極に接続され、前記直流電源の負極が前記導電性部材に接続される第2の接続との間で切り替え可能であり、その切り替えにより、それぞれ前記第1の状態および前記第2の状態を形成可能な接続切替機構をさらに具備することを特徴とする請求項1から請求項13のいずれか1項に記載のプラズマ処理装置。   Based on a command from the overall control device, a first state in which a DC current from the DC power source supplied to the first electrode is grounded to escape via plasma is applied, and a DC voltage is applied from the DC power source. A conductive member that takes one of the second states in which the surface is sputtered or etched is provided in the processing vessel, the negative electrode of the DC power supply is connected to the first electrode, and the conductive member is Switchable between a first connection connected to a ground line and a second connection in which the positive electrode of the DC power supply is connected to the first electrode and the negative electrode of the DC power supply is connected to the conductive member 14. The apparatus according to claim 1, further comprising a connection switching mechanism capable of forming the first state and the second state by switching. Plasma processing apparatus. 前記第1の状態はプラズマエッチング時に形成され、前記第2の状態は前記導電性部材のクリーニング時に形成されることを特徴とする請求項34に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 34, wherein the first state is formed during plasma etching, and the second state is formed during cleaning of the conductive member. 処理容器内に、第1電極および被処理基板を支持する第2電極を対向して配置し、前記第2電極に相対的に周波数の高い第1の高周波電力と相対的に周波数の低い第2の高周波電力を印加しながら、前記処理容器内に処理ガスを供給し、該処理ガスのプラズマを生成させて、前記第2電極に支持された被処理基板にプラズマ処理を施すプラズマ処理方法であって、
前記第1電極に直流電圧を印加する工程と、前記第1電極に直流電圧を印加しながら、前記被処理基板にプラズマ処理を施す工程とを有することを特徴とするプラズマ処理方法。
A first electrode and a second electrode that supports the substrate to be processed are disposed opposite to each other in the processing container, and a first high-frequency power having a relatively high frequency and a second having a relatively low frequency are disposed on the second electrode. In this plasma processing method, a processing gas is supplied into the processing vessel while applying a high-frequency power, and plasma of the processing gas is generated to perform plasma processing on the substrate to be processed supported by the second electrode. And
A plasma processing method comprising: applying a DC voltage to the first electrode; and applying a plasma process to the substrate to be processed while applying a DC voltage to the first electrode.
前記第1電極への印加電圧、印加電流および印加電力のいずれかが可変であることを特徴とする請求項36に記載のプラズマ処理方法。   37. The plasma processing method according to claim 36, wherein any one of an applied voltage, an applied current, and an applied power to the first electrode is variable. 前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御することを特徴とする請求項37に記載のプラズマ処理方法。   38. The plasma processing method according to claim 37, wherein any one of an applied voltage, an applied current and an applied power to the first electrode is controlled. 前記第1電極への直流電圧の印加可否を制御することを特徴とする請求項38に記載のプラズマ処理方法。   39. The plasma processing method according to claim 38, wherein whether or not a DC voltage is applied to the first electrode is controlled. 生成されたプラズマの状態を検出し、その検出情報に基づいて前記第1電極への印加電圧、印加電流および印加電力のいずれかを制御することを特徴とする請求項38に記載のプラズマ処理方法。   39. The plasma processing method according to claim 38, wherein a state of the generated plasma is detected, and any one of an applied voltage, an applied current, and an applied power to the first electrode is controlled based on the detection information. . 前記第1電極は、接地電位に対して直流的にフローティング状態であることを特徴とする請求項36から請求項40のいずれか1項に記載のプラズマ処理方法。   The plasma processing method according to any one of claims 36 to 40, wherein the first electrode is in a DC floating state with respect to a ground potential. 前記第1電極はフローティング状態と接地状態との間で変更可能であって、全体制御装置からの指令に基づいて、前記第1電極に直流電圧が印加されているとき前記第1電極を接地電位に対してフローティング状態とし、前記第1電極に直流電圧が印加されていないとき前記第1電極を接地電位に対してフローティング状態あるいは接地状態のいずれかであることを特徴とする請求項41に記載のプラズマ処理方法。   The first electrode can be changed between a floating state and a ground state, and the first electrode is grounded when a DC voltage is applied to the first electrode based on a command from the overall control device. 42. The device according to claim 41, wherein the first electrode is in a floating state or a ground state with respect to a ground potential when a DC voltage is not applied to the first electrode. Plasma processing method. 常時接地されている導電性部材を前記処理容器内に設け、前記第1電極に印加された直流電圧に基づく電流をプラズマを介して逃がすことを特徴とする請求項36から請求項42のいずれか1項に記載のプラズマ処理方法。   The conductive member which is always grounded is provided in the processing container, and the current based on the DC voltage applied to the first electrode is released through the plasma. 2. The plasma processing method according to item 1. 全体制御装置からの指令に基づいて接地される導電性部材を前記処理容器内に
設け、前記第1電極に印加された直流電圧に基づく電流をプラズマを介して逃が
すことを特徴とする請求項36から請求項42のいずれか1項に記載のプラズマ処理方法。
37. A conductive member to be grounded based on a command from the overall control device is provided in the processing container, and a current based on a DC voltage applied to the first electrode is released via plasma. 43. The plasma processing method according to any one of claims 42 to 42.
前記導電性部材は、プラズマエッチング時に接地されることを特徴とする請求項44に記載のプラズマ処理方法。   45. The plasma processing method according to claim 44, wherein the conductive member is grounded during plasma etching. 前記導電性部材には、直流電圧または交流電圧が印加可能となっており、全体制御装置からの指令に基づいて直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされることを特徴とする請求項44に記載のプラズマ処理方法。   A DC voltage or an AC voltage can be applied to the conductive member, and the surface is sputtered or etched by applying the DC voltage or the AC voltage based on a command from the overall control device. 45. The plasma processing method according to claim 44, wherein: 前記導電性部材は、クリーニング時に直流電圧または交流電圧が印加されることを特徴とする請求項46に記載のプラズマ処理方法。   The plasma processing method according to claim 46, wherein a DC voltage or an AC voltage is applied to the conductive member during cleaning. 前記導電性部材の接続を、直流電圧を印加する直流電源側と接地ラインとで切り替える切替機構をさらに具備し、前記切替機構により前記導電性部材を前記直流電源側に接続した際に、前記直流電源から前記導電性部材へ直流電圧または交流電圧が印加されることによりその表面がスパッタまたはエッチングされることを特徴とする請求項46に記載のプラズマ処理方法。   A switching mechanism that switches the connection of the conductive member between a DC power supply side that applies a DC voltage and a ground line is further provided, and when the conductive member is connected to the DC power supply side by the switching mechanism, The plasma processing method according to claim 46, wherein the surface is sputtered or etched by applying a DC voltage or an AC voltage from a power source to the conductive member. 前記導電性部材には負の直流電圧が印加可能となっていることを特徴とする請求項46に記載のプラズマ処理方法。   The plasma processing method according to claim 46, wherein a negative DC voltage can be applied to the conductive member. 前記処理容器内に、前記導電性部材に負の直流電圧が印加された際に前記処理容器内に流入した直流電子電流を排出するために、接地された導電性補助部材を設けることを特徴とする請求項49に記載のプラズマ処理方法。   A grounded conductive auxiliary member is provided in the processing container to discharge a direct current electron current flowing into the processing container when a negative DC voltage is applied to the conductive member. The plasma processing method according to claim 49. 前記第2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜の下地膜との選択比を大きくするために、前記処理ガスとして、C、Ar、N、およびC、Ar、N、およびC、Ar、N、O、およびC、Ar、N、COのいずれかの組み合わせを使用することを特徴とする請求項36から請求項50のいずれか1項に記載のプラズマ処理方法。 When etching the insulating film of the substrate to be processed supported by the second electrode, in order to increase the selection ratio of the insulating film to the base film, as the processing gas, C 5 F 8 , Ar, N 2 , And any combination of C 4 F 8 , Ar, N 2 , and C 4 F 8 , Ar, N 2 , O 2 , and C 4 F 8 , Ar, N 2 , CO The plasma processing method according to any one of claims 36 to 50. 前記第2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜のマスクとの選択比を大きくするために、前記処理ガスとして、CF、およびCF、Ar、およびN、Hのいずれかの組み合わせを使用することを特徴とする請求項36から請求項50のいずれか1項に記載のプラズマ処理方法。 When etching the insulating film of the substrate to be processed supported by the second electrode, CF 4 , CF 4 , Ar, and N are used as the processing gas in order to increase the selection ratio with the mask of the insulating film. The plasma processing method according to any one of claims 36 to 50, wherein any combination of 2 and H 2 is used. 前記第2電極に支持された被処理基板の絶縁膜上の有機反射防止膜をエッチングする際、前記処理ガスとして、CF、およびCF、C、およびCF、C、およびCF、Cのいずれかの組み合わせを使用することを特徴とする請求項36から請求項50のいずれか1項に記載のプラズマ処理方法。 When the organic antireflection film on the insulating film of the substrate to be processed supported by the second electrode is etched, CF 4 , CF 4 , C 3 F 8 , and CF 4 , C 4 F 8 are used as the processing gas. 51. The plasma processing method according to any one of claims 36 to 50, wherein a combination of any one of CF 4 and C 4 F 6 is used. 前記第2電極に支持された被処理基板の絶縁膜をエッチングする際、前記絶縁膜のエッチング速度を大きくするために、前記処理ガスとして、C、CF、Ar、O、およびC、C、Ar、O、およびC、C、Ar、O、およびC、C、Ar、O、およびC、Ar、Oのいずれかの組み合わせを使用することを特徴とする請求項36から請求項50のいずれか1項に記載のプラズマ処理方法。 When etching the insulating film of the substrate to be processed supported by the second electrode, in order to increase the etching rate of the insulating film, C 4 F 6 , CF 4 , Ar, O 2 , and C 4 F 6, C 3 F 8, Ar, O 2, and C 4 F 6, C 4 F 8, Ar, O 2, and C 4 F 6, C 2 F 6, Ar, O 2, and C 4 The plasma processing method according to any one of claims 36 to 50, wherein any combination of F 8 , Ar, and O 2 is used. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、
前記制御プログラムは、実行時に、請求項36から請求項54のいずれか1項に記載のプラズマ処理方法が行われるように、プラズマ処理装置を制御することを特徴とするコンピュータ読み取り可能な記憶媒体。
A computer storage medium storing a control program that runs on a computer,
55. A computer-readable storage medium, wherein the control program controls the plasma processing apparatus so that the plasma processing method according to any one of claims 36 to 54 is performed at the time of execution.
JP2005181132A 2004-06-21 2005-06-21 Plasma processing apparatus, plasma processing method, and computer-readable storage medium Active JP5036143B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005181132A JP5036143B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2004183093 2004-06-21
JP2004183093 2004-06-21
JP2005013912 2005-01-21
JP2005013912 2005-01-21
JP2005045095 2005-02-22
JP2005045095 2005-02-22
JP2005181132A JP5036143B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010249961A Division JP5491359B2 (en) 2004-06-21 2010-11-08 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Publications (3)

Publication Number Publication Date
JP2006270018A true JP2006270018A (en) 2006-10-05
JP2006270018A5 JP2006270018A5 (en) 2008-08-07
JP5036143B2 JP5036143B2 (en) 2012-09-26

Family

ID=37205599

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005181132A Active JP5036143B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Country Status (1)

Country Link
JP (1) JP5036143B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008147659A (en) * 2006-12-11 2008-06-26 Tokyo Electron Ltd Method and system for controlling uniformity in ballistic electron beam accelerating plasma processing system
WO2008082922A1 (en) * 2006-12-29 2008-07-10 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP2008244103A (en) * 2007-03-27 2008-10-09 Tokyo Electron Ltd Plasma processing apparatus
JP2008251744A (en) * 2007-03-29 2008-10-16 Tokyo Electron Ltd Plasma processing apparatus
JP2009239222A (en) * 2008-03-28 2009-10-15 Tokyo Electron Ltd Plasma etching apparatus, plasma etching method and computer-readable storage medium
JP2009246183A (en) * 2008-03-31 2009-10-22 Tokyo Electron Ltd Plasma etching method and computer-readable storage medium
JP2010062363A (en) * 2008-09-04 2010-03-18 Tokyo Electron Ltd Plasma treatment method and resist pattern reforming method
JP2010135781A (en) * 2008-11-07 2010-06-17 Tokyo Electron Ltd Plasma processing apparatus and constituent part thereof
JP2010171320A (en) * 2009-01-26 2010-08-05 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, and storage medium
JP2010192668A (en) * 2009-02-18 2010-09-02 Tokyo Electron Ltd Plasma processing method
KR20110027597A (en) * 2009-09-08 2011-03-16 도쿄엘렉트론가부시키가이샤 Method for processing a target object and computer readable storage medium
JP2011071522A (en) * 2009-09-23 2011-04-07 Tokyo Electron Ltd Dc/rf hybrid processing system
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
JP2012186224A (en) * 2011-03-03 2012-09-27 Tokyo Electron Ltd Plasma processing apparatus
US8404595B2 (en) 2006-09-25 2013-03-26 Tokyo Electron Limited Plasma processing method
TWI503886B (en) * 2010-03-31 2015-10-11 Tokyo Electron Ltd A plasma processing method and a plasma processing apparatus
KR20160070711A (en) 2014-12-10 2016-06-20 도쿄엘렉트론가부시키가이샤 Plasma processing method
KR20190112634A (en) * 2018-03-26 2019-10-07 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus
JP2020205361A (en) * 2019-06-18 2020-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06124998A (en) * 1992-10-12 1994-05-06 Tadahiro Omi Plasma process equipment
JPH06338476A (en) * 1993-03-31 1994-12-06 Tokyo Electron Ltd Plasma processing method
JP2000323460A (en) * 1999-05-11 2000-11-24 Tokyo Electron Ltd Plasma etching device
JP2001308080A (en) * 1994-04-20 2001-11-02 Tokyo Electron Ltd Plasma processing apparatus
WO2003068442A1 (en) * 2002-02-14 2003-08-21 Lam Research Corporation A plasma processing apparatus and method
JP2003264170A (en) * 2002-01-07 2003-09-19 Tokyo Electron Ltd Plasma treatment method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06124998A (en) * 1992-10-12 1994-05-06 Tadahiro Omi Plasma process equipment
JPH06338476A (en) * 1993-03-31 1994-12-06 Tokyo Electron Ltd Plasma processing method
JP2001308080A (en) * 1994-04-20 2001-11-02 Tokyo Electron Ltd Plasma processing apparatus
JP2000323460A (en) * 1999-05-11 2000-11-24 Tokyo Electron Ltd Plasma etching device
JP2003264170A (en) * 2002-01-07 2003-09-19 Tokyo Electron Ltd Plasma treatment method
WO2003068442A1 (en) * 2002-02-14 2003-08-21 Lam Research Corporation A plasma processing apparatus and method

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8404595B2 (en) 2006-09-25 2013-03-26 Tokyo Electron Limited Plasma processing method
JP2008147659A (en) * 2006-12-11 2008-06-26 Tokyo Electron Ltd Method and system for controlling uniformity in ballistic electron beam accelerating plasma processing system
KR101413915B1 (en) * 2006-12-29 2014-06-30 램 리써치 코포레이션 Method and apparatus for processing a substrate using plasma
WO2008082922A1 (en) * 2006-12-29 2008-07-10 Lam Research Corporation Method and apparatus for processing a substrate using plasma
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US8222156B2 (en) 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP2010515263A (en) * 2006-12-29 2010-05-06 ラム リサーチ コーポレーション Substrate processing method and apparatus using plasma
US9251999B2 (en) 2006-12-29 2016-02-02 Lam Research Corporation Capacitively-coupled plasma processing system having a plasma processing chamber for processing a substrate
JP2008244103A (en) * 2007-03-27 2008-10-09 Tokyo Electron Ltd Plasma processing apparatus
JP2008251744A (en) * 2007-03-29 2008-10-16 Tokyo Electron Ltd Plasma processing apparatus
US8671882B2 (en) 2007-03-29 2014-03-18 Tokyo Electron Limited Plasma processing apparatus
JP2009239222A (en) * 2008-03-28 2009-10-15 Tokyo Electron Ltd Plasma etching apparatus, plasma etching method and computer-readable storage medium
JP2009246183A (en) * 2008-03-31 2009-10-22 Tokyo Electron Ltd Plasma etching method and computer-readable storage medium
JP2010062363A (en) * 2008-09-04 2010-03-18 Tokyo Electron Ltd Plasma treatment method and resist pattern reforming method
US8394720B2 (en) 2008-09-04 2013-03-12 Tokyo Electron Limited Plasma processing method and resist pattern modifying method
JP2010135781A (en) * 2008-11-07 2010-06-17 Tokyo Electron Ltd Plasma processing apparatus and constituent part thereof
JP2010171320A (en) * 2009-01-26 2010-08-05 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, and storage medium
US8641916B2 (en) 2009-01-26 2014-02-04 Tokyo Electron Limited Plasma etching apparatus, plasma etching method and storage medium
KR101475546B1 (en) * 2009-01-26 2014-12-22 도쿄엘렉트론가부시키가이샤 Plasma etching apparatus, plasma etching method and storage medium
JP2010192668A (en) * 2009-02-18 2010-09-02 Tokyo Electron Ltd Plasma processing method
TWI550707B (en) * 2009-09-08 2016-09-21 Tokyo Electron Ltd The processing method of the object to be processed, and the computer-readable memory medium
JP2011060916A (en) * 2009-09-08 2011-03-24 Tokyo Electron Ltd Method for processing target object to be processed, and computer-readable storage medium
US8759227B2 (en) 2009-09-08 2014-06-24 Tokyo Electron Limited Method for processing a target object
KR20110027597A (en) * 2009-09-08 2011-03-16 도쿄엘렉트론가부시키가이샤 Method for processing a target object and computer readable storage medium
KR101682724B1 (en) * 2009-09-08 2016-12-05 도쿄엘렉트론가부시키가이샤 Method for processing a target object and computer readable storage medium
JP2011071522A (en) * 2009-09-23 2011-04-07 Tokyo Electron Ltd Dc/rf hybrid processing system
TWI503886B (en) * 2010-03-31 2015-10-11 Tokyo Electron Ltd A plasma processing method and a plasma processing apparatus
KR101850355B1 (en) * 2011-03-03 2018-04-19 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US9011635B2 (en) 2011-03-03 2015-04-21 Tokyo Electron Limited Plasma processing apparatus
TWI576889B (en) * 2011-03-03 2017-04-01 東京威力科創股份有限公司 Plasma processing apparatus
JP2012186224A (en) * 2011-03-03 2012-09-27 Tokyo Electron Ltd Plasma processing apparatus
KR20160070711A (en) 2014-12-10 2016-06-20 도쿄엘렉트론가부시키가이샤 Plasma processing method
US9922841B2 (en) 2014-12-10 2018-03-20 Tokyo Electron Limited Plasma processing method
KR20190112634A (en) * 2018-03-26 2019-10-07 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus
KR102150929B1 (en) 2018-03-26 2020-09-02 주식회사 히타치하이테크 Plasma processing apparatus
JP2020205361A (en) * 2019-06-18 2020-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP7220626B2 (en) 2019-06-18 2023-02-10 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus

Also Published As

Publication number Publication date
JP5036143B2 (en) 2012-09-26

Similar Documents

Publication Publication Date Title
JP5491359B2 (en) Plasma processing apparatus, plasma processing method, and computer-readable storage medium
JP5036143B2 (en) Plasma processing apparatus, plasma processing method, and computer-readable storage medium
JP4827081B2 (en) Plasma etching method and computer-readable storage medium
JP4672455B2 (en) Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US10529539B2 (en) Plasma processing apparatus and method
JP4672456B2 (en) Plasma processing equipment
JP5390846B2 (en) Plasma etching apparatus and plasma cleaning method
JP4704087B2 (en) Plasma processing apparatus and plasma processing method
KR101895437B1 (en) Plasma etching method
JP2012204668A (en) Plasma etching method and storage medium
JP2008078515A (en) Plasma treatment method
JP2010219491A (en) Plasma etching method, plasma etching apparatus, and storage medium
JP5674280B2 (en) Plasma processing equipment
TWI743123B (en) Plasma processing method
JP5405504B2 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080623

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101108

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120514

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120703

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150713

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5036143

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250