JP2006261465A - Process and equipment for fabricating semiconductor device - Google Patents

Process and equipment for fabricating semiconductor device Download PDF

Info

Publication number
JP2006261465A
JP2006261465A JP2005078288A JP2005078288A JP2006261465A JP 2006261465 A JP2006261465 A JP 2006261465A JP 2005078288 A JP2005078288 A JP 2005078288A JP 2005078288 A JP2005078288 A JP 2005078288A JP 2006261465 A JP2006261465 A JP 2006261465A
Authority
JP
Japan
Prior art keywords
chamber
processing chamber
transfer chamber
pressure
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005078288A
Other languages
Japanese (ja)
Inventor
Saigo Yamazumi
宰豪 山住
Yoshifumi Ouchi
佳文 大内
Yoichi Ise
庸一 伊勢
Hirohiko Yamamoto
裕彦 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2005078288A priority Critical patent/JP2006261465A/en
Publication of JP2006261465A publication Critical patent/JP2006261465A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To enhance fabrication yield of semiconductor device by preventing adhesion of foreign matters such as fine particles onto a semiconductor wafer. <P>SOLUTION: In a plasma CVD system 1 comprising a processing chamber 2 connected with a vacuum pump 8a, a carrying chamber 4 connected with a vacuum pump 8b and a mass flow controller 9, and a gate valve 3a between the processing chamber 2 and the carrying chamber 4, pressure of the carrying chamber 4 is controlled by inert gas from the mass flow controller 9 and raised above pressure of the processing chamber 2 before the gate valve 3a is opened. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、半導体装置の製造技術に関し、特に、処理室、搬送室および処理室と搬送室との間のゲートバルブを備えた半導体製造装置を用いた半導体装置の製造に適用して有効な技術に関するものである。   The present invention relates to a manufacturing technique of a semiconductor device, and more particularly, a technique effective when applied to manufacturing a semiconductor device using a semiconductor manufacturing apparatus including a processing chamber, a transfer chamber, and a gate valve between the processing chamber and the transfer chamber. It is about.

特許文献1には、搬送室に不活性ガスを供給するパージバルブを備えた装置を用い、搬送室を一度排気した後、搬送が継続している間は排気バルブを閉じたままにした状態で、ゲートバルブが開く前に搬送室のパージバルブをあけて不活性ガスを流入させ、ゲートバルブが開いた後はゲートバルブを開く前の流量よりも大きい流量で不活性ガスを搬送室に流入させる記載がある。
特開2000−232071号公報
Patent Document 1 uses a device equipped with a purge valve for supplying an inert gas to a transfer chamber, and after exhausting the transfer chamber once, the exhaust valve is kept closed while the transfer continues. There is a description that the purge valve of the transfer chamber is opened before the gate valve is opened to allow the inert gas to flow in. After the gate valve is opened, the inert gas is allowed to flow into the transfer chamber at a flow rate larger than the flow rate before the gate valve is opened. is there.
Japanese Patent Laid-Open No. 2000-233201

本発明者らは、CVD(Chemical Vapor Deposition)反応の一形式であるプラズマ励起反応を利用したプラズマCVD装置を用いて、半導体基板上での各種膜の形成を行っている。プラズマ反応は減圧状態の処理室内で高周波放電を行わせ、プラズマ粒子のエネルギーによって反応ガスを分解または相互に反応させて、半導体基板上に反応生成物としての膜を堆積させる方法である。プラズマCVD反応の機構は複雑ではあるが、例えば熱CVD法に比べて低温での膜の堆積が可能であり、例えば400℃以下の温度で窒化シリコン膜または酸化シリコン膜などが成膜できることから、半導体装置の製造分野では早くからプラズマCVD法が実用化されている。   The present inventors have formed various films on a semiconductor substrate using a plasma CVD apparatus utilizing a plasma excitation reaction which is a form of CVD (Chemical Vapor Deposition) reaction. The plasma reaction is a method of depositing a film as a reaction product on a semiconductor substrate by causing high-frequency discharge in a processing chamber under reduced pressure and decomposing or reacting reaction gases with the energy of plasma particles. Although the mechanism of the plasma CVD reaction is complicated, it is possible to deposit a film at a lower temperature than, for example, a thermal CVD method. For example, a silicon nitride film or a silicon oxide film can be formed at a temperature of 400 ° C. or lower. In the semiconductor device manufacturing field, plasma CVD has been put into practical use from an early stage.

しかしながら、プラズマCVD装置を用いた酸化シリコン膜の形成においては、以下に説明する技術的課題が存在する。   However, in the formation of a silicon oxide film using a plasma CVD apparatus, there are technical problems described below.

プラズマCVD装置では、処理室内に置かれる半導体ウエハの主面上に反応生成物が到達して酸化シリコン膜が形成される一方で、処理室内には異物、例えば酸化シリコンを主成分とする微粒子などの異物が浮遊する。例えばプラズマCVD装置に備わる処理室と搬送室との間に圧力差がない状態で半導体ウエハを処理室から搬送室へ搬送した場合、この微粒子などの異物は搬送室へ流れ、半導体ウエハ上に付着することがある。したがって、半導体ウエハ上に微粒子などの異物が付着したままでは不良製品が製造されてしまう。   In a plasma CVD apparatus, a reaction product reaches a main surface of a semiconductor wafer placed in a processing chamber to form a silicon oxide film, while a foreign substance, for example, a fine particle mainly composed of silicon oxide is formed in the processing chamber. Foreign matter floats. For example, when a semiconductor wafer is transferred from the processing chamber to the transfer chamber in a state where there is no pressure difference between the processing chamber and transfer chamber provided in the plasma CVD apparatus, foreign matter such as fine particles flows into the transfer chamber and adheres to the semiconductor wafer. There are things to do. Therefore, a defective product is manufactured if foreign matters such as fine particles remain attached on the semiconductor wafer.

このため本発明者らは、特許文献1の記載と同様に、半導体ウエハを処理室から搬送室へ搬送する際、搬送室を加圧するためにごく少量の不活性ガスを流入させ、その後処理室と処理室との間のゲートバルブを開き、そのゲートバルブが開いた後は、ゲートバルブが開く前の流量よりも大きい流量で不活性ガスを搬送室に流入させて、微粒子などの異物が搬送室へ流れるのを防止することを検討した。   For this reason, as described in Patent Document 1, the present inventors flow a very small amount of inert gas in order to pressurize the transfer chamber when the semiconductor wafer is transferred from the process chamber to the transfer chamber. After opening the gate valve between the chamber and the processing chamber, and opening the gate valve, the inert gas is allowed to flow into the transfer chamber at a flow rate higher than the flow rate before the gate valve is opened, and foreign matters such as fine particles are transferred. We studied to prevent it from flowing into the room.

しかしながら、この検討においても、突発的に、半導体ウエハに微粒子などの異物が付着することが確認された。この点において、本発明者らは、ゲートバルブが開いた後に、ゲートバルブが開く前の流量よりも大きい流量で不活性ガスを搬送室に流入させても、ゲートバルブを開いた際に、処理室と搬送室との圧力差が小さいために、処理室に浮遊していた微粒子などの異物が搬送室に広がるからではないかと考えた。   However, even in this study, it was confirmed that foreign matters such as fine particles adhered to the semiconductor wafer suddenly. In this regard, the present inventors, when the gate valve is opened, do not perform the processing when the gate valve is opened even if the inert gas flows into the transfer chamber at a flow rate larger than the flow rate before the gate valve is opened. It was thought that because the pressure difference between the chamber and the transfer chamber was small, foreign matter such as fine particles floating in the processing chamber spread into the transfer chamber.

本発明の目的は、半導体ウエハ上への微粒子などの異物の付着を防止し、半導体装置の製造歩留りを向上させることのできる技術を提供することにある。   An object of the present invention is to provide a technique capable of preventing the adhesion of foreign matters such as fine particles on a semiconductor wafer and improving the manufacturing yield of semiconductor devices.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明の半導体装置の製造方法は、第1排気系と接続された処理室と、第2排気系および供給系と接続された搬送室と、前記処理室と前記搬送室との間のゲートバルブとを備える半導体製造装置において、前記搬送室の圧力を前記供給系からの不活性ガスで制御し、前記処理室の圧力より前記搬送室の圧力を高くした後、前記ゲートバルブを開ける。   A method of manufacturing a semiconductor device according to the present invention includes a processing chamber connected to a first exhaust system, a transfer chamber connected to a second exhaust system and a supply system, and a gate valve between the processing chamber and the transfer chamber. The pressure in the transfer chamber is controlled by an inert gas from the supply system, the pressure in the transfer chamber is made higher than the pressure in the processing chamber, and then the gate valve is opened.

また、本発明の半導体製造装置は、その処理室にガスを排除する第1ポンプを有し、また搬送室にガスを排除する第2ポンプおよび不活性ガスを供給するマスフローコントローラを有している。   The semiconductor manufacturing apparatus of the present invention has a first pump for removing gas in the processing chamber, and a second pump for removing gas in the transfer chamber and a mass flow controller for supplying inert gas. .

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

処理室と搬送室との圧力の差を大きくした後ゲートバルブを開けることにより、半導体ウエハ上への微粒子などの異物の付着を防止し、半導体装置の製造歩留りを向上させることができる。   By increasing the pressure difference between the processing chamber and the transfer chamber and then opening the gate valve, it is possible to prevent foreign matters such as fine particles from adhering to the semiconductor wafer and improve the manufacturing yield of the semiconductor device.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted.

本実施の形態で示す半導体製造装置およびそれを用いた半導体ウエハの処理方法について図1〜図4により説明する。図1は、本発明の一実施の形態によるシングルウエハマルチチャンバ方式の並行平板型プラズマCVD装置の構成の一例を示す模式図である。図2は、図1で示すCVD装置に排気系および供給系を付加して示すブロック図である。図3は、本発明の一実施の形態によるプラズマCVD装置における成膜時の半導体ウエハの流れを説明する工程図である。図4は、搬送室の圧力と、搬送室内の異物の関係を示す説明図である。   A semiconductor manufacturing apparatus shown in this embodiment and a semiconductor wafer processing method using the same will be described with reference to FIGS. FIG. 1 is a schematic diagram showing an example of the configuration of a single wafer multi-chamber parallel plate type plasma CVD apparatus according to an embodiment of the present invention. FIG. 2 is a block diagram showing an exhaust system and a supply system added to the CVD apparatus shown in FIG. FIG. 3 is a process diagram for explaining the flow of the semiconductor wafer during film formation in the plasma CVD apparatus according to one embodiment of the present invention. FIG. 4 is an explanatory diagram showing the relationship between the pressure in the transfer chamber and the foreign matter in the transfer chamber.

本実施の形態で示すプラズマCVD装置1は、処理室2、ゲートバルブ3a、3b、搬送室4、搬送ロボット5、ロードロック室6を備えている。また処理室2、搬送室4およびロードロック室6には、各室からガスを排気する排気系、例えば機械ポンプなどの真空ポンプ8a、8b、8cがそれぞれ接続されている。また搬送室4には所望のガスを供給する供給系、例えば窒素ガスなどの不活性ガスを供給することができるマスフローコントローラ9が接続されている。一般に、プラズマCVD装置は、処理室を中心として、サブシステムとしてのガス供給系、排気系、ウエハ搬送系、電源部等を基本構成とし、処理室以外は共通化が可能である。なお、図1中、符号7a、7bはウエハカセット、SWは半導体ウエハである。   A plasma CVD apparatus 1 shown in this embodiment includes a processing chamber 2, gate valves 3 a and 3 b, a transfer chamber 4, a transfer robot 5, and a load lock chamber 6. Further, an exhaust system for exhausting gas from each chamber, for example, vacuum pumps 8a, 8b, 8c such as mechanical pumps, is connected to the processing chamber 2, the transfer chamber 4, and the load lock chamber 6, respectively. In addition, a supply system for supplying a desired gas, for example, a mass flow controller 9 capable of supplying an inert gas such as nitrogen gas is connected to the transfer chamber 4. In general, a plasma CVD apparatus has a gas supply system, an exhaust system, a wafer transfer system, a power supply unit, and the like as sub-systems with a processing chamber as a center, and can be shared except for the processing chamber. In FIG. 1, reference numerals 7a and 7b are wafer cassettes, and SW is a semiconductor wafer.

プラズマCVD装置1に備わる処理室2は平行平板型構造であり、加熱にはヒーター付サセプタを用いる。プラズマCVD装置1は、処理室2の内部に2つの平板電極を対向させ、一方の電極板上に処理するべき半導体ウエハSWを載置し、対向する電極との間に高周波電力を印加し、生成ガスのプラズマを発生させて半導体ウエハSWの主面上にプラズマCVD法によって絶縁膜、半導体膜または金属・導体膜を堆積する半導体製造装置である。図1には、3つの処理室2を例示しているが、2つまたは4つの処理室2の構成が可能である。   The processing chamber 2 provided in the plasma CVD apparatus 1 has a parallel plate structure, and a susceptor with a heater is used for heating. The plasma CVD apparatus 1 has two flat plate electrodes opposed to each other inside the processing chamber 2, a semiconductor wafer SW to be processed is placed on one electrode plate, high frequency power is applied between the opposed electrodes, The semiconductor manufacturing apparatus generates plasma of generated gas and deposits an insulating film, a semiconductor film, or a metal / conductor film on the main surface of the semiconductor wafer SW by a plasma CVD method. FIG. 1 illustrates three processing chambers 2, but two or four processing chambers 2 can be configured.

半導体ウエハSWの主面上への酸化シリコン膜、例えばTEOS酸化膜の形成は、例えば以下の手順によって行われる。   Formation of a silicon oxide film, for example, a TEOS oxide film on the main surface of the semiconductor wafer SW is performed, for example, by the following procedure.

搬送室4には、半導体ウエハSWを搬送する搬送ロボット5が備わっており、搬送ロボット5にてロードロック室6に設置された、通常25枚、12枚または6枚等のバッチ単位で半導体ウエハSWが収納されているウエハカセット7aから1枚の半導体ウエハSWが搬出される。次いで、搬送室4と処理室2との間を仕切るゲートバルブ3aを開けて処理室2へ半導体ウエハSWが搬入される。   The transfer chamber 4 is provided with a transfer robot 5 for transferring the semiconductor wafer SW, and the semiconductor wafers are usually installed in the load lock chamber 6 by the transfer robot 5 in batch units such as 25 sheets, 12 sheets or 6 sheets. One semiconductor wafer SW is unloaded from the wafer cassette 7a in which the SW is stored. Next, the gate valve 3 a that partitions the transfer chamber 4 and the processing chamber 2 is opened, and the semiconductor wafer SW is loaded into the processing chamber 2.

次いで、半導体ウエハSWは、処理室2の内部に設置された一方の電極であるサセプタと呼ばれる支持台の上に置かれた後、ゲートバルブ3aを閉じて、このサセプタと、その周囲を絶縁体によって保持されたシャワーヘッドとの間に高周波電力が印加される。シャワーヘッドにはガスノズルが設けられており、このガスノズルを通して複数種の生成ガス、例えばTEOSガスおよびオゾンガスが処理室2へ導入されて、半導体ウエハSWの主面上にTEOS酸化膜が成膜される。生成ガスおよび反応副生成物は、圧力調整バルブおよびスロットバルブを通り真空ポンプ8aで排出される。   Next, the semiconductor wafer SW is placed on a support base called a susceptor, which is one electrode installed in the processing chamber 2, and then the gate valve 3 a is closed, and the susceptor and its surroundings are insulated. High frequency power is applied between the shower head held by The shower head is provided with a gas nozzle, and a plurality of types of generated gases, for example, TEOS gas and ozone gas are introduced into the processing chamber 2 through the gas nozzle, and a TEOS oxide film is formed on the main surface of the semiconductor wafer SW. . The product gas and reaction by-products are discharged by the vacuum pump 8a through the pressure regulating valve and the slot valve.

次いで、処理室2に接続されている真空ポンプ8aにより処理室2内の圧力を制御し、また、搬送室4に接続されている真空ポンプ8bおよびマスフローコントローラ9から供給される例えば窒素ガスなどの不活性ガスにより搬送室4内の圧力を制御し、処理室2と搬送室4との圧力差を200Pa以上とする。言い換えると、処理室2の圧力に対する搬送室4の圧力の比を100倍以上とする。具体的には処理室2の圧力が例えば2Pa、搬送室4の圧力が例えば202Paとすることができる。   Next, the pressure in the processing chamber 2 is controlled by the vacuum pump 8 a connected to the processing chamber 2, and the vacuum pump 8 b connected to the transfer chamber 4 and the mass flow controller 9 such as nitrogen gas are supplied. The pressure in the transfer chamber 4 is controlled by the inert gas, and the pressure difference between the processing chamber 2 and the transfer chamber 4 is set to 200 Pa or more. In other words, the ratio of the pressure in the transfer chamber 4 to the pressure in the processing chamber 2 is set to 100 times or more. Specifically, the pressure in the processing chamber 2 can be set to 2 Pa, for example, and the pressure in the transfer chamber 4 can be set to 202 Pa, for example.

次いで、処理室2と搬送室4との圧力差を200Pa以上とした後、処理室2−搬送室4間のゲートバルブ3aを開き、搬送ロボット5にて処理室2から半導体ウエハSWが、搬送室4を通過してロードロック室6に設置されたウエハカセット7bへ搬入される。   Next, after the pressure difference between the processing chamber 2 and the transfer chamber 4 is set to 200 Pa or more, the gate valve 3a between the processing chamber 2 and the transfer chamber 4 is opened, and the transfer robot 5 transfers the semiconductor wafer SW from the processing chamber 2. After passing through the chamber 4, the wafer is loaded into a wafer cassette 7 b installed in the load lock chamber 6.

上述したように、プラズマCVD装置1では、処理室2内に置かれる半導体ウエハSWの主面上に反応生成物が到達して酸化シリコン膜が形成される一方で、処理室2内には例えば酸化シリコンを主成分とする微粒子などの異物が浮遊する。したがって、処理室2と搬送室4との間にほぼ圧力差がない状態で、ゲートバルブ3aを開き、半導体ウエハを処理室2から搬送室4へ搬送した場合、微粒子などの異物は搬送室4へ逆流し、半導体ウエハSW上に付着することがある。   As described above, in the plasma CVD apparatus 1, a reaction product reaches the main surface of the semiconductor wafer SW placed in the processing chamber 2 to form a silicon oxide film. Foreign matter such as fine particles mainly composed of silicon oxide floats. Therefore, when the gate valve 3a is opened and the semiconductor wafer is transferred from the processing chamber 2 to the transfer chamber 4 in a state where there is almost no pressure difference between the processing chamber 2 and the transfer chamber 4, foreign matters such as fine particles are transferred to the transfer chamber 4. May flow back and adhere to the semiconductor wafer SW.

図4は、処理室2から搬送室4へ半導体ウエハSWを搬送した後における搬送室4内で半導体ウエハSW上に付着した大きさ0.1μm以上の異物を検出した結果を示している。図4に示すように、処理室2の圧力を例えば2Pa、搬送室4の圧力を例えば16Paとした場合、異物が40個程度確認された。すなわち、処理室2の圧力を2Pa、搬送室4の圧力を16Paとし、その後ゲートバルブ3aを開き、半導体ウエハを処理室2から搬送室4へ搬送した場合であっても、0.1μm以上の異物が搬送室4へ逆流し、半導体ウエハSW上に40個程度付着してしまう。   FIG. 4 shows a result of detecting foreign matters having a size of 0.1 μm or more attached on the semiconductor wafer SW in the transfer chamber 4 after the semiconductor wafer SW is transferred from the processing chamber 2 to the transfer chamber 4. As shown in FIG. 4, when the pressure in the processing chamber 2 is 2 Pa, for example, and the pressure in the transfer chamber 4 is 16 Pa, for example, about 40 foreign substances were confirmed. That is, even when the pressure of the processing chamber 2 is 2 Pa and the pressure of the transfer chamber 4 is 16 Pa, and then the gate valve 3a is opened and the semiconductor wafer is transferred from the processing chamber 2 to the transfer chamber 4, it is 0.1 μm or more. Foreign matter flows back to the transfer chamber 4 and about 40 foreign substances adhere to the semiconductor wafer SW.

この結果から、前記発明が解決しようとする課題で本発明者が検討したように、例えば半導体ウエハSWを処理室2から搬送室4へ搬送する際、搬送室4を加圧するためにごく少量の不活性ガスを流入させて処理室2と搬送室4との間に圧力差をつけた状態で、ゲートバルブ3aを開き、半導体ウエハを処理室2から搬送室4へ搬送した場合であっても、突発的に、半導体ウエハに微粒子などの異物が付着することが考えられる。   From this result, as the present inventor studied on the problem to be solved by the present invention, for example, when the semiconductor wafer SW is transferred from the processing chamber 2 to the transfer chamber 4, a very small amount is used to pressurize the transfer chamber 4. Even when the gate valve 3a is opened and a semiconductor wafer is transferred from the processing chamber 2 to the transfer chamber 4 in a state where a pressure difference is created between the process chamber 2 and the transfer chamber 4 by flowing an inert gas. It is conceivable that foreign matters such as fine particles adhere to the semiconductor wafer suddenly.

しかしながら、図4に示すように、処理室2の圧力を例えば2Pa、搬送室4の圧力を例えば100Paとした場合には異物が2個程度、さらに、処理室2の圧力を例えば2Pa、搬送室4の圧力を例えば202Paとした場合には異物が0あるいは1個程度となることが確認された。   However, as shown in FIG. 4, when the pressure in the processing chamber 2 is 2 Pa, for example, and the pressure in the transfer chamber 4 is 100 Pa, for example, there are about two foreign matters, and the pressure in the processing chamber 2 is 2 Pa, for example. For example, when the pressure of 4 was set to 202 Pa, it was confirmed that the number of foreign matters was zero or about one.

このように、処理室2の圧力より搬送室4の圧力を高くすることで、その後にゲートバルブ3aを開いたとしても、成膜後に処理室2に浮遊していた微粒子などの異物の処理室2から搬送室4への逆流を防止することができる。   Thus, even if the gate valve 3a is opened after the pressure in the transfer chamber 4 is made higher than the pressure in the processing chamber 2, a processing chamber for foreign matters such as fine particles floating in the processing chamber 2 after film formation. Backflow from 2 to the transfer chamber 4 can be prevented.

また、搬送室4は真空ポンプ8bおよびマスフローコントローラ9と接続されているため、この真空ポンプ8bにより搬送室4内のガスなどが排気される一方で、異物などが含まれていないピュアな不活性ガスがマスフローコントローラ9により供給され、搬送室4内には異物が存在しないようにされている。したがって、成膜後の半導体ウエハSWを処理室2から搬送室4への搬送中に浮遊していた微粒子などの異物を搬送室4内に浮遊する前に強制的に排気することができる。   Further, since the transfer chamber 4 is connected to the vacuum pump 8b and the mass flow controller 9, the gas in the transfer chamber 4 is exhausted by the vacuum pump 8b, while a pure inert material that does not contain foreign substances or the like. Gas is supplied by the mass flow controller 9 so that no foreign matter exists in the transfer chamber 4. Therefore, the foreign matter such as fine particles floating during the transfer from the processing chamber 2 to the transfer chamber 4 can be forcibly evacuated before the semiconductor wafer SW after film formation is floated in the transfer chamber 4.

このように、0.1μm以上の異物に対して処理室2の圧力を例えば2Pa、搬送室4の圧力を例えば202Paとして、処理室2の圧力と搬送室4の圧力との差を200Pa以上とすることで、異物の処理室2から搬送室4への逆流を防止することができる効果について説明したが、例えば0.01μm以上の異物に対しても処理室2の圧力と搬送室4の圧力との差を200Pa以上とすることで、異物の処理室2から搬送室4への逆流を防止することもできる。   Thus, for a foreign matter of 0.1 μm or more, the pressure in the processing chamber 2 is 2 Pa, the pressure in the transfer chamber 4 is 202 Pa, for example, and the difference between the pressure in the processing chamber 2 and the pressure in the transfer chamber 4 is 200 Pa or more. As described above, the effect of preventing the backflow of the foreign matter from the processing chamber 2 to the transfer chamber 4 has been described. For example, the pressure in the processing chamber 2 and the pressure in the transfer chamber 4 for a foreign matter of 0.01 μm or more. By making the difference from 200 Pa or more, the backflow of foreign matter from the processing chamber 2 to the transfer chamber 4 can be prevented.

次に、CMOS(Complementary Metal Oxide Semiconductor)デバイスの製造方法に本発明を適用した場合について図5〜図10を用いて説明する。なお、本実施の形態においては、電界効果トランジスタを代表するMISFETをMISと略し、pチャネル型のMISFETをpMISと略し、nチャネル型のMISFETをnMISと略す。   Next, a case where the present invention is applied to a method for manufacturing a CMOS (Complementary Metal Oxide Semiconductor) device will be described with reference to FIGS. In this embodiment, a MISFET representing a field effect transistor is abbreviated as MIS, a p-channel type MISFET is abbreviated as pMIS, and an n-channel type MISFET is abbreviated as nMIS.

図5に示すように、例えばp型のシリコン単結晶からなる半導体基板(円形の薄い板状に加工した半導体ウエハ)11を用意する。次に、この半導体基板11を熱酸化してその表面に厚さ0.01μm程度の薄い酸化シリコン膜12を形成し、続いてその上層に、例えばCVD法により厚さ0.1μm程度の窒化シリコン膜13を堆積する。   As shown in FIG. 5, a semiconductor substrate (semiconductor wafer processed into a circular thin plate) 11 made of, for example, p-type silicon single crystal is prepared. Next, the semiconductor substrate 11 is thermally oxidized to form a thin silicon oxide film 12 having a thickness of about 0.01 μm on the surface thereof. Subsequently, a silicon nitride film having a thickness of about 0.1 μm is formed thereon by, for example, CVD. A film 13 is deposited.

次に、フォトリソグラフィ法により形成されたレジストパターンをマスクとして窒化シリコン膜13、酸化シリコン膜12および半導体基板11を順次エッチングすることにより、素子分離領域の半導体基板11に深さ0.35μm程度の素子分離溝14aを形成する。   Next, the silicon nitride film 13, the silicon oxide film 12, and the semiconductor substrate 11 are sequentially etched using a resist pattern formed by photolithography as a mask, so that a depth of about 0.35 μm is formed in the semiconductor substrate 11 in the element isolation region. Element isolation trenches 14a are formed.

次に、図6に示すように、上述したプラズマCVD装置およびそれを用いた半導体ウエハの処理方法を用いて、半導体基板11上に酸化シリコン膜14bを堆積する。酸化シリコン膜14bは、例えばTEOS(tetraethylorthosilicate:Si(OC)とオゾン(O)とをソースガスに用いたプラズマCVD法により堆積されたTEOS酸化膜で構成される。成膜後は、処理室に接続されている真空ポンプにより処理室内の圧力を制御し、また、搬送室に接続されている真空ポンプおよびマスフローコントローラから供給される例えば窒素ガスなどの不活性ガスにより搬送室内の圧力を制御し、処理室と搬送室との圧力差を200Pa以上とした後、処理室と搬送室との間のゲートバルブを開き、搬送ロボットにて処理室から半導体基板が、搬送室を通過してロードロック室に設置されたウエハカセットへ搬入される。 Next, as shown in FIG. 6, a silicon oxide film 14b is deposited on the semiconductor substrate 11 by using the plasma CVD apparatus described above and a semiconductor wafer processing method using the same. The silicon oxide film 14b is composed of, for example, a TEOS oxide film deposited by a plasma CVD method using TEOS (tetraethylorthosilicate: Si (OC 2 H 5 ) 4 ) and ozone (O 3 ) as source gases. After film formation, the pressure in the processing chamber is controlled by a vacuum pump connected to the processing chamber, and an inert gas such as nitrogen gas supplied from a vacuum pump and a mass flow controller connected to the transfer chamber is used. After controlling the pressure in the transfer chamber and setting the pressure difference between the process chamber and the transfer chamber to 200 Pa or more, the gate valve between the process chamber and the transfer chamber is opened, and the semiconductor substrate is transferred from the process chamber by the transfer robot. It passes through the chamber and is carried into a wafer cassette installed in the load lock chamber.

次に、図7に示すように、半導体基板11上にCVD法により堆積した酸化シリコン膜14bを、例えばCMP(chemical vapor deposition)法により研磨して、素子分離溝14aの内部に酸化シリコン膜14bを残すことによって素子分離領域を形成する。続いて熱リン酸を用いたウェットエッチングで窒化シリコン膜13を除去した後、半導体基板11に温度1000℃程度の熱処理を施すことにより、素子分離溝14aに埋め込んだ酸化シリコン膜14bを焼き締める。   Next, as shown in FIG. 7, the silicon oxide film 14b deposited on the semiconductor substrate 11 by the CVD method is polished by, for example, a chemical vapor deposition (CMP) method, and the silicon oxide film 14b is formed inside the element isolation trench 14a. An element isolation region is formed by leaving. Subsequently, after the silicon nitride film 13 is removed by wet etching using hot phosphoric acid, the semiconductor substrate 11 is subjected to a heat treatment at a temperature of about 1000 ° C., thereby baking the silicon oxide film 14 b embedded in the element isolation trench 14 a.

次に、フォトリソグラフィ法により形成されたレジストパターンをマスクとして半導体基板11に不純物をイオン注入し、pウェル21およびnウェル22を形成する。pウェル21にはp型の導電型を示す不純物、例えばボロンをイオン注入し、nウェル22にはn型の導電型を示す不純物、例えばリンをイオン注入する。この後、各ウェル領域にMISのしきい値を制御するための不純物をイオン注入してもよい。   Next, impurities are ion-implanted into the semiconductor substrate 11 using a resist pattern formed by photolithography as a mask to form a p-well 21 and an n-well 22. An impurity having a p-type conductivity, for example, boron is ion-implanted into the p-well 21, and an impurity having an n-type conductivity, for example, phosphorus, is ion-implanted into the n-well 22. Thereafter, an impurity for controlling the threshold value of MIS may be ion-implanted into each well region.

次に、ゲート絶縁膜となる酸化シリコン膜23a、ゲート電極となるシリコン多結晶膜24aおよびキャップ絶縁膜となる酸化シリコン膜25aを順次堆積して積層膜を形成する。酸化シリコン膜23aは、例えば熱酸化法または熱CVD法により形成することができ、シリコン多結晶膜24aは、例えばCVD法により形成することができる。また、酸化シリコン膜25aは、上述したプラズマCVD装置およびそれを用いた半導体ウエハの処理方法を用いて形成される。   Next, a silicon oxide film 23a serving as a gate insulating film, a silicon polycrystalline film 24a serving as a gate electrode, and a silicon oxide film 25a serving as a cap insulating film are sequentially deposited to form a laminated film. The silicon oxide film 23a can be formed by, for example, a thermal oxidation method or a thermal CVD method, and the silicon polycrystalline film 24a can be formed by, for example, a CVD method. The silicon oxide film 25a is formed using the above-described plasma CVD apparatus and a semiconductor wafer processing method using the same.

次に、図8に示すように、フォトリソグラフィ法により形成されたレジストパターンをマスクとして上記積層膜をエッチングして、ゲート絶縁膜23、ゲート電極24およびキャップ絶縁膜25を形成する。続いて半導体基板11上にCVD法により酸化シリコン膜を堆積した後、この酸化シリコン膜を異方性エッチングすることにより、ゲート電極24の側壁にサイドウォール26を形成する。   Next, as shown in FIG. 8, the laminated film is etched using a resist pattern formed by photolithography as a mask to form a gate insulating film 23, a gate electrode 24, and a cap insulating film 25. Subsequently, after depositing a silicon oxide film on the semiconductor substrate 11 by a CVD method, the silicon oxide film is anisotropically etched to form sidewalls 26 on the side walls of the gate electrode 24.

次に、フォトリソグラフィ法により形成されたレジストパターンをマスクとしてpウェル21にn型不純物、例えばヒ素をイオン注入し、ゲート電極24の両側のpウェル21にn型半導体領域27を形成する。n型半導体領域27は、ゲート電極24およびサイドウォール26に対して自己整合的に形成され、nMISのソース・ドレインとして機能する。同様に、フォトリソグラフィ法により形成されたレジストパターンをマスクとしてnウェル22にp型不純物、例えばフッ化ボロンをイオン注入し、ゲート電極24の両側のnウェル22にp型半導体領域28を形成する。p型半導体領域28は、ゲート電極24およびサイドウォール26に対して自己整合的に形成され、pMISのソース・ドレインとして機能する。   Next, an n-type impurity such as arsenic is ion-implanted into the p-well 21 using a resist pattern formed by photolithography as a mask, and an n-type semiconductor region 27 is formed in the p-well 21 on both sides of the gate electrode 24. The n-type semiconductor region 27 is formed in a self-aligned manner with respect to the gate electrode 24 and the sidewall 26, and functions as an nMIS source / drain. Similarly, a p-type impurity such as boron fluoride is ion-implanted into the n-well 22 using a resist pattern formed by photolithography as a mask, and a p-type semiconductor region 28 is formed in the n-well 22 on both sides of the gate electrode 24. . The p-type semiconductor region 28 is formed in a self-aligned manner with respect to the gate electrode 24 and the side wall 26, and functions as a source / drain of the pMIS.

次に、図9に示すように、半導体基板11上に酸化シリコン膜29を形成した後、この酸化シリコン膜29を、例えばCMP法によって研磨することによりその表面を平坦化する。酸化シリコン膜29は、例えば上述したプラズマCVD装置およびそれを用いた半導体ウエハの処理方法を用いて形成される。   Next, as shown in FIG. 9, after a silicon oxide film 29 is formed on the semiconductor substrate 11, the surface of the silicon oxide film 29 is flattened by polishing, for example, by a CMP method. The silicon oxide film 29 is formed using, for example, the above-described plasma CVD apparatus and a semiconductor wafer processing method using the same.

次に、フォトリソグラフィ法により形成されたレジストパターンをマスクとしたエッチングによって酸化シリコン膜29に接続孔30を形成する。この接続孔30はn型半導体領域27またはp型半導体領域28上などの必要部分に形成する。続いて接続孔30の内部を含む半導体基板11の全面に窒化チタン膜を、例えばCVD法により形成し、さらに接続孔30を埋め込むタングステン膜を、例えばCVD法により形成する。その後、接続孔30以外の領域の窒化チタン膜およびタングステン膜を、例えばCMP法により除去して接続孔30の内部にプラグ31を形成する。   Next, a connection hole 30 is formed in the silicon oxide film 29 by etching using a resist pattern formed by photolithography as a mask. The connection hole 30 is formed in a necessary portion such as on the n-type semiconductor region 27 or the p-type semiconductor region 28. Subsequently, a titanium nitride film is formed on the entire surface of the semiconductor substrate 11 including the inside of the connection hole 30 by, for example, the CVD method, and a tungsten film to fill the connection hole 30 is further formed by, for example, the CVD method. Thereafter, the titanium nitride film and the tungsten film in the region other than the connection hole 30 are removed by, for example, a CMP method, and the plug 31 is formed inside the connection hole 30.

次に、半導体基板11上に、例えばタングステン膜を形成した後、フォトリソグラフィ法により形成されたレジストパターンをマスクとしたエッチングによりタングステン膜を加工し、第1層目の配線32を形成する。タングステン膜は、CVD法またはスパッタ法により形成できる。   Next, for example, a tungsten film is formed on the semiconductor substrate 11, and then the tungsten film is processed by etching using a resist pattern formed by photolithography as a mask to form a first-layer wiring 32. The tungsten film can be formed by a CVD method or a sputtering method.

次に、図10に示すように、配線32を覆う絶縁膜、例えば酸化シリコン膜を形成した後、その絶縁膜を、例えばCMP法で研磨することにより、表面が平坦化された層間絶縁膜33を形成する。続いてフォトリソグラフィ法により形成されたレジストパターンをマスクとしたエッチングによって層間絶縁膜33の所定の領域に接続孔34を形成する。   Next, as shown in FIG. 10, after forming an insulating film covering the wiring 32, for example, a silicon oxide film, the insulating film is polished by, for example, a CMP method so that the surface is planarized. Form. Subsequently, a connection hole 34 is formed in a predetermined region of the interlayer insulating film 33 by etching using a resist pattern formed by photolithography as a mask.

次に、接続孔34の内部を含む半導体基板11の全面にバリアメタル層を形成し、さらに接続孔34を埋め込む銅膜を形成する。バリアメタル層は、例えば窒化チタン膜、タンタル膜または窒化タンタル膜などであり、例えばCVD法またはスパッタ法により形成する。銅膜は主導体層として機能し、例えばメッキ法により形成できる。メッキ法による銅膜の形成前に、例えばCVD法またはスパッタ法によりシード層として薄い銅膜を形成できる。その後、接続孔34以外の領域の銅膜およびバリアメタル層を、例えばCMP法により除去して接続孔34の内部にプラグ35を形成する。   Next, a barrier metal layer is formed on the entire surface of the semiconductor substrate 11 including the inside of the connection hole 34, and a copper film that fills the connection hole 34 is formed. The barrier metal layer is, for example, a titanium nitride film, a tantalum film, or a tantalum nitride film, and is formed by, for example, a CVD method or a sputtering method. The copper film functions as a main conductor layer and can be formed by, for example, a plating method. Before forming the copper film by plating, a thin copper film can be formed as a seed layer by, for example, CVD or sputtering. Thereafter, the copper film and the barrier metal layer in the region other than the connection hole 34 are removed by, for example, the CMP method, and the plug 35 is formed inside the connection hole 34.

次に、半導体基板11上にストッパ絶縁膜36を形成し、さらに配線形成用の絶縁膜37を形成する。ストッパ絶縁膜36は、例えば窒化シリコン膜とし、絶縁膜37は、例えば酸化シリコン膜とする。続いてフォトリソグラフィ法により形成されたレジストパターンをマスクとしたエッチングによってストッパ絶縁膜36および絶縁膜37の所定の領域に配線溝38を形成する。   Next, a stopper insulating film 36 is formed on the semiconductor substrate 11, and an insulating film 37 for wiring formation is further formed. The stopper insulating film 36 is, for example, a silicon nitride film, and the insulating film 37 is, for example, a silicon oxide film. Subsequently, a wiring groove 38 is formed in a predetermined region of the stopper insulating film 36 and the insulating film 37 by etching using a resist pattern formed by photolithography as a mask.

次に、配線溝38の内部を含む半導体基板11の全面にバリアメタル層39を形成し、さらに配線溝38を埋め込む銅膜を形成する。その後、配線溝38以外の領域の銅膜およびバリアメタル層39を、例えばCMP法により除去して、配線溝38の内部に銅膜を主導体層とする第2層目の配線40を形成する。   Next, a barrier metal layer 39 is formed on the entire surface of the semiconductor substrate 11 including the inside of the wiring trench 38, and a copper film that fills the wiring trench 38 is further formed. Thereafter, the copper film and the barrier metal layer 39 in a region other than the wiring groove 38 are removed by, for example, a CMP method, and a second-layer wiring 40 having the copper film as a main conductor layer is formed inside the wiring groove 38. .

その後、さらに上層の配線を形成した後、パッシベーション膜で半導体基板11の全面を覆うことにより、CMOSデバイスが略完成する。   Thereafter, after further upper layer wiring is formed, the entire surface of the semiconductor substrate 11 is covered with a passivation film, whereby the CMOS device is substantially completed.

このように、本実施の形態によれば、処理室2の圧力より搬送室4の圧力を高くすることによって、成膜後に処理室2に浮遊していた微粒子などの異物の処理室2から搬送室4への逆流を防止することができる。   As described above, according to the present embodiment, the pressure in the transfer chamber 4 is made higher than the pressure in the process chamber 2, thereby transferring foreign matters such as fine particles floating in the process chamber 2 after film formation from the process chamber 2. Backflow to the chamber 4 can be prevented.

また、成膜後の半導体ウエハSWを処理室2から搬送室4への搬送中に浮遊していた微粒子などの異物を強制的に排気することができる。   Further, foreign matters such as fine particles floating during the transfer of the semiconductor wafer SW after film formation from the processing chamber 2 to the transfer chamber 4 can be forcibly exhausted.

さらに、半導体ウエハSW上に付着する異物が低減できることから半導体装置の製造歩留まりを向上させることができる。   Furthermore, since the foreign matter adhering to the semiconductor wafer SW can be reduced, the manufacturing yield of the semiconductor device can be improved.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

例えば、前記実施の形態では、プラズマCVD装置に本発明を適用したが、CVD装置に限定されることなく、排気系および供給系を有する例えば処理室、搬送室を備える装置に適用することができ、例えばドライエッチング装置に適用しても同様の効果が得られる。   For example, in the above-described embodiment, the present invention is applied to the plasma CVD apparatus. However, the present invention is not limited to the CVD apparatus. For example, the same effect can be obtained when applied to a dry etching apparatus.

例えば、前記実施の形態では、異物として酸化シリコンを主成分とする微粒子を挙げて本発明を適用したが、微粒子に限定されることなく、例えばTEOSガス、オゾンガスなどの腐食性ガスに適用しても同様の効果が得られる。   For example, in the above-described embodiment, the present invention is applied by taking fine particles mainly composed of silicon oxide as foreign matters. However, the present invention is not limited to the fine particles, and is applied to corrosive gases such as TEOS gas and ozone gas. The same effect can be obtained.

本発明は、半導体装置を製造する製造業に幅広く利用されるものである。   The present invention is widely used in the manufacturing industry for manufacturing semiconductor devices.

本発明の実施の形態によるプラズマCVD装置の構成の一例を示す模式図である。It is a schematic diagram which shows an example of a structure of the plasma CVD apparatus by embodiment of this invention. 図1のプラズマCVD装置に排気系および供給系を付加して示すブロック図である。FIG. 2 is a block diagram showing an addition of an exhaust system and a supply system to the plasma CVD apparatus of FIG. 1. 本実施の形態によるプラズマCVD装置における成膜時の半導体ウエハの流れを説明する工程図である。It is process drawing explaining the flow of the semiconductor wafer at the time of the film-forming in the plasma CVD apparatus by this Embodiment. 図1のプラズマCVD装置の搬送室の圧力と、搬送室内の異物の関係を示す説明図である。It is explanatory drawing which shows the relationship between the pressure of the conveyance chamber of the plasma CVD apparatus of FIG. 1, and the foreign material in a conveyance chamber. 本実施の形態における製造工程中の半導体装置を模式的に示す断面図である。It is sectional drawing which shows typically the semiconductor device in the manufacturing process in this Embodiment. 図5に続く製造工程中の半導体装置を模式的に示す断面図である。FIG. 6 is a cross-sectional view schematically showing the semiconductor device in the manufacturing process following FIG. 5. 図6に続く製造工程中の半導体装置を模式的に示す断面図である。FIG. 7 is a cross-sectional view schematically showing the semiconductor device in the manufacturing process following FIG. 6. 図7に続く製造工程中の半導体装置を模式的に示す断面図である。FIG. 8 is a cross-sectional view schematically showing the semiconductor device in the manufacturing process following FIG. 7. 図8に続く製造工程中の半導体装置を模式的に示す断面図である。FIG. 9 is a cross-sectional view schematically showing the semiconductor device in the manufacturing process following FIG. 8. 図9に続く製造工程中の半導体装置を模式的に示す断面図である。FIG. 10 is a cross-sectional view schematically showing the semiconductor device in the manufacturing process following FIG. 9.

符号の説明Explanation of symbols

1 プラズマCVD装置
2 処理室
3a ゲートバルブ
3b ゲートバルブ
4 搬送室
5 搬送ロボット
6 ロードロック室
7a ウエハカセット
7b ウエハカセット
8a 真空ポンプ
8b 真空ポンプ
8c 真空ポンプ
9 マスフローコントローラ
11 半導体基板
12 酸化シリコン膜
13 窒化シリコン膜
14a 素子分離溝
14b 酸化シリコン膜
21 pウェル
22 nウェル
23 ゲート絶縁膜
23a 酸化シリコン膜
24 ゲート電極
24a シリコン多結晶膜
25 キャップ絶縁膜
25a 酸化シリコン膜
26 サイドウォール
27 n型半導体領域
28 p型半導体領域
29 酸化シリコン膜
30 接続孔
31 プラグ
32 配線
33 層間絶縁膜
34 接続孔
35 プラグ
36 ストッパ絶縁膜
37 絶縁膜
38 配線溝
39 バリアメタル層
40 配線
DESCRIPTION OF SYMBOLS 1 Plasma CVD apparatus 2 Processing chamber 3a Gate valve 3b Gate valve 4 Transfer chamber 5 Transfer robot 6 Load lock chamber 7a Wafer cassette 7b Wafer cassette 8a Vacuum pump 8b Vacuum pump 8c Vacuum pump 9 Mass flow controller 11 Semiconductor substrate 12 Silicon oxide film 13 Nitride Silicon film 14a Element isolation trench 14b Silicon oxide film 21 p well 22 n well 23 Gate insulating film 23a Silicon oxide film 24 Gate electrode 24a Silicon polycrystalline film 25 Cap insulating film 25a Silicon oxide film 26 Side wall 27 N-type semiconductor region 28 p Type semiconductor region 29 silicon oxide film 30 connection hole 31 plug 32 wiring 33 interlayer insulating film 34 connection hole 35 plug 36 stopper insulating film 37 insulating film 38 wiring groove 39 barrier metal layer 40 line

Claims (5)

第1排気系と接続された処理室と、第2排気系および不活性ガスを供給する供給系と接続された搬送室と、前記処理室と前記搬送室との間のゲートバルブとを備える半導体製造装置を用いる半導体装置の製造方法であって、
前記第1排気系、第2排気系および供給系を制御し、前記処理室の圧力より前記搬送室の圧力を高くすることを特徴とする半導体装置の製造方法。
A semiconductor comprising a processing chamber connected to a first exhaust system, a transfer chamber connected to a second exhaust system and a supply system for supplying an inert gas, and a gate valve between the processing chamber and the transfer chamber A method of manufacturing a semiconductor device using a manufacturing apparatus,
A method of manufacturing a semiconductor device, wherein the first exhaust system, the second exhaust system, and the supply system are controlled so that the pressure in the transfer chamber is higher than the pressure in the processing chamber.
請求項1記載の半導体装置の製造方法において、
前記処理室の圧力と前記搬送室の圧力との差が、200Pa以上であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein a difference between the pressure in the processing chamber and the pressure in the transfer chamber is 200 Pa or more.
請求項1記載の半導体装置の製造方法において、
前記処理室の圧力に対する前記搬送室の圧力の比が、100倍以上であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein a ratio of the pressure in the transfer chamber to the pressure in the processing chamber is 100 times or more.
請求項1記載の半導体装置の製造方法において、
前記処理室の圧力と前記搬送室の圧力との差が200Pa以上である時に、前記ゲートバルブを開けることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein the gate valve is opened when a difference between the pressure in the processing chamber and the pressure in the transfer chamber is 200 Pa or more.
処理室と、搬送室と、前記処理室と前記搬送室との間のゲートバルブとを備えた半導体製造装置であって、
前記処理室には、前記処理室からガスを排気する第1ポンプが接続されており、
前記搬送室には、前記搬送室からガスを排気する第2ポンプおよび前記搬送室に不活性ガスを供給するマスフローコントローラが接続されていることを特徴とする半導体製造装置。
A semiconductor manufacturing apparatus comprising a processing chamber, a transfer chamber, and a gate valve between the processing chamber and the transfer chamber,
A first pump that exhausts gas from the processing chamber is connected to the processing chamber,
A semiconductor manufacturing apparatus, wherein a second pump for exhausting gas from the transfer chamber and a mass flow controller for supplying an inert gas to the transfer chamber are connected to the transfer chamber.
JP2005078288A 2005-03-18 2005-03-18 Process and equipment for fabricating semiconductor device Pending JP2006261465A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005078288A JP2006261465A (en) 2005-03-18 2005-03-18 Process and equipment for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005078288A JP2006261465A (en) 2005-03-18 2005-03-18 Process and equipment for fabricating semiconductor device

Publications (1)

Publication Number Publication Date
JP2006261465A true JP2006261465A (en) 2006-09-28

Family

ID=37100352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005078288A Pending JP2006261465A (en) 2005-03-18 2005-03-18 Process and equipment for fabricating semiconductor device

Country Status (1)

Country Link
JP (1) JP2006261465A (en)

Similar Documents

Publication Publication Date Title
JP5204964B2 (en) Manufacturing method of semiconductor device
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
JP2020522131A (en) Method of anhydrous etching
CN109417048A (en) Flowable amorphous silicon film for gap filling application
KR20160055877A (en) Methods for etching an etching stop layer utilizing a cyclical etching process
JP2007311540A (en) Method of manufacturing semiconductor device
JP2007281298A (en) Semiconductor device manufacturing method
KR20160055227A (en) Methods for stabilizing an interface post etch to minimize queue time issues before next processing step
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
KR101678266B1 (en) Device for producing and method for producing semiconductor device
TW202044560A (en) Vertical transistor fabrication for memory applications
US11107699B2 (en) Semiconductor manufacturing process
JP2009141096A (en) Method of manufacturing semiconductor device
JP2013110418A (en) Semiconductor device manufacturing method
JP2006336069A (en) Manufacturing method of semi-conductor apparatus
US20070155105A1 (en) Method for forming transistor of semiconductor device
US20230045689A1 (en) Method of forming interconnect for semiconductor device
JP2006269528A (en) Semiconductor treatment apparatus
US20210066064A1 (en) Methods and apparatus for cleaning metal contacts
US20170229315A1 (en) Integrated layer etch system with multiple type chambers
US20200365453A1 (en) Metal liner passivation and adhesion enhancement by zinc doping
JP2006261465A (en) Process and equipment for fabricating semiconductor device
JP2006344670A (en) Manufacturing method of semiconductor device
JP2006261539A (en) Process for fabricating semiconductor device
JP2009094380A (en) Method for manufacturing semiconductor device