JP2006234517A - Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device - Google Patents

Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device Download PDF

Info

Publication number
JP2006234517A
JP2006234517A JP2005048015A JP2005048015A JP2006234517A JP 2006234517 A JP2006234517 A JP 2006234517A JP 2005048015 A JP2005048015 A JP 2005048015A JP 2005048015 A JP2005048015 A JP 2005048015A JP 2006234517 A JP2006234517 A JP 2006234517A
Authority
JP
Japan
Prior art keywords
optical system
aberration
measurement
marks
mark
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005048015A
Other languages
Japanese (ja)
Inventor
Tsuneyuki Hagiwara
恒幸 萩原
Naohito Kondo
尚人 近藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2005048015A priority Critical patent/JP2006234517A/en
Publication of JP2006234517A publication Critical patent/JP2006234517A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To measure the optical characteristics of an optical system under test with high accuracy, by reducing the effects of manufacturing errors in marks for measurement. <P>SOLUTION: A first group of aberration measurement marks 1A to 1I and a second group of aberration measurement marks 2A to 2I, severally obtained by 180° turning about the first group of marks are formed on a reticle mark plate RFM. A first aberration of a projection optical system is found, based on the images of the measurement marks 1A to 1I obtained by the optical system. A second aberration of the optical system is found, based on images of the measurement marks 2A to 2I. The first and second aberrations are averaged for reducing the effect of depiction errors in the measurement marks 1A to 1I. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、光学系の光学特性を計測するための計測技術、及びこの計測技術を用いる露光技術に関し、例えば半導体素子、撮像素子(CCD等)、及び液晶表示素子等のデバイスを製造するためのリソグラフィ工程中で、マスクパターンを基板上に転写するために使用される投影露光装置に備えられた投影光学系の波面収差等を計測する際に好適なものである。   The present invention relates to a measurement technique for measuring an optical characteristic of an optical system and an exposure technique using the measurement technique, for example, for manufacturing devices such as a semiconductor element, an imaging element (CCD, etc.), and a liquid crystal display element. This is suitable for measuring the wavefront aberration and the like of the projection optical system provided in the projection exposure apparatus used for transferring the mask pattern onto the substrate during the lithography process.

従来より、半導体素子等を製造するためのリソグラフィ工程中で、マスクとしてのレチクル(又はフォトマスク等)のパターンを、投影光学系を介して基板としての感光材料が塗布されたウエハ(又はガラスプレート等)上に転写する一括露光型の投影露光装置(ステッパー等)、及び走査露光型の投影露光装置(スキャニングステッパー等)が使用されている。半導体素子等の集積度及び微細度が益々向上するのに応じて、投影露光装置の投影光学系に要求される諸収差等の結像特性の精度も高くなってきている。   Conventionally, in a lithography process for manufacturing a semiconductor element or the like, a reticle (or photomask or the like) pattern as a mask is coated with a wafer (or glass plate) coated with a photosensitive material as a substrate via a projection optical system. Etc.) A batch exposure type projection exposure apparatus (stepper or the like) and a scanning exposure type projection exposure apparatus (scanning stepper or the like) to be transferred thereon are used. As the integration degree and fineness of semiconductor elements and the like are further improved, the accuracy of imaging characteristics such as various aberrations required for the projection optical system of the projection exposure apparatus is also increasing.

投影光学系の収差の外乱要因としては、露光光の照射によるレンズの加熱(ヒート)、大気圧変動による屈折率変化、露光光がエキシマレーザ等のレーザ光である場合のレーザ波長の変化、及び露光光の照射によるレチクルの膨張などがあり、これらの要因に応じて投影光学系の様々な収差が変動する。また、投影露光装置には、投影光学系を構成する一部のレンズの姿勢を制御したり、又は所定のレンズ間の空間(レンズ室)内の気圧を制御したりすることによって、歪曲収差や球面収差等の所定の結像特性を所定の状態に制御するための結像特性制御機構が備えられている。   As the disturbance factors of the aberration of the projection optical system, heating of the lens by irradiation of exposure light, change of refractive index due to atmospheric pressure fluctuation, change of laser wavelength when exposure light is laser light such as excimer laser, and The reticle expands due to exposure light exposure, and various aberrations of the projection optical system fluctuate depending on these factors. Further, in the projection exposure apparatus, distortion aberration or the like can be controlled by controlling the posture of some lenses constituting the projection optical system or controlling the atmospheric pressure in a space (lens chamber) between predetermined lenses. An imaging characteristic control mechanism for controlling predetermined imaging characteristics such as spherical aberration to a predetermined state is provided.

従来は、それらの収差変動のうちのレンズのヒートによる収差変動については、テストレチクルに形成された所定の計測用マークを用いて露光光の照射量を変えながらベストフォーカス位置及び倍率の変化量を計測することで、予めその照射量とベストフォーカス位置及び倍率の変化量との関係を求めていた。そして、実際のデバイス用のレチクルのパターンを露光する際には、露光光の照射量に応じてそのベストフォーカス位置及び倍率の変化量を打ち消すようにその結像特性制御機構を制御していた。また、その計測用マークを用いて迅速に投影光学系の所定の収差を計測するために、そのマークの空間像(投影像)を計測し、この計測結果に基づいてその収差を算出する方法(以下、「空間像計測法」と呼ぶ)が提案されている(例えば、特許文献1参照)。
特開平10−170399号公報
Conventionally, aberration variations due to lens heat among these aberration variations are determined by changing the best focus position and magnification while changing the exposure light irradiation amount using a predetermined measurement mark formed on the test reticle. By measuring, the relationship between the irradiation amount, the best focus position, and the amount of change in magnification was obtained in advance. When the actual reticle pattern for a device is exposed, the imaging characteristic control mechanism is controlled so as to cancel the amount of change in the best focus position and magnification in accordance with the exposure light irradiation amount. Further, in order to quickly measure a predetermined aberration of the projection optical system using the measurement mark, a method of measuring an aerial image (projection image) of the mark and calculating the aberration based on the measurement result ( Hereinafter, it is referred to as “aerial image measurement method” (see, for example, Patent Document 1).
JP-A-10-170399

上記の如く従来は、テストレチクルに形成された所定の計測用マークを用いて投影光学系の収差を計測していた。また、従来のテストレチクルは、例えば電子線描画装置によってガラス基板にその計測用マークの原版パターンを描画してマスターレチクルを製造した後、そのマスターレチクルのパターンを縮小倍率が例えば1/5の投影露光装置よりなるフォトリピータを用いてガラス基板上に転写することによって製造されていた。この場合、そのマスターレチクルに形成された原版パターンの描画誤差等によって、そのテストレチクルの計測用マークに製造誤差が含まれていると、それが投影光学系の収差の計測誤差になるという問題があった。   As described above, conventionally, the aberration of the projection optical system has been measured using a predetermined measurement mark formed on the test reticle. In addition, a conventional test reticle is produced by, for example, producing a master reticle by drawing an original pattern of measurement marks on a glass substrate by an electron beam drawing apparatus, and then projecting the master reticle pattern to a reduction magnification of, for example, 1/5. It was manufactured by transferring onto a glass substrate using a photo repeater comprising an exposure device. In this case, if a manufacturing error is included in the measurement mark of the test reticle due to a drawing error of the original pattern formed on the master reticle, it becomes a measurement error of the aberration of the projection optical system. there were.

本発明は、斯かる点に鑑み、計測用マークの製造誤差の影響を低減して、投影光学系のような被検光学系の光学特性を高精度に計測できる計測技術及び露光技術を提供することを目的とする。   In view of the above, the present invention provides a measurement technique and an exposure technique that can reduce the influence of a manufacturing error of a measurement mark and accurately measure the optical characteristics of a test optical system such as a projection optical system. For the purpose.

本発明による光学特性計測方法は、被検光学系(PL)の光学特性を計測する光学特性計測方法において、第1マーク(1A〜1I)のその被検光学系による像に基づいてその被検光学系の第1の光学特性を求める第1工程と、その第1マークを所定角度回転した第2マーク(2A〜2I)のその被検光学系による像に基づいてその被検光学系の第2の光学特性を求める第2工程と、その第1及び第2の光学特性に基づいてその被検光学系の光学特性を求める第3工程とを有するものである。   The optical characteristic measuring method according to the present invention is an optical characteristic measuring method for measuring the optical characteristic of a test optical system (PL), based on an image of the first mark (1A to 1I) by the test optical system. A first step of obtaining a first optical characteristic of the optical system, and a second mark (2A to 2I) obtained by rotating the first mark by a predetermined angle based on an image of the optical system to be tested. The second step for obtaining the second optical characteristic and the third step for obtaining the optical characteristic of the test optical system based on the first and second optical characteristics.

斯かる本発明によれば、その計測用マークとしての第1マークに製造誤差による位置ずれ(マーク内の相対的な位置ずれ)がある場合には、その第2マークにはその位置ずれをその所定角度回転した方向の位置ずれがある。従って、その第1及び第2マークの像に基づいてそれぞれ求めた第1及び第2の光学特性に、その所定角度に応じた演算を施すことによって、その製造誤差の影響を軽減して、その被検光学系の光学特性を高精度に計測できる。   According to the present invention, when the first mark as the measurement mark has a position shift due to a manufacturing error (relative position shift within the mark), the second mark has the position shift. There is a displacement in the direction rotated by a predetermined angle. Therefore, the first and second optical characteristics obtained based on the images of the first and second marks are subjected to calculations according to the predetermined angles, thereby reducing the influence of the manufacturing error. The optical characteristics of the test optical system can be measured with high accuracy.

本発明において、一例としてその所定角度は180°である。この場合、その第1マークの製造誤差による位置ずれに対して、その第2マークの位置ずれはほぼ符号が逆で同じ大きさとなる。従って、例えばその第1及び第2の光学特性を平均化するという簡単な演算によって、その製造誤差の影響を軽減できる。
また、一例としてその第1マークは、異なる2方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むものである。これによって、波面収差をツェルニケ多項式で表した場合に、16次までのツェルニケ多項式で表される収差のうちの0θ、1θ、cos2θ成分の収差が計測可能である。
In the present invention, as an example, the predetermined angle is 180 °. In this case, the positional deviation of the second mark is almost the same as the positional deviation of the second mark with respect to the positional deviation due to manufacturing error of the first mark. Therefore, for example, the influence of the manufacturing error can be reduced by a simple calculation of averaging the first and second optical characteristics.
Further, as an example, the first mark includes marks having pitches of six or more types arranged along two different directions. Thus, when the wavefront aberration is expressed by a Zernike polynomial, aberrations of 0θ, 1θ, and cos 2θ components among the aberrations expressed by the Zernike polynomial up to the 16th order can be measured.

また、別の例として、その第1マークは、異なる6方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むものである。これによって、37次までのツェルニケ多項式で表される収差が計測可能である。
また、本発明による露光方法は、露光ビームで第1物体(R)及び投影光学系(PL)を介して第2物体(W)を露光する露光方法において、本発明の光学特性計測方法でその投影光学系の光学特性を計測する計測工程と、その計測工程の計測結果に基づいて、その投影光学系の結像特性を補正する補正工程とを有するものである。
As another example, the first mark includes marks with pitches of six or more types arranged along six different directions. As a result, aberrations represented by Zernike polynomials up to the 37th order can be measured.
An exposure method according to the present invention is an exposure method in which a second object (W) is exposed via an exposure beam via a first object (R) and a projection optical system (PL). It has a measurement process for measuring the optical characteristics of the projection optical system, and a correction process for correcting the imaging characteristics of the projection optical system based on the measurement results of the measurement process.

本発明によれば、計測用マークの製造誤差の影響を軽減して高精度にその投影光学系の光学特性を計測できる。この計測結果に基づいて、その投影光学系の結像特性を補正することによって、その結像特性を所望の状態に高精度に維持できる。
また、本発明による第1の基板は、被検光学系の光学特性を計測する際に使用される基板(RFM)であって、その被検光学系の光学特性の情報を含む空間像を形成するための第1マーク(1A〜1I)と、その第1マークを所定角度回転した形状の第2マーク(2A〜2I)とが形成されたものである。この基板を用いることで、本発明の光学特性計測方法を実施できる。
According to the present invention, it is possible to measure the optical characteristics of the projection optical system with high accuracy by reducing the influence of the manufacturing error of the measurement mark. By correcting the imaging characteristic of the projection optical system based on the measurement result, the imaging characteristic can be maintained in a desired state with high accuracy.
The first substrate according to the present invention is a substrate (RFM) used when measuring the optical characteristics of the test optical system, and forms an aerial image including information on the optical characteristics of the test optical system. The first mark (1A to 1I) to be formed and the second mark (2A to 2I) having a shape obtained by rotating the first mark by a predetermined angle are formed. By using this substrate, the optical property measuring method of the present invention can be implemented.

この場合、その基板には、その基板を第1の回転角で配置したときに使用される第1の一組の位置合わせ用マーク(65A〜65D)と、その基板をその第1の回転角に対して180°回転したときに使用される第2の一組の位置合わせ用マーク(64A〜64D)とが形成されていてもよい。
この場合、一例としてその所定角度は180°である。また、一例として、その第1マークは、異なる2方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むものである。また、別の例として、その第1マークは、異なる6方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むものである。
In this case, the substrate includes a first set of alignment marks (65A to 65D) used when the substrate is arranged at the first rotation angle, and the substrate is moved to the first rotation angle. A second set of alignment marks (64A to 64D) used when rotated by 180 ° with respect to each other may be formed.
In this case, as an example, the predetermined angle is 180 °. In addition, as an example, the first mark includes marks with pitches of six or more types arranged along two different directions. As another example, the first mark includes marks with pitches of six or more types arranged along six different directions.

また、本発明による第2の基板は、その第1の基板において、その第1及び第2マークは第1方向(Y方向)に沿って対向するように配置され、その第1及び第2マークよりなる1対のマーク(1A,2A)と同じ形状の1対のマークがその第1方向に交差する第2方向(X方向)に沿って複数対形成されているものである。この複数対のマークを用いることによって、例えば走査型露光装置におけるスリット状の露光領域内の光学特性を計測できる。   The second substrate according to the present invention is arranged such that the first and second marks are opposed to each other along the first direction (Y direction) in the first substrate. A plurality of pairs of marks having the same shape as the pair of marks (1A, 2A) are formed along a second direction (X direction) intersecting the first direction. By using the plurality of pairs of marks, for example, optical characteristics in a slit-shaped exposure region in a scanning exposure apparatus can be measured.

次に、本発明による光学特性計測装置は、被検光学系(PL)の光学特性を計測する光学特性計測装置において、本発明の基板(RFM)と、その基板を照明する照明系(12,14)と、その基板に形成されたその第1及び第2マークの被検光学系による像を検出する空間像検出系(59)と、その空間像検出系の検出結果に基づいてその被検光学系の光学特性を求める演算装置(50)とを備えたものである。   Next, an optical characteristic measuring apparatus according to the present invention is an optical characteristic measuring apparatus for measuring the optical characteristics of a test optical system (PL), the substrate (RFM) of the present invention, and an illumination system (12, 14), an aerial image detection system (59) for detecting images of the first and second marks formed on the substrate by the optical test system, and the test based on the detection result of the spatial image detection system And an arithmetic unit (50) for obtaining optical characteristics of the optical system.

この発明によれば、その演算装置において、その第1及び第2マークの像に基づいて求めた第1及び第2の光学特性に対して、その第1マークに対するその第2マークの回転角に応じた演算を施すことによって、その第1マークの製造誤差の影響を軽減して、その被検光学系の光学特性を高精度に計測できる。
次に、本発明による第1の露光装置は、露光ビームで第1物体(R)を照明し、その露光ビームでその第1物体及び投影光学系(PL)を介して第2物体(W)を露光する露光装置において、本発明の基板(RFM)と、その第1物体とともにその基板を保持するステージ(RST)と、その基板に形成されたその第1及び第2マークのその投影光学系による像を検出する空間像検出系(59)と、その空間像検出系の検出結果に基づいてその投影光学系の光学特性を求める演算装置(50)とを備えたものである。
According to the present invention, in the arithmetic device, with respect to the first and second optical characteristics obtained based on the images of the first and second marks, the rotation angle of the second mark with respect to the first mark is determined. By performing a corresponding calculation, the influence of the manufacturing error of the first mark can be reduced, and the optical characteristics of the optical system to be measured can be measured with high accuracy.
Next, the first exposure apparatus according to the present invention illuminates the first object (R) with the exposure beam, and the second object (W) through the first object and the projection optical system (PL) with the exposure beam. In the exposure apparatus for exposing the substrate, the substrate (RFM) of the present invention, the stage (RST) for holding the substrate together with the first object, and the projection optical system of the first and second marks formed on the substrate An aerial image detection system (59) for detecting an image by the above and an arithmetic unit (50) for obtaining optical characteristics of the projection optical system based on the detection result of the aerial image detection system.

また、本発明による第2の露光装置は、露光ビームで第1物体(R)を照明し、その第1物体と第2物体(W)とを同期移動しながら、その露光ビームでその第1物体及び投影光学系(PL)を介してその第2物体を露光する露光装置において、本発明の第2の基板(RFM)と、その第1物体とともにその基板をその第1方向がその第1物体の走査方向(Y方向)に平行になるように保持するステージ(RST)と、その基板に形成されたその第1及び第2マークのその投影光学系による像を検出する空間像検出系(59)と、その空間像検出系の検出結果に基づいてその投影光学系の光学特性を求める演算装置(50)とを備えたものである。
本発明の露光装置によれば、一括露光型又は走査露光型の露光装置において、それぞれ投影光学系の光学特性を高精度に計測できる。
Further, the second exposure apparatus according to the present invention illuminates the first object (R) with the exposure beam, and moves the first object and the second object (W) synchronously while moving the first object with the exposure beam. In an exposure apparatus that exposes an object and a second object via a projection optical system (PL), the second substrate (RFM) of the present invention and the substrate together with the first object have a first direction in the first direction. A stage (RST) that holds the object so as to be parallel to the scanning direction (Y direction) of the object, and an aerial image detection system that detects an image of the first and second marks formed on the substrate by the projection optical system ( 59) and an arithmetic unit (50) for obtaining the optical characteristics of the projection optical system based on the detection result of the aerial image detection system.
According to the exposure apparatus of the present invention, the optical characteristics of the projection optical system can be measured with high accuracy in the batch exposure type or scanning exposure type exposure apparatus.

本発明によれば、計測用マークとしての第1マーク及びこれを回転した第2マークの像に基づいて被検光学系の光学特性を求めることができるため、その計測用マークの製造誤差の影響を低減して、被検光学系の光学特性を高精度に計測できる。
また、本発明の露光方法及び装置によれば、計測用マークの製造誤差の影響を低減して、投影光学系の光学特性を高精度に計測できる。この計測結果に基づいて、必要に応じてその投影光学系の結像特性を補正することによって、その結像特性を所望の状態に維持できる。
According to the present invention, since the optical characteristics of the optical system to be measured can be obtained based on the image of the first mark as the measurement mark and the second mark rotated by this, the influence of the manufacturing error of the measurement mark. The optical characteristics of the test optical system can be measured with high accuracy.
Further, according to the exposure method and apparatus of the present invention, it is possible to measure the optical characteristics of the projection optical system with high accuracy by reducing the influence of the manufacturing error of the measurement mark. Based on the measurement result, the imaging characteristic of the projection optical system is corrected as necessary, so that the imaging characteristic can be maintained in a desired state.

以下、本発明の好ましい実施形態の一例につき図1〜図11を参照して説明する。
図1は、本例の投影露光装置10の概略構成を示す。本発明の露光装置に対応する投影露光装置10は、ステップ・アンド・スキャン方式の走査露光型の投影露光装置、即ちスキャニングステッパーである。
図1において、投影露光装置10は、レーザビームLBを発生する光源14(露光光源)、照明光学系12(照明ユニット)、マスク(第1物体)としてのレチクルRを保持して移動するレチクルステージRST、投影光学系PL、基板(第2物体又は感光体)としてのウエハWを保持して移動するウエハステージWST、及びこれらを制御する制御系等を備えている。そして、光源14及び制御系以外の部分は、実際には、内部の温度等の環境条件が高精度に制御され一定に維持されている不図示の環境チャンバ内に収容されている。
Hereinafter, an example of a preferred embodiment of the present invention will be described with reference to FIGS.
FIG. 1 shows a schematic configuration of a projection exposure apparatus 10 of this example. The projection exposure apparatus 10 corresponding to the exposure apparatus of the present invention is a step-and-scan type scanning exposure type projection exposure apparatus, that is, a scanning stepper.
In FIG. 1, a projection exposure apparatus 10 holds a reticle stage as a light source 14 (exposure light source) that generates a laser beam LB, an illumination optical system 12 (illumination unit), and a mask (first object) and moves. It includes an RST, a projection optical system PL, a wafer stage WST that holds and moves the wafer W as a substrate (second object or photoconductor), a control system that controls these, and the like. The parts other than the light source 14 and the control system are actually housed in an environmental chamber (not shown) in which environmental conditions such as the internal temperature are controlled with high accuracy and are maintained constant.

本例では、光源14として、ArFエキシマレーザ光源(発振波長193nm)が用いられている。光源14は、装置全体の動作を統轄制御するコンピュータよりなる主制御装置50によってそのレーザ発光のオン・オフや、中心波長、スペクトル半値幅、繰り返し周波数などが制御される。なお、露光光源として、KrFエキシマレーザ(波長248nm)、F2 レーザ(波長157nm)、YAGレーザの高調波発生装置、固体レーザ(半導体レーザ等)の高調波発生装置、又は水銀ランプ(i線等)等も使用できる。 In this example, an ArF excimer laser light source (oscillation wavelength 193 nm) is used as the light source 14. The light source 14 is controlled to turn on / off the laser emission, the center wavelength, the spectral half-value width, the repetition frequency, and the like by a main controller 50 that is a computer that controls the overall operation of the apparatus. As an exposure light source, KrF excimer laser (wavelength 248 nm), F 2 laser (wavelength 157 nm), harmonic generator of YAG laser, harmonic generator of solid-state laser (semiconductor laser, etc.), or mercury lamp (i-line etc.) ) Etc. can also be used.

照明光学系12は、光源14から供給されるレーザビームLBの断面形状を整形するビーム整形光学系18、オプティカル・インテグレータ(ユニフォマイザ又はホモジナイザ)としてのフライアイレンズ22、照明系開口絞り板24、第1リレーレンズ28A及び第2リレーレンズ28Bから成るリレー光学系、固定レチクルブラインド30A、可動レチクルブラインド30B、ミラーM、並びにコンデンサレンズ32等を備えている。なお、オプティカル・インテグレータとして、内面反射型インテグレータ(例えばロッドインテグレータ)又は回折光学素子等を用いてもよい。フライアイレンズ22を構成する多数の微小レンズは、それぞれビーム整形光学系18からのレーザビームLBを射出側の焦点面に集光し、その焦点面に2次光源(面光源)が形成される。以下では、フライアイレンズ22によって形成される2次光源から射出されるレーザビームLBを、露光ビーム(露光光)としての「照明光IL」と呼ぶ。   The illumination optical system 12 includes a beam shaping optical system 18 that shapes the cross-sectional shape of the laser beam LB supplied from the light source 14, a fly-eye lens 22 as an optical integrator (a homogenizer or a homogenizer), an illumination system aperture stop plate 24, A relay optical system including a first relay lens 28A and a second relay lens 28B, a fixed reticle blind 30A, a movable reticle blind 30B, a mirror M, a condenser lens 32, and the like are provided. As the optical integrator, an internal reflection type integrator (for example, a rod integrator) or a diffractive optical element may be used. A large number of microlenses constituting the fly-eye lens 22 each focus the laser beam LB from the beam shaping optical system 18 on the focal plane on the emission side, and a secondary light source (surface light source) is formed on the focal plane. . Hereinafter, the laser beam LB emitted from the secondary light source formed by the fly-eye lens 22 is referred to as “illumination light IL” as an exposure beam (exposure light).

光源14及び照明光学系12は、後述の空間像計測時の照明系としても使用される。照明光学系12において、フライアイレンズ22の射出側焦点面の近傍には、円板状部材から成る照明系開口絞り板24が配置されている。この照明系開口絞り板24には、ほぼ等角度間隔で、例えば通常の円形開口より成る開口絞り(通常絞り)、小さな円形開口より成りコヒーレンスファクタであるσ値を小さくするための開口絞り(小σ絞り)、輪帯照明用の輪帯状の開口絞り(輪帯絞り)、及び変形光源法用に複数の開口を偏心させて配置して成る変形開口絞り(例えば2極照明又は4極照明用の開口絞り)等が配置されている。この照明系開口絞り板24は、主制御装置50により制御されるモータ等の駆動装置40により回転されるようになっており、この回転動作により、いずれかの開口絞りが照明光ILの光路上に選択的に設定される。   The light source 14 and the illumination optical system 12 are also used as an illumination system for a later-described aerial image measurement. In the illumination optical system 12, an illumination system aperture stop plate 24 made of a disk-like member is disposed in the vicinity of the exit-side focal plane of the fly-eye lens 22. The illumination system aperture stop plate 24 is provided with an aperture stop (small aperture) made up of, for example, a normal circular aperture, and an aperture stop (small size) for reducing the σ value that is a coherence factor made up of a small circular aperture at substantially equal angular intervals. σ stop), an annular aperture stop for annular illumination (annular aperture stop), and a modified aperture stop (for example, for dipole illumination or quadrupole illumination) in which a plurality of apertures are decentered for the modified light source method. ) And the like are arranged. The illumination system aperture stop plate 24 is rotated by a drive device 40 such as a motor controlled by the main control device 50, and any one of the aperture stops is on the optical path of the illumination light IL by this rotation operation. Selectively set.

照明系開口絞り板24から出た照明光ILの光路上に、反射率が小さく透過率の大きなビームスプリッタ26が配置され、更にこの後方の光路上に、レチクルブラインド30A、30Bを介在させてリレー光学系(28A,28B)が配置されている。
固定レチクルブラインド30Aは、レチクルRのパターン面に対する共役面から僅かにデフォーカスした面に配置されており、その固定レチクルブラインド30Aには、レチクルR上での照明領域IARを規定する矩形開口が形成されている。また、この固定レチクルブラインド30Aの近傍には、走査露光時の走査方向、及びこれに直交する非走査方向に光学的にそれぞれ対応して位置及び幅が可変の開口部を有する可動レチクルブラインド30Bが配置されている。走査露光の開始時及び終了時において、主制御装置50からの指示により、固定レチクルブラインド30Aによって規定されている照明領域IARが、可動レチクルブラインド30Bによって更に制限されることによって、不要な部分(レチクルR上の回路パターン等の転写すべき部分以外の部分)の露光が防止されるようになっている。また、本例では、可動レチクルブラインド30Bは、必要に応じて後述する空間像計測の際の照明領域の設定にも用いられる。
A beam splitter 26 having a low reflectance and a high transmittance is disposed on the optical path of the illumination light IL emitted from the illumination system aperture stop plate 24, and further, relays are provided on the rear optical path with reticle blinds 30A and 30B interposed therebetween. Optical systems (28A, 28B) are arranged.
The fixed reticle blind 30A is disposed on a surface slightly defocused from the conjugate plane with respect to the pattern surface of the reticle R, and the fixed reticle blind 30A is formed with a rectangular opening that defines the illumination area IAR on the reticle R. Has been. Also, in the vicinity of the fixed reticle blind 30A, there is a movable reticle blind 30B having an opening whose position and width are optically corresponding to the scanning direction at the time of scanning exposure and the non-scanning direction orthogonal thereto. Has been placed. At the start and end of scanning exposure, the illumination area IAR defined by the fixed reticle blind 30A is further restricted by the movable reticle blind 30B according to instructions from the main controller 50, so that unnecessary portions (reticles) The exposure of the portion other than the portion to be transferred such as the circuit pattern on R is prevented. In this example, the movable reticle blind 30B is also used for setting an illumination area when performing aerial image measurement, which will be described later, as necessary.

一方、照明光学系12内のビームスプリッタ26で反射された照明光ILの光路上には、集光レンズ44、受光素子から成るインテグレータセンサ46が配置されている。
そして、露光時に光源14から射出されたレーザビームLBは、照明光学系12内で照明光ILとなり、照明光ILは、ミラーMによって光路が垂直下方に折り曲げられた後、コンデンサレンズ32を経て、レチクルRのパターン面(下面)の非走査方向に細長いスリット状の照明領域IARを均一な照度分布で照明する。
On the other hand, an integrator sensor 46 including a condenser lens 44 and a light receiving element is disposed on the optical path of the illumination light IL reflected by the beam splitter 26 in the illumination optical system 12.
The laser beam LB emitted from the light source 14 at the time of exposure becomes illumination light IL in the illumination optical system 12, and the illumination light IL is bent vertically downward by the mirror M and then passes through the condenser lens 32. A slit-like illumination area IAR elongated in the non-scanning direction of the pattern surface (lower surface) of the reticle R is illuminated with a uniform illuminance distribution.

一方、ビームスプリッタ26で反射された照明光ILの一部は、集光レンズ44を介してインテグレータセンサ46で受光され、インテグレータセンサ46の光電変換信号が、ピークホールド回路及びA/D変換器を有する信号処理装置80を介して主制御装置50に供給される。ビームスプリッタ26、集光レンズ44、及びインテグレータセンサ46が照射量制御系となる。本例では、インテグレータセンサ46の計測値は、ウエハWに対する露光量制御に用いられる他、投影光学系PLに対する照射量の計算に用いられる。この照射量は、ウエハ反射率(これは、インテグレータセンサ46の出力と不図示の反射率モニタの出力とに基づいて求めることもできる)とともに、投影光学系PLの照明光吸収による結像特性の変化量の算出にも用いられる。   On the other hand, a part of the illumination light IL reflected by the beam splitter 26 is received by the integrator sensor 46 via the condenser lens 44, and the photoelectric conversion signal of the integrator sensor 46 passes through the peak hold circuit and the A / D converter. It is supplied to the main controller 50 through the signal processing device 80 having the same. The beam splitter 26, the condenser lens 44, and the integrator sensor 46 serve as an irradiation amount control system. In this example, the measurement value of the integrator sensor 46 is used not only for exposure amount control on the wafer W but also for calculation of the irradiation amount for the projection optical system PL. This irradiation amount is not only the wafer reflectivity (which can also be obtained based on the output of the integrator sensor 46 and the output of the reflectivity monitor (not shown)) and the imaging characteristics due to the absorption of illumination light of the projection optical system PL. It is also used to calculate the amount of change.

本例では、主制御装置50によって、その照明光ILの照射量がインテグレータセンサ46の出力に基づいて所定の時間間隔で計測され、その計測結果が照射履歴として、メモリ51(記憶装置)内に記憶されるようになっている。
その照明光ILのもとで、レチクルRの照明領域IAR内のパターンの、両側(又はウエハ側に片側)テレセントリックの投影光学系PLによって形成された像は、感光材料としてのフォトレジストが塗布されたウエハWの一つのショット領域上の露光領域IAに投影される。露光領域IAは照明領域IARと共役であり、投影光学系PLは、レチクルR(第1物体)のパターン面のパターンの像をウエハW(第2物体)の上面に形成している。投影光学系PLの投影倍率は、例えば1/4又は1/5等の縮小倍率であるが、以下の説明では、投影光学系PLの投影倍率は1/4であるとする。本例の投影光学系PLは、屈折系であるが、投影光学系PLとしては、反射屈折系なども使用できる。また、図3に示すように、投影光学系PLの瞳面PPの近傍には、投影光学系PLの開口数NAを制御するための可変開口絞りASが配置されている。
In this example, the main controller 50 measures the irradiation amount of the illumination light IL at predetermined time intervals based on the output of the integrator sensor 46, and the measurement result is stored in the memory 51 (storage device) as an irradiation history. It has come to be remembered.
Under the illumination light IL, an image formed by the telecentric projection optical system PL on both sides (or one side on the wafer side) of the pattern in the illumination area IAR of the reticle R is coated with a photoresist as a photosensitive material. And projected onto an exposure area IA on one shot area of the wafer W. The exposure area IA is conjugate with the illumination area IAR, and the projection optical system PL forms an image of the pattern surface of the reticle R (first object) on the upper surface of the wafer W (second object). The projection magnification of the projection optical system PL is, for example, a reduction magnification such as 1/4 or 1/5. In the following description, it is assumed that the projection magnification of the projection optical system PL is 1/4. Although the projection optical system PL of this example is a refraction system, a catadioptric system or the like can also be used as the projection optical system PL. As shown in FIG. 3, a variable aperture stop AS for controlling the numerical aperture NA of the projection optical system PL is disposed in the vicinity of the pupil plane PP of the projection optical system PL.

以下、投影光学系PLの光軸AXに平行な方向にZ軸を取り、Z軸に垂直な平面内で図1の紙面に垂直な方向にX軸を、図1の紙面に平行な方向にY軸を取って説明する。本例では、走査露光時のレチクルR及びウエハWの走査方向は、Y軸に平行な方向(Y方向)であり、レチクルR上の照明領域IAR、及びウエハW上の露光領域IAはそれぞれ非走査方向(X方向)に細長い領域である。   Hereinafter, the Z-axis is taken in a direction parallel to the optical axis AX of the projection optical system PL, the X-axis is taken in a direction perpendicular to the paper surface of FIG. 1 within a plane perpendicular to the Z-axis, and the direction parallel to the paper surface of FIG. A description will be given taking the Y axis. In this example, the scanning direction of the reticle R and the wafer W during scanning exposure is a direction parallel to the Y axis (Y direction), and the illumination area IAR on the reticle R and the exposure area IA on the wafer W are not in each case. This is an elongated region in the scanning direction (X direction).

本例の投影光学系PLには、その所定の結像特性を制御(補正)するための結像特性補正装置が備えられている。
図2は、図1中の投影光学系PLの結像特性補正装置を示す一部を断面とした図であり、この図2において、説明の便宜上、投影光学系PLを構成するように光軸AXに沿って配置された多数のレンズエレメントのうちの8枚のレンズエレメント131、132、…、138 のみを図示している。この場合、レンズエレメント131、132、…、138 のうち、その一部、例えばレンズエレメント131、132は、それぞれ複数の駆動素子(例えばピエゾ素子など)20によって光軸AX方向及びXY平面に対する傾斜方向に微小駆動可能に構成されている。また、各レンズエレメントの間には、不図示のガス供給機構から圧力調整機構41を介してクリーンな気体、例えば窒素が供給されるようになっている。
The projection optical system PL of the present example is provided with an imaging characteristic correction device for controlling (correcting) the predetermined imaging characteristics.
FIG. 2 is a cross-sectional view showing a part of the imaging characteristic correction apparatus for the projection optical system PL in FIG. 1. In FIG. 2, for convenience of explanation, the optical axis is configured to form the projection optical system PL. Of the many lens elements arranged along AX, only eight lens elements 13 1 , 13 2 ,..., 13 8 are shown. In this case, some of the lens elements 13 1 , 13 2 ,..., 13 8 , for example, the lens elements 13 1 , 13 2 , are respectively arranged in the direction of the optical axis AX by a plurality of drive elements (for example, piezoelectric elements) 20 It is configured so that it can be finely driven in an inclination direction with respect to the XY plane. A clean gas such as nitrogen is supplied between the lens elements via a pressure adjusting mechanism 41 from a gas supply mechanism (not shown).

本例では、各駆動素子20に与えられる駆動電圧(駆動素子の駆動量)が、図1の主制御装置50からの指令に応じて結像特性補正コントローラ78により制御される。このように、駆動素子20及び結像特性補正コントローラ78を含んで結像特性補正装置が構成されている。これによって、投影光学系PLの結像特性(光学特性)としての波面収差又は所定の収差が補正される(詳細後述)。なお、その可動レンズエレメントの数は任意で良い。但し、例えば可動レンズエレメントの数が、フォーカスを除く、投影光学系PLの結像特性の補正可能な種類に対応しており、補正対象の波面収差の種類(後述のツェルニケ多項式で表される波面収差の数)又は補正が必要な結像特性の種類に応じて、可動レンズエレメントの数又は可動のレンズエレメントの全体としての駆動の自由度を定めれば良い。   In this example, the drive voltage (drive amount of the drive element) applied to each drive element 20 is controlled by the imaging characteristic correction controller 78 in accordance with a command from the main controller 50 in FIG. As described above, the imaging characteristic correction apparatus is configured including the drive element 20 and the imaging characteristic correction controller 78. Thereby, the wavefront aberration or the predetermined aberration as the imaging characteristic (optical characteristic) of the projection optical system PL is corrected (details will be described later). The number of movable lens elements may be arbitrary. However, for example, the number of movable lens elements corresponds to the types that can correct the imaging characteristics of the projection optical system PL, excluding the focus, and the types of wavefront aberrations to be corrected (wavefronts represented by Zernike polynomials described later) The number of movable lens elements or the degree of freedom of driving as a whole of the movable lens elements may be determined according to the number of aberrations) or the type of imaging characteristics that need to be corrected.

図1に戻り、レチクルステージRST上には、レチクルRが例えば真空吸着(又は静電吸着)により固定されている。レチクルステージRSTは、リニアモータ等を含むレチクルステージ駆動系56Rにより、レチクルベースRBS上のXY平面内で2次元的に(X方向、Y方向、及びZ軸回りの回転方向(回転角θz)に微少駆動可能であるとともに、レチクルベースRBS上をY方向に指定された走査速度で移動可能となっている。   Returning to FIG. 1, the reticle R is fixed on the reticle stage RST by, for example, vacuum suction (or electrostatic suction). Reticle stage RST is two-dimensionally (X direction, Y direction, and rotational direction (rotation angle θz) around the Z axis) in the XY plane on reticle base RBS by reticle stage drive system 56R including a linear motor or the like. It can be driven minutely and can move on the reticle base RBS at a scanning speed designated in the Y direction.

また、レチクルステージRST上には、レーザ干渉計(以下、「レチクル干渉計」という)54Rからのレーザビームを反射する移動鏡52Rが固定されており、レチクルステージRSTのXY平面内の位置はレチクル干渉計54Rによって、例えば0.1〜1nm程度の分解能で常時検出される。即ち、実際には、移動鏡52Rは、Y方向の位置を2箇所で計測するための2つのY軸の移動鏡と、X軸の移動鏡とから構成され、レーザ干渉計54Rもそれに対応して3軸のレーザ干渉計から構成されている。   A movable mirror 52R that reflects a laser beam from a laser interferometer (hereinafter referred to as “reticle interferometer”) 54R is fixed on the reticle stage RST. The position of the reticle stage RST in the XY plane is the reticle stage RST. For example, the interferometer 54R always detects with a resolution of about 0.1 to 1 nm. In other words, the moving mirror 52R is actually composed of two Y-axis moving mirrors for measuring the position in the Y direction at two locations, and the X-axis moving mirror, and the laser interferometer 54R also corresponds thereto. And a three-axis laser interferometer.

レチクル干渉計54RからのレチクルステージRSTの位置情報は、ステージ制御装置70、及びこれを介して主制御装置50に送られる。ステージ制御装置70は、主制御装置50の指示により、レチクルステージ駆動系56Rを介してレチクルステージRSTの移動を制御する。なお、レチクルステージRSTの端面を鏡面加工して前述の移動鏡52Rの反射面を形成しても良い。   Position information of reticle stage RST from reticle interferometer 54R is sent to stage controller 70 and main controller 50 via this. The stage controller 70 controls the movement of the reticle stage RST via the reticle stage drive system 56R according to an instruction from the main controller 50. Alternatively, the end surface of reticle stage RST may be mirror-finished to form the reflection surface of movable mirror 52R described above.

また、レチクルステージRSTの−Y方向の端部近傍には、空間像計測用基準マーク(計測用パターン)が形成された基準部材又は基板としてのレチクルフィデューシャルマーク板(以下、「レチクルマーク板」と略述する)RFMが、レチクルRと並ぶように配置されている。このレチクルマーク板RFM(詳細後述)は、レチクルRと同材質のガラス素材、例えば合成石英や蛍石、フッ化リチウムその他のフッ化物結晶などから構成されており、レチクルステージRST(ステージ)に固定されている。レチクルステージRSTは、レチクルRの全面とレチクルマーク板RFMの全面とが少なくとも投影光学系PLの光軸AXを横切ることができる程度のY方向の移動ストロークを有している。また、レチクルステージRSTには、レチクルR及びレチクルマーク板RFMの下方に、照明光ILを通すための開口がそれぞれ形成されている。また、レチクルベースRBSの投影光学系PLのほぼ真上の部分(光軸AXを中心とする部分)にも、照明光ILの通路となる、少なくとも照明領域IARより大きな長方形状の開口が形成されている。   Also, a reticle fiducial mark plate (hereinafter referred to as “reticle mark plate”) as a reference member or substrate on which an aerial image measurement reference mark (measurement pattern) is formed in the vicinity of the end in the −Y direction of reticle stage RST. The RFM is abbreviated as "" and is aligned with the reticle R. This reticle mark plate RFM (described later in detail) is made of the same glass material as that of reticle R, for example, synthetic quartz, fluorite, lithium fluoride and other fluoride crystals, and is fixed to reticle stage RST (stage). Has been. Reticle stage RST has a movement stroke in the Y direction such that the entire surface of reticle R and the entire surface of reticle mark plate RFM can cross at least optical axis AX of projection optical system PL. In addition, openings for passing illumination light IL are formed in reticle stage RST below reticle R and reticle mark plate RFM, respectively. Also, a rectangular opening at least larger than the illumination area IAR, which is a passage for the illumination light IL, is formed in a portion almost directly above the projection optical system PL of the reticle base RBS (portion centered on the optical axis AX). ing.

また、レチクルRの上方には、投影光学系PLを介してレチクルR上又はレチクルマーク板RFM上のマークと、ウエハステージWST上の後述する基準マーク板(不図示)上の基準マークとを同時に観察するための露光波長の光を用いたTTR(Through The Reticle)方式の一対のレチクルアライメント顕微鏡(以下、便宜上「RA検出系」と呼ぶ)(不図示)が設けられている。これらのRA検出系の検出信号は、不図示のアライメント制御装置を介して、主制御装置50に供給される。なお、そのRA検出系と同等の構成は、例えば特開平7−176468号公報等に開示されている。   Also, above the reticle R, a mark on the reticle R or on the reticle mark plate RFM and a reference mark on a later-described reference mark plate (not shown) on the wafer stage WST are simultaneously provided via the projection optical system PL. A pair of TTR (Through The Reticle) type reticle alignment microscopes (hereinafter referred to as “RA detection system” for convenience) (not shown) (not shown) using light having an exposure wavelength for observation is provided. The detection signals of these RA detection systems are supplied to the main controller 50 through an alignment controller (not shown). A configuration equivalent to that of the RA detection system is disclosed in, for example, JP-A-7-176468.

図1において、ウエハステージWSTは、XYステージ42と、このXYステージ42上に搭載されたZチルトステージ38とを含んで構成されている。XYステージ42は、ウエハベース16の上面の上方に不図示のエアベアリングによって例えば数μm程度のクリアランスを介して浮上支持されている。更に、XYステージ42は、ウエハステージ駆動系56Wを構成する不図示のリニアモータ等によって走査方向であるY方向及びこれに直交するX方向に2次元駆動可能に構成されている。このXYステージ42上にZチルトステージ38が搭載され、Zチルトステージ38上にウエハホルダ25が固定されている。このウエハホルダ25によって、ウエハWが真空吸着等により保持されている。   In FIG. 1, wafer stage WST includes XY stage 42 and Z tilt stage 38 mounted on XY stage 42. The XY stage 42 is levitated and supported above the upper surface of the wafer base 16 by an air bearing (not shown) with a clearance of about several μm, for example. Further, the XY stage 42 is configured to be capable of two-dimensional driving in the Y direction which is the scanning direction and the X direction orthogonal thereto by a linear motor (not shown) constituting the wafer stage driving system 56W. A Z tilt stage 38 is mounted on the XY stage 42, and the wafer holder 25 is fixed on the Z tilt stage 38. The wafer W is held by the wafer holder 25 by vacuum suction or the like.

Zチルトステージ38は、図2に示すように、3つのZ位置駆動部27A、27B、27C(但し、図2の紙面奥側のZ位置駆動部27Cは不図示)によってXYステージ42上に3点で支持されている。これらのZ位置駆動部27A〜27Cは、Zチルトステージ38下面のそれぞれの支持点を投影光学系PLの光軸方向(Z方向)に独立して駆動する3つのアクチュエータ(例えばボイスコイルモータなど)21A、21B、21C(但し、図2の紙面奥側のアクチュエータ21Cは不図示)と、Zチルトステージ38のZ位置駆動部27A、27B、27Cによる各支持点のアクチュエータ21A、21B、21CによるZ方向の駆動量(基準位置からの変位)を検出するエンコーダ23A〜23C(但し、図2の紙面奥側のエンコーダ23Cは不図示)とを含んで構成されている。   As shown in FIG. 2, the Z tilt stage 38 is placed on the XY stage 42 by three Z position driving units 27A, 27B, and 27C (however, the Z position driving unit 27C on the back side in FIG. 2 is not shown). Supported by a point. These Z position driving units 27A to 27C have three actuators (for example, a voice coil motor) that independently drive the respective support points on the lower surface of the Z tilt stage 38 in the optical axis direction (Z direction) of the projection optical system PL. 21A, 21B, 21C (however, the actuator 21C on the back side of the paper in FIG. 2 is not shown), and the Z position drive units 27A, 27B, 27C of the Z tilt stage 38 are supported by the actuators 21A, 21B, 21C at the respective support points. It includes encoders 23A to 23C (however, encoder 23C on the back side in FIG. 2 is not shown) that detects a driving amount in the direction (displacement from the reference position).

本例では、アクチュエータ21A、21B、21Cによって、Zチルトステージ38(ウエハW)の光軸AX方向(Z方向)の位置、X軸回りの回転角θx、及びY軸回りの回転角θyを制御する。図1のステージ制御装置70は、露光中にはウエハWの上面が投影光学系PLの像面に合焦されるように、Zチルトステージ38のZ軸方向の位置及びレベリング量(回転角θx、θy)を算出し、この算出結果を用いてアクチュエータ21A〜21Cを駆動する。なお、図1では、XYステージ42を駆動するリニアモータ等、及び図2のZ位置駆動部27A〜27Cがまとめてウエハステージ駆動系56Wとして示されている。   In this example, the actuators 21A, 21B, and 21C control the position of the Z tilt stage 38 (wafer W) in the optical axis AX direction (Z direction), the rotation angle θx around the X axis, and the rotation angle θy around the Y axis. To do. The stage controller 70 in FIG. 1 adjusts the position and leveling amount (rotation angle θx) of the Z tilt stage 38 so that the upper surface of the wafer W is focused on the image plane of the projection optical system PL during exposure. , Θy) is calculated, and the actuators 21A to 21C are driven using the calculation result. In FIG. 1, the linear motor and the like for driving the XY stage 42 and the Z position driving units 27A to 27C in FIG. 2 are collectively shown as a wafer stage driving system 56W.

図1において、Zチルトステージ38上には、レーザ干渉計(以下、「ウエハ干渉計」という)54Wからのレーザビームを反射する移動鏡52Wが固定されている。ウエハ干渉計54Wによって、Zチルトステージ38(ウエハステージWST)のXY平面内の位置が、例えば0.1〜1nm程度の分解能で常時検出されるようになっている。実際には、Zチルトステージ38上には、走査方向(Y方向)に直交する反射面を有する移動鏡と非走査方向(X方向)に直交する反射面を有する移動鏡とが設けられ、これに対応してウエハ干渉計もX方向、Y方向にそれぞれ複数軸設けられ、Zチルトステージ38の5自由度方向の位置(X方向、Y方向の位置、及び回転角θx、θy、θz)が計測可能となっている。ウエハステージWSTの位置情報(又は速度情報)は、ステージ制御装置70、及びこれを介して主制御装置50に供給される。ステージ制御装置70は、主制御装置50の指示に応じてウエハステージ駆動系56Wを介してウエハステージWSTのXY平面内の位置を制御する。なお、Zチルトステージ38の端面を鏡面加工して前述の移動鏡52Wの反射面を形成するようにしてもよい。   In FIG. 1, a movable mirror 52 </ b> W that reflects a laser beam from a laser interferometer (hereinafter referred to as “wafer interferometer”) 54 </ b> W is fixed on the Z tilt stage 38. The position of the Z tilt stage 38 (wafer stage WST) in the XY plane is always detected by the wafer interferometer 54W with a resolution of, for example, about 0.1 to 1 nm. Actually, on the Z tilt stage 38, a movable mirror having a reflective surface orthogonal to the scanning direction (Y direction) and a movable mirror having a reflective surface orthogonal to the non-scanning direction (X direction) are provided. The wafer interferometer is also provided with a plurality of axes in the X direction and the Y direction, respectively, and the position of the Z tilt stage 38 in the direction of five degrees of freedom (the position in the X direction, the Y direction, and the rotation angles θx, θy, θz). Measurement is possible. Position information (or speed information) of wafer stage WST is supplied to stage controller 70 and main controller 50 via this. Stage control device 70 controls the position of wafer stage WST in the XY plane via wafer stage drive system 56W in accordance with an instruction from main control device 50. Note that the end surface of the Z tilt stage 38 may be mirror-finished to form the reflective surface of the movable mirror 52W.

また、本例の投影露光装置には、投影光学系PLの結像特性(光学特性)の計測に用いられる空間像計測装置59(空間像計測系)が備えられている。その空間像計測装置59を構成する光学系の一部がZチルトステージ38の内部に配置されている。
図3は、空間像計測装置59を示す一部を切り欠いた図であり、この図3において、空間像計測装置59は、Zチルトステージ38に設けられたステージ側構成部分、即ちパターン形成部材としてのスリット板90、レンズ84,86から成るリレー光学系、光路折り曲げ用のミラー88、送光レンズ87と、ウエハステージWST外部に設けられたステージ外構成部分、即ちミラー96、受光レンズ89、光電変換素子から成る光センサ94(光電センサ)等とを備えている。
Further, the projection exposure apparatus of the present example is provided with an aerial image measurement device 59 (aerial image measurement system) used for measuring the imaging characteristics (optical characteristics) of the projection optical system PL. A part of the optical system constituting the aerial image measurement device 59 is arranged inside the Z tilt stage 38.
FIG. 3 is a partially cutaway view showing the aerial image measuring device 59. In FIG. 3, the aerial image measuring device 59 is a stage side component provided on the Z tilt stage 38, that is, a pattern forming member. And a relay optical system comprising a slit plate 90, lenses 84 and 86, a mirror 88 for bending an optical path, a light transmitting lens 87, and components outside the stage provided outside the wafer stage WST, that is, a mirror 96, a light receiving lens 89, And an optical sensor 94 (photoelectric sensor) composed of a photoelectric conversion element.

これを更に詳述すると、スリット板90は、ウエハステージWSTのZチルトステージ38の端部上面に設けられて上部に開口が形成された突設部58に対し、その開口を覆う状態で上方から嵌め込まれている。このスリット板90は、XY平面に平行な長方形の平板状のガラス基板82の上面に遮光膜を兼ねる反射膜83を形成して構成され、その反射膜83の一部に所定幅2Dのスリット状の開口パターン(以下、「スリット」と呼ぶ)122が形成されている。なお、図3のスリット122は、スリット板90に設けられた複数のスリット(図5参照)のうちの一つを代表的に示している。ガラス基板82の素材としては、ここでは、ArFエキシマレーザ光の透過性の良い、合成石英又は蛍石などが用いられる。   More specifically, the slit plate 90 is provided on the upper surface of the end portion of the Z tilt stage 38 of the wafer stage WST, and is projected from above in a state of covering the opening with respect to the protruding portion 58 formed with an opening in the upper portion. It is inserted. The slit plate 90 is configured by forming a reflective film 83 also serving as a light shielding film on the upper surface of a rectangular flat glass substrate 82 parallel to the XY plane, and a slit shape having a predetermined width of 2D is formed on a part of the reflective film 83. The opening pattern (hereinafter referred to as “slit”) 122 is formed. 3 representatively shows one of a plurality of slits (see FIG. 5) provided in the slit plate 90. As the material of the glass substrate 82, here, synthetic quartz or fluorite having good ArF excimer laser light transmission property is used.

また、図3の状態では、照明光ILの照明領域に、レチクルマーク板RFMに形成された計測用マークPM(計測用パターン)が位置しており、そのマークの像が投影光学系PLによってスリット板90上に投影されている。そして、その照明光ILよりなる結像光束の一部がスリット122を通過している。スリット122下方のZチルトステージ38内部には、スリット122を介して鉛直下向きに入射した照明光IL(結像光束)の光路を水平に折り曲げるミラー88を介在させてレンズ84及び86から成るリレー光学系(84、86)が配置されている。また、このリレー光学系(84、86)の光路後方のZチルトステージ38の+Y方向側の側壁には、リレー光学系(84、86)によってリレーされた照明光をウエハステージWSTの外部のほぼ+Y方向に送光する送光レンズ87が固定されている。   In the state of FIG. 3, the measurement mark PM (measurement pattern) formed on the reticle mark plate RFM is located in the illumination area of the illumination light IL, and the image of the mark is slit by the projection optical system PL. Projected onto the plate 90. A part of the imaging light beam made of the illumination light IL passes through the slit 122. In the Z tilt stage 38 below the slit 122, a relay optical composed of lenses 84 and 86 is interposed via a mirror 88 that horizontally bends the optical path of illumination light IL (imaging light beam) incident vertically downward through the slit 122. A system (84, 86) is arranged. In addition, illumination light relayed by the relay optical system (84, 86) is almost outside the wafer stage WST on the side wall on the + Y direction side of the Z tilt stage 38 behind the optical path of the relay optical system (84, 86). A light transmission lens 87 that transmits light in the + Y direction is fixed.

送光レンズ87によってウエハステージWSTの外部に送り出された照明光ILの光路は、X方向に所定長さを有し傾斜角45°で斜設されたミラー96によって、鉛直上方に向けて90°折り曲げられる。この折り曲げられた光路上に、送光レンズ87に比べて大きい受光レンズ89が配置され、この上方に光センサ94が配置されている。これら受光レンズ89及び光センサ94は、所定の位置関係を保ってケース92内に収納され、ミラー96も不図示の支持部材を介してケース92に固定されている。そして、ミラー96で上方に反射された照明光ILは、受光レンズ89によって光センサ94の受光面に集光される。ケース92は、取付け部材93を介してウエハベース16の上面に植設された支柱97の上端部近傍に固定されている。   The optical path of the illumination light IL sent out of the wafer stage WST by the light sending lens 87 is 90 ° vertically upward by a mirror 96 having a predetermined length in the X direction and inclined at an inclination angle of 45 °. It can be bent. On the bent optical path, a light receiving lens 89 that is larger than the light transmitting lens 87 is disposed, and an optical sensor 94 is disposed above the light receiving lens 89. The light receiving lens 89 and the optical sensor 94 are housed in the case 92 while maintaining a predetermined positional relationship, and the mirror 96 is also fixed to the case 92 via a support member (not shown). The illumination light IL reflected upward by the mirror 96 is condensed on the light receiving surface of the optical sensor 94 by the light receiving lens 89. The case 92 is fixed to the vicinity of the upper end portion of the support column 97 implanted on the upper surface of the wafer base 16 via the mounting member 93.

光センサ94としては、微弱な光を精度良く検出することが可能な光電変換素子(光電センサ)、例えばフォト・マルチプライヤ・チューブ(PMT、光電子増倍管)などが用いられる。光センサ94からの光電変換信号PSは、図1の信号処理装置80を介して主制御装置50に送られるようになっている。信号処理装置80は、例えば増幅器、サンプルホールド回路、A/Dコンバータなどを含んで構成することができる。また、スリット122で代表している実際の複数のスリットの配置及び形状については後述する。   As the optical sensor 94, a photoelectric conversion element (photoelectric sensor) capable of accurately detecting weak light, for example, a photomultiplier tube (PMT, photomultiplier tube) or the like is used. The photoelectric conversion signal PS from the optical sensor 94 is sent to the main controller 50 via the signal processing device 80 of FIG. The signal processing device 80 can be configured to include, for example, an amplifier, a sample hold circuit, an A / D converter, and the like. The arrangement and shape of the actual plurality of slits represented by the slit 122 will be described later.

上述のようにして構成された空間像計測装置59によると、レチクルマーク板RFM(又はレチクルR)に形成された計測用マークPM(又はレチクルRに形成されたマーク)の投影光学系PLを介して得られる投影像(空間像)の計測の際に、投影光学系PLを透過してきた照明光IL(結像光束)によってスリット板90が照明される。そして、そのスリット板90のスリット122を通過した照明光ILが、レンズ84、ミラー88、レンズ86、及び送光レンズ87を介してウエハステージWSTの外部に導き出される。そして、そのウエハステージWSTの外部に導き出された光は、ミラー96及び受光レンズ89を介して光センサ94によって受光され、光センサ94からその受光量に応じた光電変換信号(光量信号)PSが図1の信号処理装置80を介して主制御装置50に出力される。   According to the aerial image measurement device 59 configured as described above, the measurement mark PM (or the mark formed on the reticle R) formed on the reticle mark plate RFM (or the reticle R) is projected via the projection optical system PL. When measuring the projection image (aerial image) obtained in this way, the slit plate 90 is illuminated by the illumination light IL (imaging light beam) transmitted through the projection optical system PL. The illumination light IL that has passed through the slit 122 of the slit plate 90 is guided to the outside of the wafer stage WST through the lens 84, the mirror 88, the lens 86, and the light transmission lens 87. The light guided to the outside of the wafer stage WST is received by the optical sensor 94 via the mirror 96 and the light receiving lens 89, and a photoelectric conversion signal (light quantity signal) PS corresponding to the amount of received light is received from the optical sensor 94. It is output to the main controller 50 via the signal processing device 80 of FIG.

本例では、計測マークの投影像(空間像)の計測がスリットスキャン方式によって実行されるので、その際には、送光レンズ87が、受光レンズ89及び光センサ94に対してX方向及びY方向に移動することになる。そこで、空間像計測装置59では、所定範囲内で移動する送光レンズ87を介した光がすべて受光レンズ89に入射するように、受光レンズ89の直径は送光レンズ87の直径よりも大きく設定されている。本例の空間像計測装置59においては、Zチルトステージ38に設けられたスリット板90を含む移動部と、ケース92に設けられた光センサ94を含む固定部とは、機械的に分離されている。そして、空間像計測に際してのみ、その移動部とその固定部とは、ミラー96を介して光学的に接続される。これによって、光センサ94の発熱による計測精度の低下が抑制される。   In this example, since the measurement of the projected image (aerial image) of the measurement mark is executed by the slit scan method, the light transmitting lens 87 is in the X direction and Y direction with respect to the light receiving lens 89 and the optical sensor 94 at that time. Will move in the direction. Therefore, in the aerial image measuring device 59, the diameter of the light receiving lens 89 is set larger than the diameter of the light transmitting lens 87 so that all the light passing through the light transmitting lens 87 moving within a predetermined range is incident on the light receiving lens 89. Has been. In the aerial image measurement device 59 of this example, the moving unit including the slit plate 90 provided in the Z tilt stage 38 and the fixed unit including the optical sensor 94 provided in the case 92 are mechanically separated. Yes. The moving part and the fixed part are optically connected via the mirror 96 only when the aerial image is measured. Thereby, a decrease in measurement accuracy due to heat generation of the optical sensor 94 is suppressed.

なお、空間像計測装置59において、送光レンズ87と受光レンズ89との間の光路を可撓な光ファイバケーブルで接続するようにしてもよい。また、例えば光センサ94の発熱が少ない場合、又は冷却機構によってその発熱の影響を軽減できる場合等には、光センサ94及び受光レンズ89を、例えば投影光学系PLの+Y方向の側面に固定することも可能である。更に、光センサ94をウエハステージWST(Zチルトステージ38)の内部に設けることも可能である。なお、空間像計測装置59を用いて行われる空間像計測及び収差計測方法などについては、後に詳述する。   In the aerial image measuring device 59, the optical path between the light transmitting lens 87 and the light receiving lens 89 may be connected by a flexible optical fiber cable. Further, for example, when the heat generation of the optical sensor 94 is small, or when the influence of the heat generation can be reduced by the cooling mechanism, the optical sensor 94 and the light receiving lens 89 are fixed to the side surface in the + Y direction of the projection optical system PL, for example. It is also possible. Furthermore, the optical sensor 94 can be provided inside the wafer stage WST (Z tilt stage 38). The aerial image measurement and the aberration measurement method performed using the aerial image measurement device 59 will be described in detail later.

図1に戻り、投影光学系PLの側面には、ウエハW上のアライメントマーク又は所定の基準マークを検出するマーク検出系としてのオフアクシス方式のアライメント系ALGが設けられている。本例では、このアライメント系ALGとして、画像処理方式のアライメント系、いわゆるFIA(Field Image Alignment)系が用いられている。このアライメント系ALGからの撮像信号が、不図示のアライメント制御装置に供給される。   Returning to FIG. 1, an off-axis alignment system ALG as a mark detection system for detecting an alignment mark on the wafer W or a predetermined reference mark is provided on the side surface of the projection optical system PL. In this example, an image processing type alignment system, a so-called FIA (Field Image Alignment) system is used as the alignment system ALG. An imaging signal from the alignment system ALG is supplied to an alignment control device (not shown).

更に、本例の投影露光装置10では、図1に示すように、照射系60a及び受光系60bから成る斜入射方式の多点焦点位置検出系(60a,60b)が設けられている。照射系60aは、ウエハWの表面又はスリット板90の表面である被検面に複数のスリット像を光軸AXに対して斜めに投影し、受光系60bは、被検面からの反射光を受光してそれらのスリット像を再結像する。そして、受光系60bは、それらの再結像された複数のスリット像の横ずれ量に対応する検出信号をステージ制御装置70に供給する。ステージ制御装置70では、一例としてそれらの検出信号をデフォーカス量に換算し、複数のデフォーカス量から、その被検面の投影光学系PLの像面に対するZ方向へのデフォーカス量と、X軸及びY軸の回りの傾斜角とを求める。なお、この多点焦点位置検出系(60a,60b)と同様の多点焦点位置検出系の詳細な構成は、例えば特開平6−283403号公報等に開示されているため、その構成についての詳細な説明を省略する。   Further, as shown in FIG. 1, the projection exposure apparatus 10 of this example is provided with an oblique incidence type multi-point focal position detection system (60a, 60b) comprising an irradiation system 60a and a light receiving system 60b. The irradiation system 60a projects a plurality of slit images obliquely with respect to the optical axis AX on the test surface that is the surface of the wafer W or the surface of the slit plate 90, and the light receiving system 60b reflects the reflected light from the test surface. It receives light and re-images those slit images. Then, the light receiving system 60b supplies a detection signal corresponding to the lateral shift amount of the re-imaged slit images to the stage controller 70. In the stage control device 70, as an example, these detection signals are converted into defocus amounts, and the defocus amount in the Z direction with respect to the image plane of the projection optical system PL of the test surface from the plurality of defocus amounts, and X An inclination angle about the axis and the Y axis is obtained. The detailed configuration of the multi-point focal position detection system similar to the multi-point focal position detection system (60a, 60b) is disclosed in, for example, Japanese Patent Application Laid-Open No. Hei 6-283403. The detailed explanation is omitted.

通常の露光時には、ステージ制御装置70は、多点焦点位置検出系(60a,60b)の検出結果を用いて、ウエハWの表面が投影光学系PLの像面に合焦されるように、オートフォーカス方式及びオートレベリング方式でウエハステージ駆動系56Wを介してZチルトステージ38のZ方向の位置及び傾斜角を制御する。また、露光中又は空間像計測中に主制御装置50からの指令に基づいて、ステージ制御装置70は、ウエハステージ駆動系56Wを介してウエハWの表面又はスリット板90の表面を投影光学系PLの像面に対してZ方向に指示された量だけデフォーカスさせることもできる。   During normal exposure, the stage controller 70 uses the detection result of the multipoint focal position detection system (60a, 60b) to automatically focus the surface of the wafer W on the image plane of the projection optical system PL. The position and tilt angle of the Z tilt stage 38 are controlled via the wafer stage drive system 56W by the focus method and the auto leveling method. Further, based on a command from the main controller 50 during exposure or aerial image measurement, the stage controller 70 projects the surface of the wafer W or the surface of the slit plate 90 via the wafer stage drive system 56W. It is also possible to defocus the image plane by an amount designated in the Z direction.

また、図1の投影光学系PL近傍には、大気圧変動や温度変動を検知する環境センサ81が設けられている。この環境センサ81による計測結果は主制御装置50に供給されている。また、主制御装置50に接続されたメモリ51内には、例えば予め投影光学系PLの組立調整時等に計測されていた所定の高次の収差の情報、及び後述の収差計測方法によって求められる投影光学系PLの収差の情報などが記憶される。   In addition, an environmental sensor 81 for detecting atmospheric pressure fluctuations and temperature fluctuations is provided in the vicinity of the projection optical system PL in FIG. The measurement result by the environment sensor 81 is supplied to the main controller 50. Further, in the memory 51 connected to the main control device 50, for example, information on predetermined higher-order aberrations previously measured at the time of assembly adjustment of the projection optical system PL and the like and an aberration measurement method described later are obtained. Information on aberrations of the projection optical system PL is stored.

次に、本例の投影露光装置10における走査露光動作について簡単に説明する。先ず、主制御装置50は、実露光用のレチクルRを用いた露光に最適な照明条件をオペレータの指示に基づいて設定する。次に、上記のレチクルアライメント顕微鏡、及びウエハ側のアライメント系ALGを用いて、レチクルRのアライメント及びウエハWのアライメントが行われる。その後、ウエハステージWSTのステッピングによって、ウエハW上で次に露光されるショット領域が光軸AXの手前側に位置決めされる。そして、照明光ILの照射が開始されて、レチクルステージRSTを介して照明領域に対してレチクルRをY方向に速度Vrで移動するのに同期して、ウエハステージWSTを介して露光領域に対してウエハW上の一つのショット領域がY方向に速度β・Vr(βは投影光学系PLの投影倍率)で移動する。このようにして、ショット間のステッピング動作とショット毎の同期走査動作とが繰り返されて、ステップ・アンド・スキャン方式でウエハW上の全てのショット領域にレチクルRのパターン像が転写される。   Next, the scanning exposure operation in the projection exposure apparatus 10 of this example will be briefly described. First, main controller 50 sets an illumination condition optimal for exposure using reticle R for actual exposure based on an instruction from the operator. Next, the alignment of the reticle R and the alignment of the wafer W are performed using the reticle alignment microscope and the wafer side alignment system ALG. Thereafter, the next shot area to be exposed on the wafer W is positioned on the front side of the optical axis AX by stepping the wafer stage WST. Then, the irradiation of the illumination light IL is started, and in synchronization with the movement of the reticle R in the Y direction at the speed Vr with respect to the illumination area via the reticle stage RST, the exposure area via the wafer stage WST. Thus, one shot area on the wafer W moves in the Y direction at a velocity β · Vr (β is the projection magnification of the projection optical system PL). In this way, the stepping operation between shots and the synchronous scanning operation for each shot are repeated, and the pattern image of the reticle R is transferred to all shot regions on the wafer W by the step-and-scan method.

ところで、上述した走査露光動作において、レチクルRのパターンを投影光学系PLを介して高い解像度で高精度にウエハW上に転写するためには、投影光学系PLの結像特性が所定の状態に調整されている必要がある。そのためには、その結像特性を高精度に計測する必要がある。以下では、計測及び補正対象の投影光学系PLの結像特性(光学特性)を所定の収差であるとする。   By the way, in the above-described scanning exposure operation, in order to transfer the pattern of the reticle R onto the wafer W with high resolution and high accuracy via the projection optical system PL, the imaging characteristics of the projection optical system PL are in a predetermined state. It needs to be adjusted. For this purpose, it is necessary to measure the imaging characteristics with high accuracy. In the following, it is assumed that the imaging characteristic (optical characteristic) of the projection optical system PL to be measured and corrected is a predetermined aberration.

また、その収差を分類するために、波面収差を用いるものとして、投影光学系PLの射出瞳(又は瞳面)上の波面収差を示す収差関数をW(ρ,θ)とすると、収差関数W(ρ,θ)は極座標形式で表されており、ρは投影光学系の射出瞳(又は瞳面)の半径方向の規格化された位置(動径)であり、θは角度である。その収差関数W(ρ,θ)は、その動径ρと角度θとが分離した形で表される完全直交系の多項式、例えば次式で示されるツェルニケ多項式(Zernike's Polynomial)を用いて級数展開することが可能である。   Further, assuming that the aberration function indicating the wavefront aberration on the exit pupil (or pupil plane) of the projection optical system PL is W (ρ, θ), using wavefront aberration to classify the aberration, the aberration function W (Ρ, θ) is expressed in a polar coordinate format, ρ is a normalized position (radial radius) in the radial direction of the exit pupil (or pupil plane) of the projection optical system, and θ is an angle. The aberration function W (ρ, θ) is series-expanded by using a completely orthogonal system polynomial in which the radial ρ and the angle θ are separated, for example, Zernike's Polynomial represented by the following equation: Is possible.

Figure 2006234517
Figure 2006234517

ここで、係数Zi は、投影光学系の諸収差のうちで、i次(i=1,2,…)のツェルニケ多項式fi(ρ,θ)によって表される収差の大きさを表す係数である。なお、以下では説明の便宜上、係数Zi(iは1以上の整数)をi次のツェルニケ多項式によって表される収差(又は収差量)ともみなす。なお、ツェルニケ多項式は、フリンジツェルニケ多項式又はツェルニケの円多項式(circle polynomials)とも呼ばれることがある。 Here, the coefficient Z i is a coefficient representing the magnitude of the aberration represented by the i-th order (i = 1, 2,...) Zernike polynomial fi (ρ, θ) among the various aberrations of the projection optical system. is there. Hereinafter, for convenience of explanation, the coefficient Z i (i is an integer of 1 or more) is also regarded as an aberration (or aberration amount) represented by an i-th order Zernike polynomial. The Zernike polynomials may also be referred to as Fringe Zernike polynomials or Zernike circle polynomials.

なお、一例として1次〜37次までのツェルニケ多項式fiを対応する係数Zi とともに例示すると、次の表1のようになる。 As an example, the Zernike polynomials fi of the first order to the 37th order are illustrated together with the corresponding coefficients Z i as shown in Table 1 below.

Figure 2006234517
Figure 2006234517

上記表1に示されるように、各次数のツェルニケ多項式fi(ρ,θ)は、動径(ρ)の関数である動径関数と、角度(θ)の関数とが分離した形で表現される。また、ツェルニケ多項式は、その動径関数が奇関数であるものと、偶関数であるものとに分類することができる。例えば、表1に示されるf7及びf8については、その動径関数がともに(3ρ3−2ρ )で、奇関数であり、f5及びf6は、その動径関数がともにρ2 で、偶関数となっている。各次数のツェルニケ多項式中の角度θの関数は、sin(mθ)(mは1以上の整数)、又はcos(mθ)(mは0以上の整数)である。そして、その角度mθを規定する整数mが奇数である場合には、対応する動径関数が奇関数となり、整数mが0又は偶数である場合には、対応する動径関数が偶関数となる。このように、動径関数が奇関数で表されるツェルニケ多項式に対応する収差を奇関数収差と呼び、動径関数が偶関数で表されるツェルニケ多項式に対応する収差を偶関数収差と呼ぶ。 As shown in Table 1, the Zernike polynomial fi (ρ, θ) of each order is expressed in a form in which a radial function that is a function of the radial diameter (ρ) and a function of the angle (θ) are separated. The Zernike polynomials can be classified into those whose radial function is an odd function and those that are an even function. For example, for f7 and f8 shown in Table 1, the radial functions are both (3ρ 3 −2ρ) and are odd functions, and f5 and f6 are both radial functions of ρ 2 and are even functions and It has become. The function of the angle θ in each order Zernike polynomial is sin (mθ) (m is an integer of 1 or more) or cos (mθ) (m is an integer of 0 or more). When the integer m that defines the angle mθ is an odd number, the corresponding radial function is an odd function, and when the integer m is 0 or an even number, the corresponding radial function is an even function. . As described above, an aberration corresponding to a Zernike polynomial whose radial function is expressed by an odd function is called an odd function aberration, and an aberration corresponding to a Zernike polynomial whose radial function is expressed by an even function is called an even function aberration.

投影光学系PLの収差は像の横シフトである横収差と、像のコントラストの変化である縦収差とに分類でき、前者の横収差が奇関数収差であり、後者の縦収差が偶関数収差である。従って、投影光学系PLの収差のうちの球面収差やデフォーカス等は、偶関数収差であり、コマ収差は奇関数収差である。本例では、図2の結像特性補正コントローラ78を含む投影光学系PLの結像特性補正装置によって、所定次数までのツェルニケ多項式で表される波面収差を個別に補正することができる。なお、i次のツェルニケ多項式の係数で表される収差Zi を用いると、球面収差(偶関数収差)は収差Z9,Z16、コマ収差(奇関数収差)は収差Z7,Z8,Z14,Z15、及びディストーション(奇関数収差)は収差Z2,Z3 である。従って、その結像特性補正装置を用いると、例えば球面収差、コマ収差、及びディストーションを個別に補正することも可能である。 The aberrations of the projection optical system PL can be classified into lateral aberrations, which are lateral shifts of the image, and longitudinal aberrations, which are changes in the contrast of the image. The former lateral aberration is an odd function aberration, and the latter longitudinal aberration is an even function aberration. It is. Accordingly, spherical aberration, defocus, and the like among the aberrations of the projection optical system PL are even function aberrations, and the coma aberration is an odd function aberration. In this example, the wavefront aberration represented by the Zernike polynomial up to a predetermined order can be individually corrected by the imaging characteristic correction device of the projection optical system PL including the imaging characteristic correction controller 78 of FIG. If the aberration Z i represented by the coefficient of the i-th order Zernike polynomial is used, the spherical aberration (even function aberration) is the aberration Z 9 , Z 16 , and the coma aberration (odd function aberration) is the aberration Z 7 , Z 8 , Z 14 and Z 15 , and distortion (odd function aberration) are aberrations Z 2 and Z 3 . Therefore, when the imaging characteristic correction apparatus is used, for example, spherical aberration, coma aberration, and distortion can be individually corrected.

本例では、波面収差を計測するために前述した空間像計測装置59が用いられる。以下、この空間像計測装置59による空間像計測、及び投影光学系PLの波面収差の計測等について詳述する。
図3には、空間像計測装置59を用いて、レチクルマーク板RFMに形成された計測用マークPMの空間像が計測されている状態が示されている。なお、レチクルマーク板RFMに代えて、空間像計測専用のテストレチクル、あるいはデバイスの製造に用いられる実露光用のレチクルRに専用の計測用パターンを形成したものなどを基板として用いることも可能である。ここで、レチクルマーク板RFMには、所定の箇所にY方向に周期性を有しライン部の幅とスペース部の幅との比(デューティ比)が1:1のラインアンドスペースパターン(以下、「L&Sパターン」と呼ぶ)から成る計測用マークPMが形成されているものとする。なお、このような計測用マークPMは、実際にはレチクルマーク板RFM上に複数個設けられているマークのうちの一つである。
In this example, the aerial image measuring device 59 described above is used to measure the wavefront aberration. Hereinafter, aerial image measurement by the aerial image measurement device 59, measurement of wavefront aberration of the projection optical system PL, and the like will be described in detail.
FIG. 3 shows a state in which the aerial image of the measurement mark PM formed on the reticle mark plate RFM is measured using the aerial image measuring device 59. In place of the reticle mark plate RFM, a test reticle dedicated to aerial image measurement, or an actual exposure reticle R used for manufacturing a device having a dedicated measurement pattern formed thereon can be used as a substrate. is there. Here, on the reticle mark plate RFM, a line-and-space pattern (hereinafter referred to as a ratio of the width of the line portion to the width of the space portion (duty ratio)) having a periodicity in the Y direction at a predetermined location is 1: 1. It is assumed that a measurement mark PM composed of “L & S pattern” is formed. Such a measurement mark PM is actually one of a plurality of marks provided on the reticle mark plate RFM.

ここで、空間像計測装置59を用いた空間像計測の方法について簡単に説明する。なお、スリット板90には、例えば図4(A)に示されるように、X方向に延びる所定幅2Dのスリット122(開口パターン)が形成されているものとする。空間像の計測にあたり、図1の主制御装置50によって、可動レチクルブラインド30Bが不図示のブラインド駆動装置を介して駆動され、図3に示されるように、レチクルRの照明光ILの照明領域が計測用マークPMを含む所定領域のみに制限される。   Here, a method of aerial image measurement using the aerial image measurement device 59 will be briefly described. For example, as shown in FIG. 4A, the slit plate 90 is formed with a slit 122 (opening pattern) having a predetermined width 2D extending in the X direction. In the measurement of the aerial image, the main reticle 50 of FIG. 1 drives the movable reticle blind 30B via a blind driving device (not shown). As shown in FIG. 3, the illumination area of the illumination light IL of the reticle R It is limited only to a predetermined area including the measurement mark PM.

また、照明光学系12中の照明系開口絞り板24(照明σ変更手段)を制御することによって、照明条件をコヒーレンスファクタ(σ値)が例えば0.068〜0.044程度の極小輪帯照明、又は極小σ値の照明に設定する。
この状態で、照明光ILがレチクルマーク板RFMに照射されると、図4(A)に示されるように、計測用マークPMによって回折、散乱した光(照明光IL)は、投影光学系PLにより屈折され、投影光学系PLの像面に計測用マークPMの空間像(投影像)PM’が形成される。このとき、ウエハステージWSTは、空間像計測装置59のスリット板90上のスリット122の+Y方向側(−Y方向側でもよい)にその空間像PM’が形成される位置に設定されているものとする。このときのスリット板90を投影光学系PL側から見たときの平面図が図4(A)に示されている。なお、投影光学系PLの投影倍率が1/4であるとすると、この空間像PM’のピッチ(周期)は、計測用マークPMのL&Sパターンのピッチの1/4となる。なお、以下の説明では、各計測マーク等の線幅及びピッチは、それぞれその空間像の線幅及びピッチを指しているものとする。
In addition, by controlling the illumination system aperture stop plate 24 (illumination σ changing means) in the illumination optical system 12, the illumination condition is set to a small annular illumination with a coherence factor (σ value) of about 0.068 to 0.044, for example. Or set to illumination with a minimum σ value.
In this state, when the illumination light IL is irradiated onto the reticle mark plate RFM, as shown in FIG. 4A, the light diffracted and scattered by the measurement mark PM (illumination light IL) is projected onto the projection optical system PL. And a spatial image (projected image) PM ′ of the measurement mark PM is formed on the image plane of the projection optical system PL. At this time, wafer stage WST is set at a position where the aerial image PM ′ is formed on the + Y direction side (or the −Y direction side) of slit 122 on slit plate 90 of aerial image measurement device 59. And A plan view of the slit plate 90 at this time when viewed from the projection optical system PL side is shown in FIG. If the projection magnification of the projection optical system PL is 1/4, the pitch (period) of the spatial image PM ′ is 1/4 of the pitch of the L & S pattern of the measurement mark PM. In the following description, it is assumed that the line width and pitch of each measurement mark and the like indicate the line width and pitch of the aerial image, respectively.

そして、主制御装置50によって、ウエハステージ駆動系56Wを介してウエハステージWST(走査機構)が図4(A)中に矢印Fで示されるように+Y方向に駆動されると、スリット122が空間像PM’に対してY方向に走査される。この走査中に、スリット122を通過する光(照明光IL)がウエハステージWST内の光学系、ミラー96、受光レンズ89を介して光センサ94で受光され、その光電変換信号PSが信号処理装置80を介して主制御装置50に供給される。主制御装置50は、その光電変換信号に基づいて空間像PM’に対応する光強度分布情報を取得する。なお、空間像PM’とスリット122とは、スリット122に垂直な方向に相対走査すればよい。そのため、スリット122を静止させておいて、図1のレチクルステージRST(走査機構)を介してレチクルマーク板RFMを移動させることによって、空間像PM’側を移動してもよい。   When the main controller 50 drives the wafer stage WST (scanning mechanism) in the + Y direction as indicated by the arrow F in FIG. 4A via the wafer stage drive system 56W, the slit 122 becomes a space. The image PM ′ is scanned in the Y direction. During this scanning, light (illumination light IL) that passes through the slit 122 is received by the optical sensor 94 via the optical system in the wafer stage WST, the mirror 96, and the light receiving lens 89, and the photoelectric conversion signal PS is converted into a signal processing device. 80 to the main controller 50. Main controller 50 acquires light intensity distribution information corresponding to aerial image PM ′ based on the photoelectric conversion signal. Note that the aerial image PM ′ and the slit 122 may be relatively scanned in a direction perpendicular to the slit 122. Therefore, the aerial image PM ′ side may be moved by moving the reticle mark plate RFM via the reticle stage RST (scanning mechanism) in FIG.

図4(B)には、上記の空間像計測の際に得られる光電変換信号(光強度信号)PSの一例が示されている。この場合、空間像PM’は、スリット122の走査方向(Y方向)の幅(2D)の影響で像が平均化する。従って、計測精度の面からは、スリット122の走査方向(ここではY方向)の幅(以下、単に「スリット幅」と呼ぶ)2Dは小さい程良い。本例のように、フォト・マルチプライヤ・チューブ(PMT)を光センサ94として用いる場合には、スリット幅が非常に小さくなっても走査速度を遅くして計測に時間をかければ光量(光強度)の検出は可能である。しかしながら、現実には、スループットの面から空間像計測時の走査速度に一定の制約があるため、スリット幅2Dがあまりにも小さいと、スリット122を透過する光量が小さくなり過ぎて、計測が困難となってしまう。本例では、スリット幅2Dは、200nm程度以下で、例えば100〜150nm程度に設定される。なお、スリット122の代わりに、ピンホールを用いて空間像PM’を走査してもよい。ピンホールの場合には方向性はないが、光量が少なくなる。そこで、特に空間像PM’のピッチが大きいような場合に、ピンホールを使用することができる。また、ピンホールを用いる場合には、受光量を多くするために、その直径はスリット幅2Dの2倍程度、即ち400nm程度以下で例えば200〜300nm程度に設定される。   FIG. 4B shows an example of a photoelectric conversion signal (light intensity signal) PS obtained in the above aerial image measurement. In this case, the aerial image PM ′ is averaged by the influence of the width (2D) of the slit 122 in the scanning direction (Y direction). Therefore, in terms of measurement accuracy, the width (hereinafter simply referred to as “slit width”) 2D of the slit 122 in the scanning direction (Y direction) is preferably as small as possible. As in this example, when a photomultiplier tube (PMT) is used as the optical sensor 94, even if the slit width becomes very small, if the scanning speed is slowed and the measurement takes time, the light intensity (light intensity ) Can be detected. However, in reality, since there is a certain restriction on the scanning speed at the time of aerial image measurement from the viewpoint of throughput, if the slit width 2D is too small, the amount of light transmitted through the slit 122 becomes too small and measurement is difficult. turn into. In this example, the slit width 2D is set to about 200 nm or less, for example, about 100 to 150 nm. Note that the aerial image PM ′ may be scanned using a pinhole instead of the slit 122. In the case of a pinhole, there is no directionality, but the amount of light is reduced. Therefore, pinholes can be used particularly when the pitch of the aerial image PM 'is large. When using a pinhole, in order to increase the amount of received light, the diameter is set to about twice the slit width 2D, that is, about 400 nm or less, for example, about 200 to 300 nm.

このように空間像計測装置59を用いた空間像計測動作によって、計測用マークPMの空間像(投影像)PM’における光強度分布を計測することができる。その計測された光強度分布の情報は図1の主制御装置50に供給される。その光強度分布の情報には、空間像PM’の横方向の結像位置(横シフト)及び振幅(コントラスト)の情報が含まれているため、主制御装置50(演算装置)は、その情報を用いて奇関数収差及び偶関数収差、ひいては波面収差を求めることができる。更に、その光強度分布情報を用いて、投影光学系PLに対するベストフォーカス位置のキャリブレーションを行うことができるとともに、所定のマーク像のX方向、Y方向の位置も求めることができる。   Thus, the light intensity distribution in the aerial image (projected image) PM ′ of the measurement mark PM can be measured by the aerial image measuring operation using the aerial image measuring device 59. Information on the measured light intensity distribution is supplied to the main controller 50 of FIG. Since the information on the light intensity distribution includes information on the horizontal image formation position (lateral shift) and amplitude (contrast) of the aerial image PM ′, the main controller 50 (arithmetic unit) determines that information. Can be used to determine odd-function aberration and even-function aberration, and hence wavefront aberration. Furthermore, using the light intensity distribution information, it is possible to calibrate the best focus position with respect to the projection optical system PL, and it is also possible to obtain the positions of a predetermined mark image in the X direction and the Y direction.

また、本例では、9次を超える所定次数までのツェルニケ多項式によって表される収差を計測するものとする。このためには、空間像PM’として、後述のように方向及びピッチの異なる種々の周期マークの空間像を計測する必要がある。そのためには、スリット板90にも複数の方向に配列されたスリット(開口パターン)を形成しておく必要がある。
図5は、本例のスリット板90上に形成された開口パターンとしての複数のスリットの配置を示し、この図5において、スリット板90上には、Y方向に伸びるスリット幅2Dで長さLのスリット122bと、このスリット122bを90°回転した形状のX方向に伸びるスリット122aとが形成されている。更に、スリット板90上には、それぞれ幅2Dで長さL1の6個の収差計測用のスリット9A,9B,9C,9D,9E,9Fが形成されている。この場合、スリット9A及び9Dはそれぞれスリット122b及び122aの延長上に配置され、残りの4個のスリット9B,9C,9F,9Eはほぼ正方形の4個の頂点の位置にあり、1組のスリット122b及び9Aと、別の1組のスリット122a及び9Dとはほぼその正方形の隣り合う2辺を構成している。また、その正方形のほぼ中心に直径がほぼ4Dのピンホール123が形成されている。これらのスリット122a,122b,9A〜9F、及びピンホール123を通過した照明光は、図3の光センサ94で受光される。この場合、スリット122a及び9D、スリット122b及び9A、スリット9B、スリット9C、スリット9E、スリット9F、並びにピンホール123を通過した照明光を個別に検出するために、例えばスリット板90の底面にスリット選択部材としての液晶パネルを設けて、選択された1組若しくは一つのスリット、又はピンホールを通過した照明光のみが光センサ94に入射するようにしてもよい。
In this example, it is assumed that the aberration represented by the Zernike polynomial up to a predetermined order exceeding 9th order is measured. For this purpose, as the aerial image PM ′, it is necessary to measure aerial images of various periodic marks having different directions and pitches as described later. For this purpose, it is necessary to form slits (opening patterns) arranged in a plurality of directions on the slit plate 90 as well.
FIG. 5 shows an arrangement of a plurality of slits as opening patterns formed on the slit plate 90 of this example. In FIG. 5, the slit plate 90 has a slit width 2D extending in the Y direction and a length L. And a slit 122a that extends in the X direction and is formed by rotating the slit 122b by 90 °. Further, six aberration measuring slits 9A, 9B, 9C, 9D, 9E, and 9F each having a width 2D and a length L1 are formed on the slit plate 90. In this case, the slits 9A and 9D are arranged on the extensions of the slits 122b and 122a, respectively, and the remaining four slits 9B, 9C, 9F, and 9E are located at the positions of the four apexes of a substantially square, and a set of slits. 122b and 9A and another set of slits 122a and 9D substantially constitute two adjacent sides of the square. In addition, a pin hole 123 having a diameter of about 4D is formed at substantially the center of the square. The illumination light that has passed through the slits 122a, 122b, 9A to 9F, and the pinhole 123 is received by the optical sensor 94 in FIG. In this case, in order to individually detect the illumination light that has passed through the slits 122a and 9D, the slits 122b and 9A, the slit 9B, the slit 9C, the slit 9E, the slit 9F, and the pinhole 123, for example, a slit is formed on the bottom surface of the slit plate 90. A liquid crystal panel as a selection member may be provided so that only illumination light that has passed through the selected set or one slit or pinhole may enter the optical sensor 94.

本例では、各スリット像のスリット幅2Dは100nm程度、スリット122a,122bの長さLは8μm程度、スリット9A〜9Fの長さL1は3μm程度である。これらのスリット幅及び長さは、投影像の段階での値である。また、スリット122aとスリット9Dとの間隔、及びスリット122bとスリット9Aとの間隔はそれぞれ1〜2μm程度である。この場合、スリット122a及び122bは、ベストフォーカス位置のキャリブレーション及びマーク像の位置計測を行うために使用される。また、スリット122a及び122bを使用する際には、それぞれその延長上にある収差計測用のスリット9D及び9Aも同時に使用される。このようにスリット122a及び122bに対してそれぞれ収差計測用のスリット9D及び9Aを同時に使用することによって、ベストフォーカス位置及び像位置の計測時に十分な光量を確保することができ、計測再現性が向上する。   In this example, the slit width 2D of each slit image is about 100 nm, the length L of the slits 122a and 122b is about 8 μm, and the length L1 of the slits 9A to 9F is about 3 μm. These slit widths and lengths are values at the stage of the projected image. The distance between the slit 122a and the slit 9D and the distance between the slit 122b and the slit 9A are about 1 to 2 μm. In this case, the slits 122a and 122b are used for calibration of the best focus position and position measurement of the mark image. Further, when the slits 122a and 122b are used, the aberration measuring slits 9D and 9A on the extensions are also used at the same time. By simultaneously using the aberration measurement slits 9D and 9A for the slits 122a and 122b in this way, a sufficient amount of light can be secured when measuring the best focus position and the image position, and measurement reproducibility is improved. To do.

また、図5の収差計測用のスリット9A,9B,9C,9D,9E,及び9Fの長手方向(配列方向)は、それぞれX軸に対して反時計回りに90°、φ5、φ6、0°、φ7、及びφ8で交差する方向である。この場合、各スリット9A〜9Fは、対応する空間像に対してその長手方向に直交する方向(計測方向)に相対的に走査される。言い換えると、6個のスリット9A,9B,9C,9D,9E,及び9Fの計測方向は、それぞれX軸に対して反時計回りに0°、φ1(=φ5−90°)、φ2(=φ6−90°)、90°、φ3(=φ7+90°)、及びφ4(=φ8+90°)で交差している。一例として、角度φ1は30°、角度φ2は45°、角度φ3は120°、角度φ4は135°である。このように本例のスリット板90を使用することによって、投影光学系PLの収差をオンボディで計測することができる。   The longitudinal directions (arrangement directions) of the aberration measurement slits 9A, 9B, 9C, 9D, 9E, and 9F in FIG. 5 are 90 °, φ5, φ6, and 0 ° counterclockwise with respect to the X axis, respectively. , Φ7, and φ8. In this case, each of the slits 9A to 9F is scanned relative to a corresponding aerial image in a direction (measurement direction) orthogonal to the longitudinal direction. In other words, the measurement directions of the six slits 9A, 9B, 9C, 9D, 9E, and 9F are 0 °, φ1 (= φ5-90 °), φ2 (= φ6) counterclockwise with respect to the X axis, respectively. -90 °), 90 °, φ3 (= φ7 + 90 °), and φ4 (= φ8 + 90 °). As an example, the angle φ1 is 30 °, the angle φ2 is 45 °, the angle φ3 is 120 °, and the angle φ4 is 135 °. In this way, by using the slit plate 90 of this example, the aberration of the projection optical system PL can be measured on-body.

なお、計測対象の収差が、後述のようにZ37までの0θ、1θ、cos2θ成分である場合には、図5のスリット板90上の2個のスリット9A,9D、即ち計測方向がX軸に対して0°、90°で交差する2個のスリット(開口パターン)を使用するのみでもよい。
そして、偶関数成分の収差を計測する際にはデフォーカスの収差、ツェルニケ多項式の第4項Z4 、第9項Z9 、第16項Z16などの偶関数成分の1つ又は複数の項の収差量を変化させながら、上記のスリット板90を用いて対象マークの基本周波数成分の振幅を計測する。このとき、最大振幅を与える偶関数収差量から、波面内の対応する点の偶関数収差量を算出することができる。なお、収差Z4 、Z9 、Z16などは、図2の結像特性補正装置を用いて投影光学系PLの対応するレンズエレメントを移動させることによって制御できる。
When the aberration to be measured is 0θ, 1θ, and cos2θ components up to Z 37 as will be described later, the two slits 9A and 9D on the slit plate 90 in FIG. Only two slits (opening patterns) intersecting at 0 ° and 90 ° may be used.
Then, when measuring the aberration of the even function component, one or more terms of the even function component such as the defocus aberration, the fourth term Z 4 , the ninth term Z 9 , and the sixteenth term Z 16 of the Zernike polynomial The amplitude of the fundamental frequency component of the target mark is measured using the slit plate 90 while changing the amount of aberration. At this time, the even function aberration amount at the corresponding point in the wavefront can be calculated from the even function aberration amount giving the maximum amplitude. The aberrations Z 4 , Z 9 , Z 16 and the like can be controlled by moving the corresponding lens element of the projection optical system PL using the imaging characteristic correction device shown in FIG.

一方、奇関数成分の収差を計測する際には空間像強度信号の基本周波数成分の位相を計測し、波面内の対応点の収差量に換算すればよい。
以上の収差計測方法では、奇関数収差と偶関数収差とを個別に検出した。以下では、収差を投影光学系PLの射出瞳(又は瞳面)での位相分布と見なすことによって、奇関数収差と偶関数収差とを統一して計算する方法につき説明する。先ず、その射出瞳上の規格化された動径ρ及び角度θを用いて、位置(ρ,θ)での偶関数収差をH_even(ρ,θ)とする。このとき、一例として、上記の9次のツェルニケ多項式f9(ρ,θ)(=6ρ4−6ρ2+1)によって表される収差Z9 に換算して偶関数収差を計測する方法を用いると、偶数次数のツェルニケ多項式feven(ρ,θ)によって表される偶関数収差H_even(ρ,θ)は次のように表わすことができる。この場合、その収差(位相)を計測する位置は、所定ピッチPmesの周期的マークからの回折光が通過する位置(ρ=ρp ,θ=Ψp)であるとする。偶関数収差量はρ=0の瞳面中心からの相対的収差量である。収差Z9 以外にも制御可能な偶関数収差、例えばデフォーカスなどによって瞳中心と計測点の収差を計測することができる。
On the other hand, when measuring the aberration of the odd function component, the phase of the fundamental frequency component of the aerial image intensity signal may be measured and converted to the aberration amount at the corresponding point in the wavefront.
In the above aberration measurement method, the odd-function aberration and the even-function aberration are individually detected. Hereinafter, a method for unifying and calculating odd-function aberration and even-function aberration will be described by regarding the aberration as a phase distribution at the exit pupil (or pupil plane) of the projection optical system PL. First, the even function aberration at the position (ρ, θ) is set to H_even (ρ, θ) using the normalized radius ρ and angle θ on the exit pupil. At this time, as an example, if a method of measuring the even function aberration in terms of the aberration Z 9 represented by the above 9th-order Zernike polynomial f9 (ρ, θ) (= 6ρ 4 -6ρ 2 +1) is used, The even function aberration H_even (ρ, θ) represented by the Zernike polynomial of even order Feven (ρ, θ) can be expressed as follows. In this case, it is assumed that the position at which the aberration (phase) is measured is a position (ρ = ρ p , θ = Ψ p ) where diffracted light from a periodic mark having a predetermined pitch Pmes passes. The even function aberration amount is a relative aberration amount from the center of the pupil plane where ρ = 0. In addition to the aberration Z 9 , the aberration at the pupil center and the measurement point can be measured by an even function aberration that can be controlled, such as defocusing.

H_even(ρp,Ψp)=[feven(ρp,Ψp)−1]λeven-mes
=[f9(ρp,Ψp)−1]λmes=(6ρp 4−6ρp 2)λmes …(18)
ここで、ツェルニケ多項式feven(ρ,θ)は、例えばf16、f25等であり、λeven-mesは、その偶数次数のツェルニケ多項式feven(ρ,θ)によって表される収差の量(係数)である。また、λevenは、そのピッチPの周期的マークの空間像をフーリエ変換した1次成分の振幅またはコントラスト(=1次成分の振幅/0次振幅)が最大になるときの収差Z9 の量である。
H_even (ρ p , Ψ p ) = [feven (ρ p , Ψ p ) −1] λ even-mes
= [F9 (ρ p , Ψ p ) −1] λmes = (6ρ p 4 −6ρ p 2 ) λmes (18)
Here, the Zernike polynomial feven (ρ, θ) is, for example, f16, f25, etc., and λeven-mes is the amount (coefficient) of the aberration represented by the Zernike polynomial feven (ρ, θ) of the even order. . Also, λeven is the amount of aberration Z 9 when the amplitude or contrast (= first-order component amplitude / 0th-order amplitude) of the first-order component obtained by Fourier-transforming the spatial image of the periodic mark with the pitch P is maximized. is there.

(18)式は照明光学系の有効光源が十分に小さくコヒーレント照明と見なせる場合の収差であるが、有効光源の大きさが或る程度大きい場合には、ツェルニケ多項式によって決まる収差(例えばfeven(ρp,Ψp))はその有効光源の面積内の平均値を用いることになる。
一方、射出瞳上の位置(ρ,θ)での奇関数収差をH_odd(ρ,θ)とすると、この奇関数収差は、上記の奇関数収差の計測方法で述べたように、例えば複数のピッチの異なるL&Sパターンの空間像の基本波成分の相対的な位相差Φを計測することによって求めることができる。また、奇関数収差は、基本波成分のパターン設計位置からの位相差Φを計測することによって求めることもできる。この計測を行うにあたって、レチクルの計測用マークの描画誤差の影響を軽減する方法については後述する。また、その位相差Φに対応する空間像の横シフト量をΔとする。このとき、その位相差Φ(横シフト量Δ)及び照明光の波長λを用いると、所定ピッチPmesの周期的マークからの回折光が通過する位置(ρ=ρp ,θ=Ψp)での奇関数収差H_odd(ρp,Ψp)は、次式で表わすことができる。奇関数収差量は、H_odd(ρp,Ψp)=−H_odd(ρp,Ψp+180°)の関係がある。位相差Φは空間像をフーリエ変換して得られる一次成分の設計値からの位相ズレとして求めてもよい。
Equation (18) is an aberration when the effective light source of the illumination optical system is sufficiently small and can be regarded as coherent illumination. However, when the effective light source is somewhat large, an aberration determined by the Zernike polynomial (for example, feven (ρ For p , Ψ p )), an average value within the area of the effective light source is used.
On the other hand, if the odd function aberration at the position (ρ, θ) on the exit pupil is H_odd (ρ, θ), this odd function aberration is, for example, a plurality of odd function aberrations as described in the method for measuring the odd function aberration. It can be obtained by measuring the relative phase difference Φ of the fundamental wave components of the aerial images of the L & S patterns having different pitches. Odd function aberration can also be obtained by measuring the phase difference Φ from the pattern design position of the fundamental wave component. A method of reducing the influence of the drawing error of the reticle measurement mark when performing this measurement will be described later. Further, Δ is the amount of horizontal shift of the aerial image corresponding to the phase difference Φ. At this time, using the phase difference Φ (lateral shift amount Δ) and the wavelength λ of the illumination light, at a position (ρ = ρ p , θ = Ψ p ) where diffracted light from a periodic mark having a predetermined pitch Pmes passes. The odd-function aberration H_odd (ρ p , Ψ p ) can be expressed by the following equation. The odd function aberration amount has a relationship of H_odd (ρ p , Ψ p ) = − H_odd (ρ p , Ψ p + 180 °). The phase difference Φ may be obtained as a phase shift from the design value of the primary component obtained by Fourier transforming the aerial image.

H_odd(ρp,Ψp)=(Δ/Pmes)λ=[Φ/(2π)]λ …(19)
そして、投影光学系PLの射出瞳上の位置(ρp ,Ψp)での位相分布である波面収差H(ρp,Ψp)は、次のように(18)式の偶関数収差、(19)式の奇関数収差、及びオンボディでは計測しない高次収差よりなるオフセットH_off(ρp,Ψp)の総和である。なお、オフセットH_off(ρp,Ψp)は、例えば予め計算によって求められており、その情報は図1のメモリ51内に記憶されている。
H_odd (ρ p , Ψ p ) = (Δ / Pmes) λ = [Φ / (2π)] λ (19)
The wavefront aberration H (ρ p , Ψ p ), which is the phase distribution at the position (ρ p , Ψ p ) on the exit pupil of the projection optical system PL, is an even function aberration of the equation (18) as follows: This is the sum of the odd function aberration of equation (19) and the offset H_off (ρ p , Ψ p ) consisting of higher order aberrations that are not measured on-body. Note that the offset H_off (ρ p , Ψ p ) is obtained in advance by calculation, for example, and the information is stored in the memory 51 of FIG.

H(ρp,Ψp)=H_even(ρp,Ψp)+H_odd(ρp,Ψp
+H_off(ρp,Ψp) …(20)
次に、(20)式の投影光学系PLの射出瞳(又は瞳面)上の波面収差H(ρp,Ψp)を、(1)式のようにn次(nは9より大きい整数)までのツェルニケ多項式fj(ρp,Ψp)(j=1〜n)とその係数Zj との積の和で表わすものとする。即ち、ツェルニケ多項式を用いて、波面収差H(ρp,Ψp)の関数フィッティングを行う。投影光学系PLの波面収差の関数フィッティングは、通常は37次までのツェルニケ多項式を用いて行われる。この場合、実際の投影光学系PLの波面収差は、16次以上のツェルニケ多項式で表される高次の収差成分を含むが、17次以上の成分は実質的に経時変化が生じないので、投影光学系PLの17次以上のツェルニケ多項式で表される収差に依る位相分布は予め計算で求めておき、本例の投影露光装置の固有のオフセットH_off(ρp,Ψp)として記憶しておいてもよい。
H (ρ p , Ψ p ) = H_even (ρ p , Ψ p ) + H_odd (ρ p , Ψ p )
+ H_off (ρ p , Ψ p ) (20)
Next, the wavefront aberration H (ρ p , Ψ p ) on the exit pupil (or pupil plane) of the projection optical system PL of the equation (20) is expressed as an nth order (n is an integer greater than 9) as in the equation (1). ) To the Zernike polynomial fj (ρ p , Ψ p ) (j = 1 to n) and the coefficient Z j thereof. That is, function fitting of the wavefront aberration H (ρ p , Ψ p ) is performed using a Zernike polynomial. The function fitting of the wavefront aberration of the projection optical system PL is usually performed using Zernike polynomials up to the 37th order. In this case, the wavefront aberration of the actual projection optical system PL includes a higher-order aberration component expressed by a Zernike polynomial of the 16th order or higher, but the 17th-order or higher component does not substantially change with time. The phase distribution due to the aberration expressed by the Zernike polynomial of the 17th order or higher of the optical system PL is obtained in advance by calculation and stored as the inherent offset H_off (ρ p , Ψ p ) of the projection exposure apparatus of this example. May be.

また、波面収差H(ρp,Ψp)を、n次までのツェルニケ多項式fj(ρp,Ψp)(j=1〜n)を用いて関数フィッティングを行う場合、投影光学系PLの射出瞳(又は瞳面)上のm箇所(mはほぼn又はそれよりも大きい整数)の位置(ρk,Ψk)(k=1〜m)上の計測点で(18)式の偶関数収差及び(19)式の奇関数収差を計測し、その計測値と上記のように予め記憶してあるオフセットH_off(ρk,Ψk)とを加算した結果を波面収差H(ρk,Ψk)とすればよい。このm個の波面収差H(ρk,Ψk)が、投影光学系の瞳面上での位相情報に対応する。なお、以下では位置(ρk,Ψk)上の計測点を計測点(ρk,Ψk)と呼ぶ。このとき、例えば参考文献("Adaptive optics and optical structures", SPIE, Vol. 1271, p. 80-86 (1990))より、計測点(ρk,Ψk)でのj次のツェルニケ多項式の値fj(ρk,Ψk)(j=1〜n)と、その係数aj (係数Zj に対応する未知数)と、計測誤差εk とを用いると、波面収差H(ρk,Ψk)は次のように表わすことができる。計測誤差εk は、例えば図3の計測用マークPMの描画誤差(座標計測装置等で計測した設計値からの誤差)、ウエハステージWSTのX座標、Y座標の計測誤差、及びスリット板90のフォーカス位置の計測誤差等に基づいて予め求められている位相誤差である。 Further, when the wavefront aberration H (ρ p , Ψ p ) is function-fitted using the Zernike polynomials fj (ρ p , Ψ p ) (j = 1 to n) up to the nth order, the projection optical system PL emits light. The even function of equation (18) at the measurement points on the positions (ρ k , Ψ k ) (k = 1 to m) at m places (m is an integer of approximately n or larger) on the pupil (or pupil plane). The aberration and the odd function aberration of the equation (19) are measured, and the result obtained by adding the measured value and the offset H_off (ρ k , Ψ k ) stored in advance as described above is the wavefront aberration H (ρ k , Ψ k ). The m wavefront aberrations H (ρ k , Ψ k ) correspond to phase information on the pupil plane of the projection optical system. In the following, the measurement points on the position (ρ k , Ψ k ) are referred to as measurement points (ρ k , Ψ k ). At this time, for example, from the reference document ("Adaptive optics and optical structures", SPIE, Vol. 1271, p. 80-86 (1990)), the value of the j-th order Zernike polynomial at the measurement point (ρ k , Ψ k ) Using fj (ρ k , Ψ k ) (j = 1 to n), its coefficient a j (unknown number corresponding to coefficient Z j ), and measurement error ε k , wavefront aberration H (ρ k , Ψ k ) Can be expressed as follows: The measurement error ε k is, for example, a drawing error of the measurement mark PM in FIG. 3 (an error from a design value measured by a coordinate measuring device or the like), a measurement error of the X coordinate and the Y coordinate of the wafer stage WST, and the slit plate 90. This is a phase error obtained in advance based on a focus position measurement error or the like.

Figure 2006234517
Figure 2006234517

ここで、計測値である波面収差H(ρk,Ψk)(k=1〜m)を元とするm次元ベクトルをH、表1から計算できるj次のツェルニケ多項式の値fj(ρk,Ψk)(j=1〜n;k=1〜m)を元とするm行×n列の行列をD、未知数である係数aj を元とする未知のn次元ベクトルをA、計測誤差εk を元とするm次元ベクトルをεとすると、(21)式は次の(22)式となる。 Here, H is an m-dimensional vector based on the measured wavefront aberration H (ρ k , Ψ k ) (k = 1 to m), and the value fj (ρ k) of the j-th order Zernike polynomial that can be calculated from Table 1. , Ψ k ) (j = 1 to n; k = 1 to m) based on m rows × n columns matrix D, unknown n-dimensional vector based on unknown coefficient a j , A, measurement If an m-dimensional vector based on the error ε k is ε, the equation (21) becomes the following equation (22).

H=DA+ε …(22)
図1の主制御装置50は、(22)式を解いてベクトルAのn個の元(係数aj)を求める。これらの係数aj が、投影光学系PLの波面収差をn次までのツェルニケ多項式で表した場合のj次(j=1〜n)のツェルニケ多項式fjの係数となる。これによって、投影光学系PLの波面収差が求められたことになる。
H = DA + ε (22)
The main controller 50 in FIG. 1 finds n elements (coefficients a j ) of the vector A by solving equation (22). These coefficients a j are the coefficients of the j-th order (j = 1 to n) Zernike polynomial fj in the case where the wavefront aberration of the projection optical system PL is represented by the Zernike polynomial up to the n-th order. As a result, the wavefront aberration of the projection optical system PL is obtained.

実際に(22)式を解くには、行列Dの転置行列をDT 、ベクトル(H−ε)をGとおくことによって、(22)式を次のように変形する。
(DTD)A=DTG …(23)
そして、この式の両辺にn行×n列の行列(DTD)の逆行列(DTD)-1を乗じることによって、ベクトルAは次のように求めることができる。
To actually solve the equation (22), the transposition matrix of the matrix D is set to D T , and the vector (H−ε) is set to G, so that the equation (22) is transformed as follows.
(D T D) A = D T G (23)
Then, the vector A can be obtained as follows by multiplying both sides of this equation by an inverse matrix (D T D) −1 of an n-row × n-column matrix (D T D).

A=(DTD)-1TG …(24)
従って、ベクトルAが解けるための条件は、逆行列(DTD)-1が存在することである。原則として、m=nであれば、ベクトルAは(24)式から一意的に求めることができる。一方、m>nのときは、(22)式は過剰決定体系(overdetermined sysytem)となり、ベクトルAは最小二乗法によって解くことが出来る。(22)式に最小二乗法を適用するためには、ベクトル(H−DA−ε)と、この転置ベクトルとの内積が最小になるようにベクトルAの各元を定めればよい。
A = (D T D) −1 D T G (24)
Therefore, the condition for solving the vector A is that an inverse matrix (D T D) −1 exists. In principle, if m = n, the vector A can be uniquely obtained from the equation (24). On the other hand, when m> n, Equation (22) becomes an overdetermined system, and the vector A can be solved by the least square method. In order to apply the least square method to the equation (22), each element of the vector A may be determined so that the inner product of the vector (H-DA-ε) and the transposed vector is minimized.

なお、収差量の計測再現性を良くするためには条件数(condition number)が小さいほど良い。条件数の最小値は1である。条件数は、次のように行列Dの最大の特異値を最小の特異値で割った結果の平方根であり、その条件数は「Cond(D)」 と表される場合もある。
条件数=(行列Dの最大の特異値/行列Dの最小の特異値)1/2 …(24D)
このようにして任意のn次までのツェルニケ多項式で表される波面収差を求めることができる(波面収差の変動量の計測工程)。
In order to improve the measurement reproducibility of the aberration amount, it is better that the condition number is smaller. The minimum value of the condition number is 1. The condition number is the square root of the result of dividing the largest singular value of the matrix D by the smallest singular value as follows, and the condition number may be expressed as “Cond (D)”.
Condition number = (maximum singular value of matrix D / minimum singular value of matrix D) 1/2 (24D)
In this way, wavefront aberration represented by Zernike polynomials up to an arbitrary nth order can be obtained (measurement step of fluctuation amount of wavefront aberration).

その後、求められたn次までのツェルニケ多項式で表される収差の変動量を相殺するように、主制御装置50は、図2の結像特性補正コントローラ78を含む結像特性補正装置を介して投影光学系PLの収差を補正する(結像特性の補正工程)。その後、補正後の投影光学系PLを介してレチクルRのパターンをウエハW上に露光することによって(露光工程)、レチクルRのパターンを高精度に転写できる。   Thereafter, the main controller 50 passes through an imaging characteristic correction apparatus including the imaging characteristic correction controller 78 of FIG. 2 so as to cancel out the fluctuation amount of the aberration expressed by the Zernike polynomial up to the nth order. The aberration of the projection optical system PL is corrected (image characteristic correction process). Thereafter, the pattern of the reticle R is exposed onto the wafer W via the corrected projection optical system PL (exposure process), so that the pattern of the reticle R can be transferred with high accuracy.

以上の説明は、照明光学系の有効光源が十分に小さくコヒーレント照明と見なせる場合に適用できるが、有効光源の大きさが或る程度大きい場合は、(21)式中のツェルニケ多項式によって決まる値fj(ρk,Ψk)は、その有効光源の面積内の平均値を用いればよい。
次に、(21)式又は(23)式に実際に解が存在して、図1の投影光学系PLの波面収差をn次までのツェルニケ多項式で表される収差に分けて求めることができる場合について説明する。この場合、(21)式において、投影光学系PLの射出瞳(又は瞳面)上で位相情報を計測する計測点(ρk,Ψk)(k=1〜m)の個数mは、n以上にする必要がある。以下では、説明の便宜上、その計測点(ρk,Ψk)は、投影光学系PLの瞳面上の規格化された座標(以下、「規格化瞳座標」と言う)上に設定されているものとする。本発明者は、その規格化瞳座標上で光軸AXを中心とする所定の複数の方向に沿って配置されたm個(m≧n)の位置(ρk,Ψk)に計測点(サンプリングポイント)を設定し、コンピュータのシミュレーションによって(21)式が解けるかどうかを確かめた。即ち、その各計測点(ρk,Ψk)におけるj次のツェルニケ多項式の値fj(ρk,Ψk)(j=1〜n;k=1〜m)を元とするm行×n列の行列をDとして、その転置行列をDT とした場合、n行×n列の行列(DTD)の逆行列(DTD)-1が存在するかどうかを確かめた。その逆行列が存在して(21)式が解ける場合には、(21)式の波面収差H(ρk,Ψk)(k=1〜m)として実際の計測値を代入することによって、n次までのツェルニケ多項式で表される収差の係数aj を求めることができる。
The above description can be applied when the effective light source of the illumination optical system is sufficiently small and can be regarded as coherent illumination. However, when the effective light source is somewhat large, the value fj determined by the Zernike polynomial in equation (21). (Ρ k , Ψ k ) may be an average value within the area of the effective light source.
Next, there is actually a solution in the equation (21) or (23), and the wavefront aberration of the projection optical system PL in FIG. 1 can be obtained by dividing it into aberrations represented by Zernike polynomials up to the nth order. The case will be described. In this case, in equation (21), the number m of measurement points (ρ k , Ψ k ) (k = 1 to m) for measuring phase information on the exit pupil (or pupil plane) of the projection optical system PL is n It is necessary to do more. In the following, for convenience of explanation, the measurement points (ρ k , Ψ k ) are set on standardized coordinates (hereinafter referred to as “normalized pupil coordinates”) on the pupil plane of the projection optical system PL. It shall be. The inventor has measured points (ρ k , Ψ k ) at m (m ≧ n) positions (ρ k , Ψ k ) arranged along a plurality of predetermined directions around the optical axis AX on the normalized pupil coordinates. Sampling points) were set, and it was confirmed whether or not equation (21) could be solved by computer simulation. That is, m rows × n based on the values fj (ρ k , Ψ k ) (j = 1 to n; k = 1 to m) of the j-th order Zernike polynomial at each measurement point (ρ k , Ψ k ). a matrix of rows as D, in which case the transposed matrix was D T, and see if n rows × n columns (D T D) of the inverse matrix (D T D) -1 exists. When the inverse matrix exists and the equation (21) can be solved, the actual measured value is substituted as the wavefront aberration H (ρ k , Ψ k ) (k = 1 to m) of the equation (21), The aberration coefficient a j represented by Zernike polynomials up to the nth order can be obtained.

図6は、本例の投影光学系PL(図1参照)の規格化瞳座標(X,Y)上での位相情報の計測点の配置の一例を示し、この図6において、規格化瞳座標(X,Y)の原点は投影光学系PLの光軸AXであるとする。また、図6の複数の計測点は、X軸に対して反時計周りに0°の方向D1、30°の方向D2、45°の方向D3、90°の方向D4、120°の方向D5、及び135°の方向D6よりなる6個の方向に沿って配置されている。この場合も、各方向D1〜D6において、複数の計測点はそれぞれ中心に関して対称に配置されている。また、方向D1、D3、D4、及びD6については、計測点の対称中心から半径方向のオフセットがrofで、間隔がrrとなるように計測点SP1が設定され、方向D2及びD5については、その対称中心から半径方向のオフセットがrof2で、間隔がrr2となるように計測点SP2が設定されている。さらに、全体の計測点の配置が光軸AXに対してX方向及びY方向にオフセットXof及びYofを持つ場合も想定されている。このようなオフセット(Xof,Yof)を与えるためには、計測マークを照明する際の照明光の主光線をX方向、Y方向に対応する角度だけ傾斜させればよい。   FIG. 6 shows an example of the arrangement of measurement points of phase information on the normalized pupil coordinates (X, Y) of the projection optical system PL (see FIG. 1) of this example. In FIG. The origin of (X, Y) is assumed to be the optical axis AX of the projection optical system PL. Further, the plurality of measurement points in FIG. 6 include a 0 ° direction D1, a 30 ° direction D2, a 45 ° direction D3, a 90 ° direction D4, and a 120 ° direction D5, counterclockwise with respect to the X axis. And 6 directions composed of a direction D6 of 135 °. Also in this case, in each of the directions D1 to D6, the plurality of measurement points are arranged symmetrically with respect to the center. Further, for the directions D1, D3, D4, and D6, the measurement point SP1 is set so that the radial offset from the symmetry center of the measurement point is rof and the interval is rr. For the directions D2 and D5, The measurement point SP2 is set so that the radial offset from the center of symmetry is rof2 and the interval is rr2. Furthermore, it is assumed that the entire measurement point arrangement has offsets Xof and Yof in the X and Y directions with respect to the optical axis AX. In order to give such an offset (Xof, Yof), the chief ray of the illumination light for illuminating the measurement mark may be inclined by an angle corresponding to the X direction and the Y direction.

以下の説明では、原則として図6の全体の配置のオフセット(Xof,Yof)、方向別のオフセットrof,rof2、及び方向別の間隔rr,rr2を用いて、規格化瞳座標上での計測点の配置を示すものとする。
先ず、図7(A)及び(B)は具体的な計測点の配置を示し、図7(A)の配置では、(Xof,Yof)=(0,0)、rr=0.166、rof=0.1、rr2=0.046、rof2=0.7と設定されている。この場合、図6の方向D3及びD6の計測点はなく、方向D2及びD5の計測点SP2はそれぞれ方向D1(0°)及び方向D4(90°)の計測点SP1に重なって設定されている。
In the following description, as a general rule, the measurement points on the normalized pupil coordinates using the offset (Xof, Yof) of the entire arrangement in FIG. 6, the offsets rof, rof2 for each direction, and the intervals rr, rr2 for each direction. The arrangement of
7A and 7B show specific measurement point arrangements. In the arrangement shown in FIG. 7A, (Xof, Yof) = (0, 0), rr = 0.166, rof. = 0.1, rr2 = 0.046, and rof2 = 0.7. In this case, there are no measurement points in the directions D3 and D6 in FIG. 6, and the measurement points SP2 in the directions D2 and D5 are set to overlap the measurement points SP1 in the direction D1 (0 °) and the direction D4 (90 °), respectively. .

実際に図7(A)のような配置の複数の計測点に垂直入射で回折光を生じる計測マークは、表2に示すように2方向にそれぞれ12種類(ファインマークが6種類及びコースマークが6種類)のピッチを持つ複数のライン・アンド・スペースパターン(以下、「L&Sパターン」と言う。)である。表2において、(A)は0°、90°の方向の6個の計測点に1次回折光を発生する6個のL&Sパターン(ピッチが大きく変化するコースマーク)を示し、(B)は0°、90°の方向の6個の計測点に1次回折光を発生する6個のL&Sパターン(全体としてピッチが近接して小さいファインマーク)を示している。また、表2において、最上段の数字0〜5は、中心から半径方向に向かう計測点の順序、ひいてはその計測点に1次回折光を生じるL&Sパターンの順序を示し、Normは対応する計測点の規格化瞳座標上での半径方向の位置を示し、NA_equはその半径Normに投影光学系PLの開口数(ここでは0.92)を乗じた値を示し、L/Sは対応するL&Sパターンの投影像の段階でのピッチの1/2の値(nm)を示している。なお、露光波長を193nmとしている。   Actually, as shown in Table 2, there are 12 types of measurement marks that produce diffracted light by perpendicular incidence at a plurality of measurement points arranged as shown in FIG. 7A (6 types of fine marks and course marks). A plurality of line and space patterns (hereinafter referred to as “L & S patterns”) having six types of pitches. In Table 2, (A) shows six L & S patterns (course marks whose pitch changes greatly) that generate first-order diffracted light at six measurement points in directions of 0 ° and 90 °, and (B) shows 0. 6 L & S patterns (fine marks whose pitches are close to each other as a whole) that generate first-order diffracted light at 6 measurement points in the directions of ° and 90 ° are shown. In Table 2, the numbers 0 to 5 at the top indicate the order of the measurement points from the center in the radial direction, and thus the order of the L & S pattern that generates the first-order diffracted light at the measurement points, and Norm indicates the corresponding measurement point. Indicates the position in the radial direction on the normalized pupil coordinates, NA_equ indicates a value obtained by multiplying the radius Norm by the numerical aperture (0.92 in this case) of the projection optical system PL, and L / S indicates the corresponding L & S pattern. A half value (nm) of the pitch at the stage of the projected image is shown. The exposure wavelength is 193 nm.

Figure 2006234517
Figure 2006234517

図7(A)のように、直交する2方向に12個の計測点を設けた場合には、Z37までのツェルニケ多項式で表される波面収差のうちの0θ,1θ,cos2θ成分が計測可能である。この配置の計測マークに対する照明をコヒーレント照明(照明サイズは規格化瞳上で0.05とした)としたコンピュータによるシミュレーションの結果、(24D)式の条件数Cond(D) は5.649と10以下になり、計測再現性が良好である。 When 12 measurement points are provided in two orthogonal directions as shown in FIG. 7A, the 0θ, 1θ, and cos 2θ components of the wavefront aberration expressed by the Zernike polynomial up to Z 37 can be measured. It is. As a result of a computer simulation in which the illumination for the measurement marks of this arrangement is coherent illumination (illumination size is 0.05 on the normalized pupil), the condition number Cond (D) in the expression (24D) is 5.649 and 10 The measurement reproducibility is good as follows.

また、図7(B)の計測点は、図7(A)の計測点のうちの2方向の6種類のコースマークで設定される計測点のみに対応している。この図7(B)のように、直交する2方向に6個の計測点を設けた場合でも、Z16までの0θ,1θ,cos2θ成分が計測可能である。この配置の計測マークに対する照明をコヒーレント照明としたコンピュータによるシミュレーションの結果、(24D)式の条件数Cond(D) は2.228と非常に小さくなった。コースマークは、縦横の6種類のL&Sパターンであるため、これを図5のスリット9A及び9Dで一括して走査することで、短時間に収差計測を行うことができる。 Further, the measurement points in FIG. 7B correspond to only the measurement points set by six kinds of course marks in two directions among the measurement points in FIG. This as in FIG. 7 (B), even in the case where the six measurement points in two orthogonal directions, 0Shita to Z 16, 1θ, cos2θ component can be measured. As a result of the computer simulation using the coherent illumination as the illumination for the measurement marks of this arrangement, the condition number Cond (D) of the equation (24D) is very small as 2.228. Since the coarse marks are six types of L & S patterns in the vertical and horizontal directions, aberrations can be measured in a short time by scanning them collectively with the slits 9A and 9D in FIG.

次に、図8の配置では、(Xof,Yof)=(0,0)、rr=0.166、rof=0.1、rr2=0.036、rof2=0.75、σ値の最大値rmax=0.932と設定されている。この場合には、30°方向及び120°方向の計測点SP2は、以下の表3(B)のファインマークによって設定され、それ以外の0°、45°、90°、135°の方向の計測点SP1は、表3(A)のコースマークによって設定される。このように6方向にそれぞれ6種類のL&Sパターンで設定されるとともに、2方向で投影光学系PLの瞳の外周から半径方向の50%以内に複数の計測点が設定されるような配置を用いることで、Z37までの全部の収差を計測できる。この配置の計測マークに対する照明をコヒーレント照明としたコンピュータによるシミュレーションの結果、(24D)式の条件数Cond(D) は5.727と10以下になった。 Next, in the arrangement of FIG. 8, (Xof, Yof) = (0, 0), rr = 0.166, rof = 0.1, rr2 = 0.036, rof2 = 0.75, the maximum value of σ value rmax = 0.932 is set. In this case, the measurement points SP2 in the 30 ° direction and the 120 ° direction are set by the fine marks in the following Table 3 (B), and the measurement in the other directions of 0 °, 45 °, 90 °, and 135 ° is performed. The point SP1 is set by the course mark in Table 3 (A). In this way, an arrangement is used in which six types of L & S patterns are set in each of the six directions, and a plurality of measurement points are set in two directions within 50% of the radial direction from the outer periphery of the pupil of the projection optical system PL. Thus, all aberrations up to Z 37 can be measured. As a result of computer simulation using the coherent illumination for the measurement marks with this arrangement, the condition number Cond (D) in equation (24D) was 5.727, which is 10 or less.

Figure 2006234517
Figure 2006234517

なお、2θ以下のツェルニケ多項式の各項の内訳は以下のようになる。
低次コマ:Z7 ,Z8 (1θ)
高次コマ:Z14,Z15,Z23,Z24,Z34,Z35(1θ)
低次アス:Z5 (cos2θ),Z6 (sin2θ)
低次球面:Z4 ,Z9 (0θ)
高次球面:Z16,Z25,Z36,Z37(0θ)
ディストーション:Z2 ,Z3 (1θ)
次に、図6の投影光学系PLの規格化瞳座標上の全部の計測点SP1,SP2の位相情報を計測するためには、図3のレチクルマーク板RFM上に、それらの全部の計測点を通過するような回折光を発生する計測用パターンを形成しておけばよい。なお、図6の6方向の計測点を設定することができれば、図7(A)及び図7(B)の2方向の計測点は容易に設定できる。図9は、図6の6個の方向に沿って配列された計測点を通過する回折光を発生するための計測用パターンの一例を示している。
The breakdown of each term of the Zernike polynomial of 2θ or less is as follows.
Lower frame: Z 7 , Z 8 (1θ)
Higher frame: Z 14 , Z 15 , Z 23 , Z 24 , Z 34 , Z 35 (1θ)
Low-order asses: Z 5 (cos 2θ), Z 6 (sin 2θ)
Low-order spherical surface: Z 4 , Z 9 (0θ)
Higher order spherical surface: Z 16 , Z 25 , Z 36 , Z 37 (0θ)
Distortion: Z 2 , Z 3 (1θ)
Next, in order to measure the phase information of all the measurement points SP1 and SP2 on the normalized pupil coordinates of the projection optical system PL of FIG. 6, all of the measurement points are placed on the reticle mark plate RFM of FIG. It is only necessary to form a measurement pattern that generates diffracted light that passes through. If the measurement points in the six directions in FIG. 6 can be set, the measurement points in the two directions in FIGS. 7A and 7B can be easily set. FIG. 9 shows an example of a measurement pattern for generating diffracted light passing through measurement points arranged along the six directions of FIG.

図9は、図1のレチクルマーク板RFM(基板)のパターン面に形成された計測用パターンの一例を示し、この図9において、レチクルマーク板RFMのY方向(走査方向又は第1方向)の中央部にX方向(非走査方向又は第2方向)に沿って、後述のようにそれぞれ複数の周期マークを含む第1組の9個の収差計測マーク(第1マーク)1A,1B,1C,1D,1E,1F,1G,1H,1IがピッチΔXで形成されている。ピッチΔXは、例えば数mmである。また、レチクルマーク板RFM上には、第1組の収差計測マーク1A〜1IにそれぞれY方向に対向して接するように、第2組の9個の収差計測マーク(第2マーク)2A,2B,2C,2D,2E,2F,2G,2H,2Iも形成されている。収差計測マーク1A〜1Iと収差計測マーク2A〜2Iとは、それぞれ例えば電子線描画装置で描画された共通の原版パターンを所定倍率で転写して形成したものである。ただし、第1組の収差計測マーク1A〜1Iに対して第2組の収差計測マーク2A〜2Iは、それぞれ相対的に180°回転した関係となっている。   FIG. 9 shows an example of a measurement pattern formed on the pattern surface of the reticle mark plate RFM (substrate) in FIG. 1. In FIG. 9, the Y direction (scanning direction or first direction) of the reticle mark plate RFM in FIG. A first set of nine aberration measurement marks (first marks) 1A, 1B, 1C, each including a plurality of periodic marks, as will be described later, along the X direction (non-scanning direction or second direction) at the center. 1D, 1E, 1F, 1G, 1H, and 1I are formed with a pitch ΔX. The pitch ΔX is, for example, several mm. On the reticle mark plate RFM, a second set of nine aberration measurement marks (second marks) 2A and 2B are provided so as to be in contact with and in contact with the first set of aberration measurement marks 1A to 1I in the Y direction. , 2C, 2D, 2E, 2F, 2G, 2H, 2I are also formed. The aberration measurement marks 1A to 1I and the aberration measurement marks 2A to 2I are formed, for example, by transferring a common original pattern drawn by an electron beam drawing apparatus at a predetermined magnification. However, the second set of aberration measurement marks 2A to 2I is relatively rotated by 180 ° with respect to the first set of aberration measurement marks 1A to 1I.

なお、図9においては、第1組の収差計測マーク1A〜1Iにはそれぞれ文字「F」が付され、第2組の収差計測マーク2A〜2Iにはそれぞれ文字「F」を180°回転した文字が付されているが、その文字「F」は2組のマークの相対的な回転角を表すために便宜上付したものであり、実際のパターンとは関係がない。本例では、収差計測マーク1A〜1Iに対する収差計測マーク2A〜2Iの相対的な回転角(所定角度)は180°であり、後述のように収差を求める際の演算が容易になる。しかしながら、演算が或る程度複雑になることはあっても、その相対的な回転角は、例えば45°又は90°のような0°以外の任意の角度を取ることが可能である。   In FIG. 9, the first set of aberration measurement marks 1 </ b> A to 1 </ b> I is marked with the letter “F”, and the second set of aberration measurement marks 2 </ b> A to 2 </ b> I is rotated with the letter “F” by 180 °. Although the letter is attached, the letter “F” is given for convenience in order to represent the relative rotation angles of the two sets of marks, and is not related to the actual pattern. In this example, the relative rotation angle (predetermined angle) of the aberration measurement marks 2A to 2I with respect to the aberration measurement marks 1A to 1I is 180 °, and the calculation for obtaining the aberration as described later becomes easy. However, even if the operation is somewhat complicated, the relative rotation angle can be any angle other than 0 °, such as 45 ° or 90 °.

このように本例のレチクルマーク板RFMには、Y方向に対向するように配置された1対の収差計測マーク1A,2Aと同じ形状の全部で9対の収差計測マーク1A〜1I,2A〜2IがX方向に沿って配置されている。この際に、収差計測マーク1A〜1I,2A〜2IのX方向の幅は、図1の照明光ILの照明領域IARの幅よりも僅かに狭くなる程度に設定されている。この配置によって、図1のレチクルステージRSTを駆動して、図9のレチクルマーク板RFMの収差計測マーク1A〜1I,2A〜2Iを照明領域IAR内に移動することによって、その照明領域IAR、ひいてはこれに対応する投影光学系PLの露光領域IA内で非走査方向に配列された複数の位置(像高)において、空間像計測法で投影光学系PLの収差を計測することができる。   As described above, the reticle mark plate RFM of this example has a total of nine aberration measurement marks 1A to 1I, 2A to the same shape as the pair of aberration measurement marks 1A and 2A arranged to face each other in the Y direction. 2I is arranged along the X direction. At this time, the widths of the aberration measurement marks 1A to 1I and 2A to 2I in the X direction are set to be slightly narrower than the width of the illumination area IAR of the illumination light IL in FIG. With this arrangement, the reticle stage RST of FIG. 1 is driven, and the aberration measurement marks 1A to 1I and 2A to 2I of the reticle mark plate RFM of FIG. 9 are moved into the illumination area IAR. The aberration of the projection optical system PL can be measured by the aerial image measurement method at a plurality of positions (image heights) arranged in the non-scanning direction within the exposure area IA of the projection optical system PL corresponding to this.

ここで、収差計測マーク1A〜1I,2A〜2Iが形成されたレチクルマーク板RFMの製造方法の一例につき説明する。先ず、例えば1つの収差計測マーク1Aを所定倍率(ここでは5倍とする)で拡大した原版パターンを、設計データに基づいて電子線描画装置によって第1のガラス基板(金属膜が蒸着され、感光材料が塗布されている)上に描画して、現像及びエッチング等を行うことによって、その原版パターンが形成されたマスターレチクルを製造する。次に、縮小倍率が1/5の投影露光装置よりなるフォトリピータを用いて、第2のガラス基板(金属膜が蒸着され、感光材料が塗布されている)上に一列に(図9のX方向に対応する方向に沿って)、ピッチΔXでそのマスターレチクルの原版パターンを転写する。なお、その第2のガラス基板上には予め例えばアライメント用のマークが形成されている。   Here, an example of a method for manufacturing the reticle mark plate RFM on which the aberration measurement marks 1A to 1I and 2A to 2I are formed will be described. First, for example, an original pattern obtained by enlarging one aberration measurement mark 1A with a predetermined magnification (here, 5 times) is used to form a first glass substrate (a metal film is deposited on the first glass substrate by using an electron beam drawing apparatus on the basis of design data. The master reticle on which the original pattern is formed is manufactured by drawing on the material coated) and developing and etching. Next, using a photo repeater composed of a projection exposure apparatus having a reduction ratio of 1/5, the second glass substrate (a metal film is deposited and a photosensitive material is applied) is arranged in a row (X in FIG. 9). The master reticle pattern is transferred at a pitch ΔX along the direction corresponding to the direction). For example, an alignment mark is formed on the second glass substrate in advance.

次に、その第2のガラス基板を180°回転した後、その同じフォトリピータを用いて、その第2のガラス基板上の図9の収差計測マーク2A〜2Iに対応する位置に、そのマスターレチクルの原版パターンを転写する。そして、必要に応じて後述のフォーカス用マーク及び像位置用マークの像も転写する。その後、現像及びエッチング等を行うことによって、ワーキングレチクルとしての図9のレチクルマーク板RFMが製造できる。この際に、第1組の収差計測マーク1A〜1Iの各マークの製造誤差(本例では周期マークのピッチの誤差等)は、電子線描画装置による描画誤差とフォトリピータによる転写の際の誤差との総和となる。また、第2組の収差計測マーク2A〜2Iの各マークの製造誤差は、その描画誤差を180°回転した誤差(X方向、Y方向の描画誤差の符号をそれぞれ逆極性にした逆極性の誤差)とフォトリピータによる転写の際の誤差との総和となる。この場合、フォトリピータによる転写の際の誤差(例えば倍率誤差)は、予め計測しておいて補正することも可能である。これに対して、描画誤差は、例えば1つの収差計測マーク1A内の複数の周期マーク毎に、かつ各周期マーク内の各部分毎に微妙に異なっているため、予め計測しておく場合に誤差が残存することも有り得る。そこで、本例では、後述のように収差計測マーク1A〜1Iと収差計測マーク2A〜2Iとで描画誤差が逆極性になることを利用して、その描画誤差の収差の計測結果に対する影響を低減する。   Next, after rotating the second glass substrate by 180 °, using the same photo repeater, the master reticle is positioned at a position corresponding to the aberration measurement marks 2A to 2I in FIG. 9 on the second glass substrate. Transfer the original pattern. If necessary, images of a focus mark and an image position mark described later are also transferred. Thereafter, the reticle mark plate RFM of FIG. 9 as a working reticle can be manufactured by performing development, etching, and the like. At this time, a manufacturing error (in this example, a pitch error of the periodic marks) of each of the first set of aberration measurement marks 1A to 1I includes a drawing error by the electron beam drawing apparatus and a transfer error by the photo repeater. And the sum. In addition, the manufacturing error of each of the second set of aberration measurement marks 2A to 2I is an error obtained by rotating the drawing error by 180 ° (an error of opposite polarity with the signs of the drawing errors in the X direction and Y direction having opposite polarities, respectively). ) And the error at the time of transfer by a photo repeater. In this case, an error (for example, magnification error) at the time of transfer by a photo repeater can be measured and corrected in advance. On the other hand, the drawing error is slightly different for each of the plurality of periodic marks in one aberration measurement mark 1A and for each part in each periodic mark. May remain. Therefore, in this example, the influence of the drawing error on the measurement result of the aberration is reduced by using the fact that the drawing error is opposite in polarity between the aberration measuring marks 1A to 1I and the aberration measuring marks 2A to 2I as described later. To do.

なお、収差計測マーク1A〜1I,2A〜2Iの個数は任意であり、例えば中央部と両端部との3箇所に設けるだけでもよい。又は、矩形の照明領域IARの中央部と、それを囲む矩形領域の4つの頂点の位置に収差計測マーク1A,2Aと同様の1対のマークを設けてもよい。
また、図9において、レチクルマーク板RFMの−X方向の付属マーク領域61Aには、ベストフォーカス位置計測用のX軸のマーク62XA及びY軸のマーク62YA(フォーカス用マーク)と、投影像の位置計測用のX軸のマーク63XA及びY軸のマーク63YA(像位置用マーク)とが形成されている。付属マーク領域61Aの大きさは、投影光学系PLによる投影像で表わすとほぼ60μm角であり、マーク62XA,62YA,63XA,63YAの配置は投影像の状態での配置を表している。また、X軸のマーク62XA及び63XAの投影像は図5のスリット122b及び9Aで走査することで検出でき、Y軸のマーク62YA及び63YAの投影像は図5のスリット122a及び9Dで走査することで検出できる。これらの投影像を処理することによって、ベストフォーカス位置及び付属マーク領域61Aの位置を求めることができる。
The number of the aberration measurement marks 1A to 1I and 2A to 2I is arbitrary. Alternatively, a pair of marks similar to the aberration measurement marks 1A and 2A may be provided at the center of the rectangular illumination area IAR and the positions of the four vertices of the rectangular area surrounding it.
In FIG. 9, an X-axis mark 62XA for measuring the best focus position, a Y-axis mark 62YA (focus mark), and the position of the projected image are included in the attached mark area 61A in the −X direction of the reticle mark plate RFM. An X-axis mark 63XA for measurement and a Y-axis mark 63YA (image position mark) are formed. The size of the attached mark area 61A is approximately 60 μm square when represented by a projection image by the projection optical system PL, and the arrangement of the marks 62XA, 62YA, 63XA, and 63YA represents the arrangement in the state of the projection image. Further, the projected images of the X-axis marks 62XA and 63XA can be detected by scanning with the slits 122b and 9A in FIG. 5, and the projected images of the Y-axis marks 62YA and 63YA are scanned with the slits 122a and 9D in FIG. Can be detected. By processing these projected images, the best focus position and the position of the attached mark area 61A can be obtained.

同様に、レチクルマーク板RFMの+X方向の付属マーク領域61Bには、付属マーク領域61Aと同じ配置で、ベストフォーカス位置計測用のX軸のマーク62XB及びY軸のマーク62YBと、投影像の位置計測用のX軸のマーク63XB及びY軸のマーク63YBとが形成されている。これらのマークの投影像を処理することによって、ベストフォーカス位置及び付属マーク領域61Bの位置を求めることができる。また、予め、付属マーク領域61A,61Bに対する収差計測マーク1A〜1I,2A〜2Iの位置関係は計測されて図1のメモリ51に記憶されている。従って、2つの付属マーク領域61A及び61Bの位置に基づいて、収差計測マーク1A〜1I,2A〜2Iの投影像の位置を求めることができる。   Similarly, an X-axis mark 62XB and a Y-axis mark 62YB for measuring the best focus position, and the position of the projection image are arranged in the + X direction auxiliary mark area 61B of the reticle mark plate RFM in the same arrangement as the auxiliary mark area 61A. An X-axis mark 63XB for measurement and a Y-axis mark 63YB are formed. By processing the projected images of these marks, the best focus position and the position of the attached mark area 61B can be obtained. In addition, the positional relationships of the aberration measurement marks 1A to 1I and 2A to 2I with respect to the attached mark areas 61A and 61B are measured and stored in the memory 51 of FIG. Therefore, the positions of the projection images of the aberration measurement marks 1A to 1I and 2A to 2I can be obtained based on the positions of the two attached mark regions 61A and 61B.

次に、図9の収差計測マーク1A〜1I及び2A〜21のうちで、代表的に収差計測マーク1A及び2Aの構成について説明する。
図10は、図9のレチクルマーク板RFMに形成された収差計測マーク1A及び2Aを示す拡大図であり、図10において、収差計測マーク1Aは、互いに周期方向(ピッチ方向)の異なる6個の周期マーク3A,3B,3C,3D,3E,3Fから構成されている。このうちの第1の周期マーク3Aは、X方向に次第に小さくなるピッチで形成されてデューティ比が1:1の6個のL&Sパターン(ラインアンドスペースパターン)4A,4B,4C,4D,4E,4Fを含み、第3、第4、第6の周期マーク3C,3D,3Fは、それぞれ第1の周期マーク3Aを所定角度回転したマークである。また、第4の周期マーク3Dの周期方向はY軸に平行である。
Next, among the aberration measurement marks 1A to 1I and 2A to 21 in FIG. 9, the configuration of the aberration measurement marks 1A and 2A will be described representatively.
FIG. 10 is an enlarged view showing the aberration measurement marks 1A and 2A formed on the reticle mark plate RFM of FIG. 9, and in FIG. 10, the aberration measurement mark 1A has six periodic directions (pitch directions) different from each other. It consists of periodic marks 3A, 3B, 3C, 3D, 3E, 3F. Among these, the first periodic mark 3A is formed with six L & S patterns (line and space patterns) 4A, 4B, 4C, 4D, 4E, having a duty ratio of 1: 1, which are formed at a pitch gradually decreasing in the X direction. 4F, and the third, fourth, and sixth periodic marks 3C, 3D, and 3F are marks obtained by rotating the first periodic mark 3A by a predetermined angle. The periodic direction of the fourth periodic mark 3D is parallel to the Y axis.

また、第2の周期マーク3Bは、例えばX軸に対して所定角度で回転した方向に次第に小さくなるピッチで形成されてデューティ比が1:1の6個のL&Sパターン5A,5B,5C,5D,5E,5Fを含み、第5の周期マーク3Eは、その周期マーク3Bを所定角度回転したマークである。
図11は、図10中の収差計測マーク1Aを示す拡大図であり、この図11において、周期マーク3A,3B,3C,3D,3E,3F内のL&Sパターンの周期方向である計測方向は、それぞれX軸に対して反時計周りに0°、角度φ1、角度φ2、90°、角度φ3、角度φ4だけ傾斜している。本例の角度φ1、φ2、φ3、及びφ4は、それぞれ図6(及び図5)中の対応する角度と同じく30°、45°、120°、及び135°である。そして、周期マーク3A,3C,3D,3F中のL&Sパターン4A〜4Fは図6の粗い計測点SP1を設定するためのコースマークとしてのピッチを持ち、周期マーク3B,3E中のL&Sパターン5A〜5Fはは図6の密な計測点SP2を設定するためのファインマークとしてのピッチを持っている。即ち、周期マーク3A〜3Fは、6方向でそれぞれ片側に6個の計測点を設定するためのマークである。コースマークとしてのL&Sパターン4A〜4Fの投影像のピッチの一例が、表3(A)に記載され、ファインマークとしてのL&Sパターン5A〜5Fの投影像のピッチの一例が、表3(B)に記載されている。
Further, the second periodic marks 3B are formed, for example, at six pitches of L & S patterns 5A, 5B, 5C, and 5D having a duty ratio of 1: 1 with a gradually decreasing pitch in a direction rotated by a predetermined angle with respect to the X axis. , 5E, 5F, and the fifth periodic mark 3E is a mark obtained by rotating the periodic mark 3B by a predetermined angle.
FIG. 11 is an enlarged view showing the aberration measurement mark 1A in FIG. 10. In FIG. 11, the measurement direction which is the periodic direction of the L & S pattern in the periodic marks 3A, 3B, 3C, 3D, 3E, 3F is Each of them is inclined counterclockwise by 0 °, angle φ1, angle φ2, 90 °, angle φ3, and angle φ4 with respect to the X axis. The angles φ1, φ2, φ3, and φ4 in this example are 30 °, 45 °, 120 °, and 135 °, respectively, as are the corresponding angles in FIG. 6 (and FIG. 5). The L & S patterns 4A to 4F in the period marks 3A, 3C, 3D, and 3F have a pitch as a course mark for setting the rough measurement point SP1 in FIG. 6, and the L & S patterns 5A to 5L in the period marks 3B and 3E are set. 5F has a pitch as a fine mark for setting the dense measurement points SP2 in FIG. That is, the period marks 3A to 3F are marks for setting six measurement points on one side in each of six directions. An example of the pitch of the projected images of the L & S patterns 4A to 4F as the course marks is shown in Table 3 (A), and an example of the pitch of the projected images of the L & S patterns 5A to 5F as the fine marks is shown in Table 3 (B). It is described in.

また、投影光学系PLの瞳面上の計測点を図7(A)のようにX方向、Y方向の2方向に沿って設定する場合には、図11のファインマークを含む2つの周期マーク3B及び3Eの周期方向はそれぞれX方向及びY方向に設定されるとともに、2つの周期マーク3C,3Fは省略することが可能である。また、このように計測点をX方向、Y方向の2方向に沿って設定する場合には、コースマークとしてのL&Sパターン4A〜4Fの投影像のピッチの一例は表2(A)となり、ファインマークとしてのL&Sパターン5A〜5Fの投影像のピッチの一例は表2(B)となる。   Further, when the measurement points on the pupil plane of the projection optical system PL are set along two directions of the X direction and the Y direction as shown in FIG. 7A, two periodic marks including the fine mark of FIG. The periodic directions of 3B and 3E are set in the X direction and the Y direction, respectively, and the two periodic marks 3C and 3F can be omitted. Further, when the measurement points are set along the two directions of the X direction and the Y direction in this way, an example of the pitch of the projected images of the L & S patterns 4A to 4F as the course marks is shown in Table 2 (A). An example of the pitch of the projected images of the L & S patterns 5A to 5F as marks is shown in Table 2 (B).

図11中のそれぞれ6個の周期マーク3A〜3Fの周期方向は、それぞれ図6の投影光学系PLの規格化瞳座標上の計測点SP1,SP2が配列された方向D1〜D6に平行である。また、周期マーク3A〜3Fはそれぞれ6個のL&Sパターンを含んでいる。従って、図3の照明光ILで図11の計測用パターンを照明すると、周期マーク3A〜3Fからの±1次回折光は、それぞれ図6の規格化瞳座標上の6個の方向D1〜D6に沿って配列された12個(片側で6個)の位置を通過する。そのため、それらの±1次回折光が通過する位置が計測点となる。   The periodic directions of the six periodic marks 3A to 3F in FIG. 11 are parallel to the directions D1 to D6 in which the measurement points SP1 and SP2 on the normalized pupil coordinates of the projection optical system PL of FIG. 6 are arranged, respectively. . Further, each of the periodic marks 3A to 3F includes six L & S patterns. Therefore, when the measurement pattern of FIG. 11 is illuminated with the illumination light IL of FIG. 3, the ± first-order diffracted lights from the periodic marks 3A to 3F are respectively in six directions D1 to D6 on the normalized pupil coordinates of FIG. Pass through 12 positions (6 on each side) arranged along. Therefore, the position through which those ± 1st order diffracted light passes is the measurement point.

次に、図11の周期マーク3A〜3Fから発生して、図6の投影光学系PLの規格化瞳座標上で6個の方向D1〜D6に沿って配列された複数の計測点SPを通過した回折光の位相情報を計測するためには、図11の周期マーク3A〜3Fを空間像とみなしたときに、各空間像に対してそれぞれ点線で示すように図5のスリット板90上の対応するスリット9A〜9Fを周期方向に相対走査して、図3の空間像計測装置59によってそれらの空間像の光強度分布情報を求めればよい。そして、その光強度分布情報から上記の(18)式の偶関数収差及び(19)式の奇関数収差を求めることで、(20)式の波面収差H(ρp,Ψp)を求めることができる。この波面収差H(ρp,Ψp)(p=k)を(21)式に代入することで、n次までのツェルニケ多項式によって表される収差(第1の光学特性)を求めることができる(第1工程)。 Next, a plurality of measurement points SP are generated from the periodic marks 3A to 3F in FIG. 11 and arranged along the six directions D1 to D6 on the normalized pupil coordinates of the projection optical system PL in FIG. In order to measure the phase information of the diffracted light, when the periodic marks 3A to 3F in FIG. 11 are regarded as aerial images, each spatial image is shown on the slit plate 90 in FIG. The corresponding slits 9A to 9F may be relatively scanned in the periodic direction, and the light intensity distribution information of these aerial images may be obtained by the aerial image measuring device 59 of FIG. Then, the wavefront aberration H (ρ p , Ψ p ) of equation (20) is obtained by obtaining the even function aberration of equation (18) and the odd function aberration of equation (19) from the light intensity distribution information. Can do. By substituting the wavefront aberration H (ρ p , Ψ p ) (p = k) into the equation (21), the aberration (first optical characteristic) represented by the Zernike polynomial up to the nth order can be obtained. (First step).

この場合、図5の6個のスリット9A,9B,9C,9D,9E及び9Fの計測方向(空間像に対する相対走査方向)は、それぞれX軸に対して反時計回りに0°、30°、45°、90°、120°及び135°で交差しているため、これらのスリット9A〜9Fを用いて図6の6個の方向D1〜D6に沿って配列された計測点を通過する回折光を検出することができる。   In this case, the measurement directions (relative scanning directions with respect to the aerial image) of the six slits 9A, 9B, 9C, 9D, 9E and 9F in FIG. 5 are 0 °, 30 ° counterclockwise with respect to the X axis, respectively. Since they intersect at 45 °, 90 °, 120 °, and 135 °, diffracted light that passes through the measurement points arranged along the six directions D1 to D6 in FIG. 6 using these slits 9A to 9F. Can be detected.

図10に戻り、収差計測マーク1Aに対向する収差計測マーク2Aは、収差計測マーク1Aを180°回転したマークである。従って、収差計測マーク2Aを構成する6個の周期マーク6A,6B,6C,6D,6E,6Fは、それぞれ収差計測マーク1Aを構成する6個の周期マーク3A〜3Fを180°回転したマークである。本例の周期マーク3A〜3Fからは、図6の6方向D1〜D6に沿った計測点を通過する回折光が発生するが、それらを180°回転した周期マーク6A〜6Fからも図6の6方向D1〜D6に沿った計測点を通過する回折光が発生する。従って、図10の収差計測マーク2Aの6個の周期マーク6A〜6Fから発生して図6の計測点を通過する回折光も、図5の6個のスリット9A〜9Fを介して検出することができ、この検出結果に基づいてn次までのツェルニケ多項式によって表される収差(第2の光学特性)を求めることができる(第2工程)。   Returning to FIG. 10, the aberration measurement mark 2A facing the aberration measurement mark 1A is a mark obtained by rotating the aberration measurement mark 1A by 180 °. Accordingly, the six periodic marks 6A, 6B, 6C, 6D, 6E, and 6F constituting the aberration measurement mark 2A are marks obtained by rotating the six periodic marks 3A to 3F constituting the aberration measurement mark 1A by 180 °. is there. From the periodic marks 3A to 3F in this example, diffracted light passing through the measurement points along the six directions D1 to D6 in FIG. 6 is generated, but also from the periodic marks 6A to 6F obtained by rotating them 180 degrees. Diffracted light passing through measurement points along the six directions D1 to D6 is generated. Therefore, the diffracted light generated from the six periodic marks 6A to 6F of the aberration measurement mark 2A in FIG. 10 and passing through the measurement points in FIG. 6 is also detected through the six slits 9A to 9F in FIG. Based on the detection result, the aberration (second optical characteristic) represented by the Zernike polynomial up to the nth order can be obtained (second step).

また、そのn次までのツェルニケ多項式によって表される収差は、図9の収差計測マーク1A〜1I及び2A〜2Iのそれぞれの位置(像高)に対して独立に計測することができる。
次に、収差計測マーク1A〜1I及び2A〜2Iの描画誤差の影響を低減する方法の一例について説明する。上述のように、第1組の収差計測マーク1A〜1Iの描画誤差に対して第2組の収差計測マーク2A〜2Iの描画誤差は逆極性である。そこで、一例として、図1の主制御装置50(演算装置)では図9の各計測点における収差の計測結果を平均化する(第3工程)。具体的に、収差計測マーク1Aの空間像計測によって求めたn次までのツェルニケ多項式の係数(収差)をZ1Ak(k=1〜n)として、それに対向する収差計測マーク2Aの空間像計測によって求めたn次までのツェルニケ多項式の係数(収差)をZ2Ak(k=1〜n)すると、収差計測マーク1A,2Aの位置におけるn次までのツェルニケ多項式の係数(収差)ZAkは次のようになる。
Further, the aberration represented by the Zernike polynomial up to the nth order can be measured independently with respect to the respective positions (image heights) of the aberration measurement marks 1A to 1I and 2A to 2I in FIG.
Next, an example of a method for reducing the influence of the drawing error of the aberration measurement marks 1A to 1I and 2A to 2I will be described. As described above, the drawing errors of the second set of aberration measurement marks 2A to 2I are opposite in polarity to the drawing errors of the first set of aberration measurement marks 1A to 1I. Therefore, as an example, the main controller 50 (arithmetic unit) in FIG. 1 averages the aberration measurement results at each measurement point in FIG. 9 (third step). Specifically, the coefficient (aberration) of the Zernike polynomial up to the nth order obtained by the aerial image measurement of the aberration measurement mark 1A is set as Z1 Ak (k = 1 to n), and the aerial image measurement of the aberration measurement mark 2A facing it is performed. When the Zernike polynomial coefficients (aberrations) up to the n-th order are Z2 Ak (k = 1 to n), the Zernike polynomial coefficients (aberrations) Z Ak up to the n-th order at the positions of the aberration measurement marks 1A and 2A are It becomes like this.

AK=(Z1AK+Z2AK)/2 (k=1〜n)
同様に、他の1対の収差計測マーク1B,2B等の位置においても、それぞれ2つの収差を平均化する。この結果、奇関数成分の収差である横収差、例えば収差Z2,Z3 で表されるディストーション、及び収差Z7,Z8,Z14,Z15で表されるコマ収差は、平均化によって対向する2つの収差計測マークの描画誤差が相殺されるため、製造誤差の影響を排除して、ほぼ真の収差量を計測できる。
Z AK = (Z1 AK + Z2 AK) / 2 (k = 1~n)
Similarly, the two aberrations are averaged at the positions of the other pair of aberration measurement marks 1B, 2B, etc., respectively. As a result, lateral aberration, which is an aberration of an odd function component, for example, distortion represented by aberrations Z 2 and Z 3 and coma aberration represented by aberrations Z 7 , Z 8 , Z 14 , and Z 15 are obtained by averaging. Since the drawing errors of the two opposing aberration measurement marks are offset, the true aberration amount can be measured by eliminating the influence of manufacturing errors.

また、偶関数成分の収差である縦収差、例えば収差Z9,Z16で表される球面収差についても、その平均化によって計測精度の向上が期待できる。
また、縦収差(偶関数成分の収差)の計測誤差は、実際には計測用マークの光軸方向の位置誤差によって発生する。そこで、予め図9のレチクルマーク板RFMの収差計測マーク1A〜1I,2A〜2Iが形成されている領域の平坦度を干渉計により計測しておき、各収差計測マークの光軸方向の位置誤差を記憶しておいてもよい。そして、収差計測時には、計測対象の収差計測マーク毎に、その光軸方向の位置誤差を相殺するように図1のスリット板90のZ方向の位置を調整することによって、その縦収差の計測誤差を補正することができる。この際に、例えば付属マーク領域61A及び61Bに近い収差計測マーク1A,2A及び1I,2Iの光軸方向の位置(又はそれに対応するベストフォーカス位置)を、光軸方向の位置の基準とすることができる。
Further, with respect to longitudinal aberration, which is an aberration of the even function component, for example, spherical aberration represented by aberrations Z 9 and Z 16 , improvement in measurement accuracy can be expected by averaging.
In addition, a measurement error of longitudinal aberration (even function component aberration) is actually caused by a position error of the measurement mark in the optical axis direction. Therefore, the flatness of the areas where the aberration measurement marks 1A to 1I and 2A to 2I of the reticle mark plate RFM in FIG. 9 are formed in advance is measured with an interferometer, and the positional error of each aberration measurement mark in the optical axis direction is measured. May be stored. Then, at the time of aberration measurement, the longitudinal aberration measurement error is adjusted by adjusting the position of the slit plate 90 in FIG. 1 in the Z direction so as to cancel the position error in the optical axis direction for each aberration measurement mark to be measured. Can be corrected. At this time, for example, the positions in the optical axis direction of the aberration measurement marks 1A, 2A and 1I, 2I close to the attached mark areas 61A and 61B (or the corresponding best focus positions) are used as the reference for the position in the optical axis direction. Can do.

なお、1つの像高に対応する計測用マーク(例えば収差計測マーク1A及び2A)は、物体面上で1mm角以内に局在しているとともに、その計測用マークの光軸方向の位置誤差は通常は20nm以内程度である。そこで、投影光学系PLの投影倍率を1/4とすると、その投影像の光軸方向の位置誤差は、1/16の1nm程度になり、露光波長(λ)193nmに対して5mλ以下の誤差となる。これは通常は無視できる誤差である。しかしながら、この誤差をも除去するためには、上記のようにレチクルマーク板RFMの平坦度を計測しておけばよい。   Note that measurement marks corresponding to one image height (for example, aberration measurement marks 1A and 2A) are localized within 1 mm square on the object surface, and the positional error of the measurement mark in the optical axis direction is Usually, it is about 20 nm or less. Therefore, if the projection magnification of the projection optical system PL is 1/4, the position error of the projected image in the optical axis direction is about 1/16 of 1/16, and the error is 5 mλ or less with respect to the exposure wavelength (λ) of 193 nm. It becomes. This is a normally negligible error. However, in order to remove this error as well, the flatness of reticle mark plate RFM may be measured as described above.

また、上記の実施形態では、収差計測用のマークは投影露光装置に内蔵されているレチクルマーク板RFMに形成されているが、そのマークをレチクルRと交換される基準レチクル(若しくはテストレチクル)、又はデバイス製造用(実露光用)のレチクルの一部に形成しておき、投影光学系PLの収差計測時にレチクルステージRST上にロードするようにしてもよい。   In the above embodiment, the aberration measurement mark is formed on the reticle mark plate RFM built in the projection exposure apparatus. However, the reference reticle (or test reticle) for exchanging the mark with the reticle R, Alternatively, it may be formed on a part of a reticle for device manufacture (for actual exposure) and loaded on the reticle stage RST when measuring the aberration of the projection optical system PL.

また、例えば図9のレチクルマーク板RFMには1組の収差計測マーク1A〜1Iのみを形成しておき、このレチクルマーク板RFMを用いて計測した収差と、その基準レチクルを用いて計測した誤差の少ない収差との差分を初期値データとして図1のメモリ51に記憶しておいてもよい。その後、収差計測マーク1A〜1Iのみを用いて収差を計測したときには、その結果をその記憶してある初期値データで補正することによって、収差計測マーク1A〜1Iの描画誤差の影響を低減できる。   Further, for example, only one set of aberration measurement marks 1A to 1I is formed on the reticle mark plate RFM in FIG. 9, and the aberration measured using the reticle mark plate RFM and the error measured using the reference reticle are used. A difference from an aberration with a small amount may be stored in the memory 51 of FIG. 1 as initial value data. Thereafter, when the aberration is measured using only the aberration measurement marks 1A to 1I, the influence of the drawing error of the aberration measurement marks 1A to 1I can be reduced by correcting the result with the stored initial value data.

次に、本発明の他の実施形態につき図12を参照して説明する。図12において図9に対応する部分には同一符号を付してその詳細説明を省略する。本例でも図1の投影露光装置を用いるが、本例の投影光学系PLの収差計測用のマークは、レチクルRと交換可能な評価用のレチクル上に形成されている。従って、投影光学系PLの収差を計測する場合には、レチクルステージRST上のレチクルRがその評価用のレチクルと交換される。   Next, another embodiment of the present invention will be described with reference to FIG. In FIG. 12, parts corresponding to those in FIG. Although the projection exposure apparatus of FIG. 1 is also used in this example, the aberration measurement mark of the projection optical system PL of this example is formed on an evaluation reticle exchangeable with the reticle R. Therefore, when measuring the aberration of the projection optical system PL, the reticle R on the reticle stage RST is exchanged with the reticle for evaluation.

図12(A)は本例の評価用のレチクルR1を図1のレチクルステージRST上に回転角0°でロードした状態を示し、この図12(A)において、レチクルR1のパターン領域の中央部にはX方向(非走査方向)にピッチΔX(ΔXは例えば3mm)で9個の収差計測マーク1A〜1Iが形成されている。なお、収差計測マーク1A〜1I中の符号「F」は、各マークの回転角を示すために仮想的に付したものである。収差計測マーク1A〜1IのX方向の位置は、レチクルR1をレチクルステージRST上で180°回転したときに、収差計測マーク1A〜1Iの位置がそれぞれ収差計測マーク1I〜1Aの位置になるように設定されている。収差計測マーク1A〜1Iの全体のX方向の幅は、ほぼ図1の照明領域IARに収まる程度である。   12A shows a state in which the evaluation reticle R1 of this example is loaded on the reticle stage RST of FIG. 1 at a rotation angle of 0 °. In FIG. 12A, the central portion of the pattern area of the reticle R1 is shown. Nine aberration measurement marks 1A to 1I are formed in the X direction (non-scanning direction) at a pitch ΔX (ΔX is 3 mm, for example). The symbol “F” in the aberration measurement marks 1 </ b> A to 1 </ b> I is virtually added to indicate the rotation angle of each mark. The positions of the aberration measurement marks 1A to 1I in the X direction are such that the positions of the aberration measurement marks 1A to 1I become the positions of the aberration measurement marks 1I to 1A, respectively, when the reticle R1 is rotated 180 ° on the reticle stage RST. Is set. The entire X-direction width of the aberration measurement marks 1A to 1I is approximately within the illumination area IAR in FIG.

また、レチクルR1のパターン面の4隅には回転角0°での収差計測マーク1A〜1Iの位置に対応付けられているアライメントマーク65A,65B,65C,65D(第1の一組の位置合わせ用マーク)が形成されている。さらに、レチクルR1のパターン面のアライメントマーク65A〜65Dの近傍には、レチクルR1を180°回転した状態での収差計測マーク1A〜1Iの位置に対応付けられているアライメントマーク64A,64B,64C,64D(第2の一組の位置合わせ用マーク)も形成されている。回転角0°用のアライメントマーク65A〜65Dと回転角180°用のアライメントマーク64A〜64Dとは、レチクルR1の中心の周りにレチクルR1を180°回転したときにほぼ重なる位置に形成されている。   Further, alignment marks 65A, 65B, 65C and 65D (first set of alignments) associated with the positions of the aberration measurement marks 1A to 1I at the rotation angle of 0 ° are provided at the four corners of the pattern surface of the reticle R1. Mark) is formed. Further, in the vicinity of the alignment marks 65A to 65D on the pattern surface of the reticle R1, alignment marks 64A, 64B, 64C, which are associated with the positions of the aberration measurement marks 1A to 1I when the reticle R1 is rotated 180 °, 64D (second set of alignment marks) is also formed. The alignment marks 65A to 65D for a rotation angle of 0 ° and the alignment marks 64A to 64D for a rotation angle of 180 ° are formed at positions that substantially overlap when the reticle R1 is rotated 180 ° around the center of the reticle R1. .

図12(A)のレチクルR1を製造する際には、例えば図9のレチクルマーク板RFMに収差計測マーク1A〜1Iのみを形成する場合のように、同一の原版パターンをフォトリピータを用いてレチクルR1の基板上の9箇所に順に転写すればよい。形成された収差計測マーク1A〜1Iは、同じ原版パターンを用いて同じフォトリピータの投影光学系を介してほぼ同時に転写されるため、同じ描画誤差で、同じフォトリピータの投影光学系の収差の影響を受けている。従って、収差計測マーク1A〜1Iは互いに実質的に等しい形状であるため、図12(B)に示すようにレチクルR1を180°回転したときには、図12(A)の収差計測マーク1A〜1Iの形状誤差は、図12(B)の収差計測マーク1I〜1Aの形状誤差に対してX方向、Y方向に逆極性となる。本例では、これを利用してレチクルR1のみを用いて、描画誤差やフォトリピータの収差の影響を排除しながら図1の投影光学系PLの収差を計測する。   When the reticle R1 shown in FIG. 12A is manufactured, the same original pattern is used as a reticle by using a photo repeater as in the case where only the aberration measurement marks 1A to 1I are formed on the reticle mark plate RFM shown in FIG. What is necessary is just to transfer to 9 places on the board | substrate of R1 in order. Since the formed aberration measurement marks 1A to 1I are transferred almost simultaneously through the same optical repeater projection optical system using the same original pattern, the same drawing error causes the influence of the aberration of the same optical repeater projection optical system. Is receiving. Accordingly, since the aberration measurement marks 1A to 1I have substantially the same shape, when the reticle R1 is rotated by 180 ° as shown in FIG. 12B, the aberration measurement marks 1A to 1I in FIG. The shape error has opposite polarity in the X direction and the Y direction with respect to the shape error of the aberration measurement marks 1I to 1A in FIG. In this example, using this, only the reticle R1 is used to measure the aberration of the projection optical system PL in FIG. 1 while eliminating the influence of the drawing error and the aberration of the photo repeater.

即ち、図1の投影光学系PLの収差計測時には、先ずレチクルR1を図12(A)の回転角0°の状態に設定して、収差計測マーク1A〜1Iを用いてそれぞれ収差を計測する。次に、レチクルR1を図12(B)に示す回転角180°の状態に設定し、かつ収差計測マーク1A〜1Iの位置をそれぞれ図12(A)の収差計測マーク1I〜1Aの位置に設定して、収差を計測する。次に、9箇所で2回の計測結果をそれぞれ平均化することによって、収差計測マーク1A〜1Iの製造誤差(描画誤差やフォトリピータの収差に起因する誤差)の影響が相殺されて、高精度に投影光学系PLの収差を計測できる。   That is, when measuring the aberration of the projection optical system PL in FIG. 1, first, the reticle R1 is set to the state of the rotation angle 0 ° in FIG. 12A, and the aberration is measured using the aberration measurement marks 1A to 1I. Next, the reticle R1 is set to the state of the rotation angle of 180 ° shown in FIG. 12B, and the positions of the aberration measurement marks 1A to 1I are set to the positions of the aberration measurement marks 1I to 1A in FIG. Then, the aberration is measured. Next, by averaging the two measurement results at nine locations, the effects of manufacturing errors (errors due to drawing errors and photo repeater aberrations) of the aberration measurement marks 1A to 1I are canceled out, resulting in high accuracy. In addition, the aberration of the projection optical system PL can be measured.

本例のレチクルR1は、絶対収差計測の基準レチクルとするのに好適である。一般に、露光装置内に取り付けられた小型の基準マーク板は搬送することができず、180°回転させるのは容易ではないからである。そこで、本例の基準レチクル(レチクルR1)で投影光学系PLの絶対収差量をもとめ、次に装置に内蔵されている基準マーク板で収差量を計測し、その差分を基準マーク板の製造誤差データファイルとして例えば投影露光装置内の記憶装置などに記憶しておけば、その後はその基準マーク板を用いて収差の絶対値の計測ができるようになる。このような描画誤差に起因するオフセットは前途したように奇関数収差でのみ発生するので、奇関数成分のみに関して製造誤差データファイルを設ければよい。   The reticle R1 of this example is suitable for a reference reticle for absolute aberration measurement. This is because, in general, a small fiducial mark plate mounted in the exposure apparatus cannot be transported and is not easily rotated by 180 °. Therefore, the absolute aberration amount of the projection optical system PL is obtained with the reference reticle (reticle R1) of this example, the aberration amount is then measured with the reference mark plate built in the apparatus, and the difference is obtained as a manufacturing error of the reference mark plate. If the data file is stored in, for example, a storage device in the projection exposure apparatus, then the absolute value of the aberration can be measured using the reference mark plate. Since an offset caused by such a drawing error occurs only with an odd function aberration as previously described, a manufacturing error data file may be provided only for the odd function component.

なお、図12(A)は基本原理を示すため、レチクルR1上の1行9列に収差計測マーク1A〜1Iが配置されているが、実際には複数行に収差計測マークを形成しておき、収差の計測値を平均化することでランダムな計測誤差を低減することができる。
なお、図1のレチクルマーク板RFMを露光装置とは別体の専用の収差計測装置に設置することも可能である。この場合には、被検光学系は投影露光装置用の投影光学系のみならず、例えば光学顕微鏡用の対物レンズなども可能である。
また、上記各実施形態では、投影光学系として縮小系を用いる場合について説明したが、これに限らず、投影光学系として等倍あるいは拡大系を用いても良いし、投影光学系は屈折系、反射屈折系、又は反射系のいずれであっても良い。
12A shows the basic principle, the aberration measurement marks 1A to 1I are arranged in one row and nine columns on the reticle R1, but in reality, aberration measurement marks are formed in a plurality of rows. Random measurement errors can be reduced by averaging the aberration measurement values.
Note that the reticle mark plate RFM of FIG. 1 can be installed in a dedicated aberration measuring apparatus separate from the exposure apparatus. In this case, the test optical system can be not only a projection optical system for a projection exposure apparatus but also an objective lens for an optical microscope, for example.
In each of the above embodiments, the case where the reduction system is used as the projection optical system has been described. However, the present invention is not limited to this, and the projection optical system may be an equal magnification or an enlargement system. Either a catadioptric system or a reflective system may be used.

また、例えば半導体デバイスは、デバイスの機能・性能設計を行うステップ、この設計ステップに基づいてレチクルを製作するステップ、シリコン材料からウエハを製作するステップ、前述した実施形態の投影露光装置(露光装置)によりレチクルのパターンをウエハに転写するステップ、デバイス組み立てステップ(ダイシング工程、ボンディング工程、パッケージ工程を含む)、及び検査ステップ等を経て製造される。   Further, for example, for a semiconductor device, a step of designing the function / performance of the device, a step of manufacturing a reticle based on the design step, a step of manufacturing a wafer from a silicon material, and the projection exposure apparatus (exposure apparatus) of the above-described embodiment The wafer is manufactured through a step of transferring a reticle pattern to a wafer, a device assembly step (including a dicing process, a bonding process, and a package process), an inspection step, and the like.

また、上記各実施形態では、本発明が走査露光型の投影露光装置に適用された場合について説明したが、これに限らず、マスクとウエハとを静止した状態でマスクのパターンをウエハに転写するステッパー等の静止露光型(一括露光型)の投影露光装置にも本発明を適用することができる。また、本発明は、例えば国際公開第99/49504号パンフレットに開示されている液浸型露光装置で投影光学系の収差を計測する場合にも適用することができる。   In each of the above embodiments, the case where the present invention is applied to a scanning exposure type projection exposure apparatus has been described. However, the present invention is not limited thereto, and the mask pattern is transferred to the wafer while the mask and the wafer are stationary. The present invention can also be applied to a static exposure type (collective exposure type) projection exposure apparatus such as a stepper. The present invention can also be applied to the case where the aberration of the projection optical system is measured by an immersion type exposure apparatus disclosed in, for example, WO 99/49504.

また、本発明は、半導体デバイス製造用の露光装置に限らず、液晶表示素子やプラズマディスプレイなどを含むディスプレイの製造に用いられる、デバイスパターンをガラスプレート上に転写する露光装置、薄膜磁気ヘッドの製造に用いられるデバイスパターンをセラミックウエハ上に転写する露光装置、及び撮像素子(CCDなど)、有機EL、マイクロマシーン、DNAチップなどの製造に用いられる露光装置などにも適用することができる。また、半導体素子などのマイクロデバイスだけでなく、光露光装置、EUV露光装置、X線露光装置、及び電子線露光装置などで使用されるマスクを製造するために、ガラス基板又はシリコンウエハなどに回路パターンを転写する露光装置にも本発明を適用できる。   Further, the present invention is not limited to an exposure apparatus for manufacturing a semiconductor device, but is used for manufacturing a display including a liquid crystal display element, a plasma display, and the like. An exposure apparatus for transferring a device pattern onto a glass plate and a thin film magnetic head. The present invention can also be applied to an exposure apparatus for transferring a device pattern used in the above to a ceramic wafer, and an exposure apparatus used for manufacturing an imaging device (CCD, etc.), an organic EL, a micromachine, a DNA chip, and the like. In addition to microdevices such as semiconductor elements, circuits for glass substrates or silicon wafers are used to manufacture masks used in optical exposure equipment, EUV exposure equipment, X-ray exposure equipment, and electron beam exposure equipment. The present invention can also be applied to an exposure apparatus that transfers a pattern.

なお、本発明は上述の実施形態に限定されず、本発明の要旨を逸脱しない範囲で種々の構成を取り得ることは勿論である。   In addition, this invention is not limited to the above-mentioned embodiment, Of course, a various structure can be taken in the range which does not deviate from the summary of this invention.

本発明の露光方法及び装置によれば、計測用マークの製造誤差の影響を低減して、投影光学系の光学特性を高精度に計測できる。そして、この計測結果に基づいて、必要に応じてその投影光学系の結像特性を補正することによって、その結像特性を所望の状態に維持できるため、デバイスパターンをより高精度に基板等の物体上に転写することができる。   According to the exposure method and apparatus of the present invention, it is possible to measure the optical characteristics of the projection optical system with high accuracy by reducing the influence of the manufacturing error of the measurement mark. And based on this measurement result, by correcting the imaging characteristics of the projection optical system as necessary, the imaging characteristics can be maintained in a desired state. Can be transferred onto an object.

本発明の実施形態の一例の投影露光装置の概略構成を示す一部を切り欠いた図である。It is the figure which partly cut off showing the schematic structure of the projection exposure apparatus of an example of embodiment of this invention. 図1の投影光学系PLの結像特性補正装置及びウエハステージWSTのZチルトステージを示す一部を切り欠いた図である。FIG. 2 is a partially cutaway view showing an imaging characteristic correction device of projection optical system PL of FIG. 1 and a Z tilt stage of wafer stage WST. 図1の投影露光装置に備えられた空間像計測装置の内部構成を示す図である。It is a figure which shows the internal structure of the aerial image measuring device with which the projection exposure apparatus of FIG. 1 was equipped. (A)は、図3のスリット板90上のスリットの一例を示す図、(B)は、空間像計測の際に得られる光電変換信号の一例を示す図である。(A) is a figure which shows an example of the slit on the slit board 90 of FIG. 3, (B) is a figure which shows an example of the photoelectric conversion signal obtained in the case of an aerial image measurement. 図3のスリット板90上の実際のスリットの配置を示す拡大平面図である。It is an enlarged plan view which shows arrangement | positioning of the actual slit on the slit board 90 of FIG. 本発明の実施形態における位相情報の計測点の配置の一例を示す図である。It is a figure which shows an example of arrangement | positioning of the measurement point of phase information in embodiment of this invention. 投影光学系の瞳面における位相情報の計測点の配置の2つの例を示す図である。It is a figure which shows two examples of arrangement | positioning of the measurement point of the phase information in the pupil plane of a projection optical system. 投影光学系の瞳面における位相情報の計測点の配置の他の例を示す図である。It is a figure which shows the other example of arrangement | positioning of the measurement point of phase information in the pupil plane of a projection optical system. 図3のレチクルマーク板RFMのパターン面の計測用パターンの一例を示す平面図である。It is a top view which shows an example of the pattern for a measurement of the pattern surface of the reticle mark board RFM of FIG. 図9の収差計測マーク1A及び2Aを示す拡大平面図である。FIG. 10 is an enlarged plan view showing aberration measurement marks 1A and 2A in FIG. 図10の収差計測マーク1Aを示す拡大平面図である。It is an enlarged plan view which shows the aberration measurement mark 1A of FIG. (A)は本発明の他の実施形態で使用されるレチクルを示す平面図、(B)は図12(A)のレチクルを180°回転した状態を示す平面図である。FIG. 13A is a plan view showing a reticle used in another embodiment of the present invention, and FIG. 12B is a plan view showing a state where the reticle shown in FIG.

符号の説明Explanation of symbols

1A〜1I…収差計測マーク、2A〜2I…収差計測マーク、3A〜3F,6A〜6F…周期マーク、4A〜4F,5A〜5F…L&Sパターン、9A〜9F…スリット、12…照明光学系、14…光源、50…主制御装置、51…メモリ、59…空間像計測装置、78…結像特性補正コントローラ、80…信号処理装置、90…スリット板、123…ピンホール、SP1,SP2…計測点、PL…投影光学系、AS…可変開口絞り、R…レチクル、RST…レチクルステージ、RFM…レチクルマーク板、W…ウエハ、WST…ウエハステージ   DESCRIPTION OF SYMBOLS 1A-1I ... Aberration measurement mark, 2A-2I ... Aberration measurement mark, 3A-3F, 6A-6F ... Periodic mark, 4A-4F, 5A-5F ... L & S pattern, 9A-9F ... Slit, 12 ... Illumination optical system, DESCRIPTION OF SYMBOLS 14 ... Light source, 50 ... Main controller, 51 ... Memory, 59 ... Aerial image measuring device, 78 ... Imaging characteristic correction controller, 80 ... Signal processing device, 90 ... Slit plate, 123 ... Pinhole, SP1, SP2 ... Measurement Point, PL ... projection optical system, AS ... variable aperture stop, R ... reticle, RST ... reticle stage, RFM ... reticle mark plate, W ... wafer, WST ... wafer stage

Claims (14)

被検光学系の光学特性を計測する光学特性計測方法において、
第1マークの前記被検光学系による像に基づいて前記被検光学系の第1の光学特性を求める第1工程と、
前記第1マークを所定角度回転した第2マークの前記被検光学系による像に基づいて前記被検光学系の第2の光学特性を求める第2工程と、
前記第1及び第2の光学特性に基づいて前記被検光学系の光学特性を求める第3工程とを有することを特徴とする光学特性計測方法。
In an optical property measurement method for measuring optical properties of a test optical system,
A first step of obtaining a first optical characteristic of the test optical system based on an image of the first mark by the test optical system;
A second step of obtaining a second optical characteristic of the test optical system based on an image of the second mark obtained by rotating the first mark by a predetermined angle by the test optical system;
And a third step of obtaining an optical characteristic of the test optical system based on the first and second optical characteristics.
前記所定角度は180°であることを特徴とする請求項1に記載の光学特性計測方法。   The optical characteristic measurement method according to claim 1, wherein the predetermined angle is 180 °. 前記第1マークは、異なる2方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むことを特徴とする請求項1又は2に記載の光学特性計測方法。   3. The optical characteristic measuring method according to claim 1, wherein the first mark includes marks with pitches of six or more types arranged along two different directions. 4. 前記第1マークは、異なる6方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むことを特徴とする請求項1又は2に記載の光学特性計測方法。   3. The optical characteristic measuring method according to claim 1, wherein the first mark includes marks with pitches of six or more types arranged along six different directions. 4. 露光ビームで第1物体及び投影光学系を介して第2物体を露光する露光方法において、
請求項1から4のいずれか1項に記載の光学特性計測方法で前記投影光学系の光学特性を計測する計測工程と、
前記計測工程の計測結果に基づいて、前記投影光学系の結像特性を補正する補正工程とを有することを特徴とする露光方法。
In an exposure method of exposing a second object with an exposure beam via a first object and a projection optical system,
A measurement step of measuring the optical characteristics of the projection optical system by the optical characteristic measurement method according to any one of claims 1 to 4,
An exposure method comprising: a correction step of correcting an imaging characteristic of the projection optical system based on a measurement result of the measurement step.
被検光学系の光学特性を計測する際に使用される基板であって、
前記被検光学系の光学特性の情報を含む空間像を形成するための第1マークと、
前記第1マークを所定角度回転した形状の第2マークとが形成されたことを特徴とする基板。
A substrate used for measuring optical characteristics of a test optical system,
A first mark for forming an aerial image including information on optical characteristics of the test optical system;
A substrate having a second mark formed by rotating the first mark by a predetermined angle.
前記基板には、前記基板を第1の回転角で配置したときに使用される第1の一組の位置合わせ用マークと、前記基板を前記第1の回転角に対して180°回転したときに使用される第2の一組の位置合わせ用マークとが形成されたことを特徴とする請求項6に記載の基板。   The substrate includes a first set of alignment marks used when the substrate is disposed at a first rotation angle, and when the substrate is rotated 180 ° with respect to the first rotation angle. The substrate according to claim 6, wherein a second set of alignment marks used for the substrate is formed. 前記所定角度は180°であることを特徴とする請求項6又は7に記載の基板。   The substrate according to claim 6 or 7, wherein the predetermined angle is 180 °. 前記第1マークは、異なる2方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むことを特徴とする請求項6から8のいずれか1項に記載の基板。   9. The substrate according to claim 6, wherein the first mark includes marks having pitches of six kinds or more arranged along two different directions. 10. 前記第1マークは、異なる6方向に沿って配列されたそれぞれ6種類以上のピッチのマークを含むことを特徴とする請求項6から8のいずれか1項に記載の基板。   9. The substrate according to claim 6, wherein the first mark includes marks of six or more kinds of pitches arranged along six different directions. 前記第1及び第2マークは第1方向に沿って対向するように配置され、
前記第1及び第2マークよりなる1対のマークと同じ形状の1対のマークが前記第1方向に交差する第2方向に沿って複数対形成されたことを特徴とする請求項6から10のいずれか1項に記載の基板。
The first and second marks are arranged to face each other along the first direction,
The pair of marks having the same shape as the pair of marks made of the first and second marks are formed in a plurality along a second direction intersecting the first direction. The substrate according to any one of the above.
被検光学系の光学特性を計測する光学特性計測装置において、
請求項6から11のいずれか1項に記載の基板と、
前記基板を照明する照明系と、
前記基板に形成された前記第1及び第2マークの前記被検光学系による像を検出する空間像検出系と、
前記空間像検出系の検出結果に基づいて前記被検光学系の光学特性を求める演算装置とを備えたことを特徴とする光学特性計測装置。
In an optical property measuring device that measures the optical properties of a test optical system,
A substrate according to any one of claims 6 to 11,
An illumination system for illuminating the substrate;
An aerial image detection system for detecting images of the first and second marks formed on the substrate by the test optical system;
An optical characteristic measuring apparatus comprising: an arithmetic unit that obtains optical characteristics of the optical system to be detected based on a detection result of the aerial image detection system.
露光ビームで第1物体を照明し、前記露光ビームで前記第1物体及び投影光学系を介して第2物体を露光する露光装置において、
請求項6から11のいずれか1項に記載の基板と、
前記第1物体とともに前記基板を保持するステージと、
前記基板に形成された前記第1及び第2マークの前記投影光学系による像を検出する空間像検出系と、
前記空間像検出系の検出結果に基づいて前記投影光学系の光学特性を求める演算装置とを備えたことを特徴とする露光装置。
In an exposure apparatus that illuminates a first object with an exposure beam and exposes the second object with the exposure beam via the first object and a projection optical system,
A substrate according to any one of claims 6 to 11,
A stage for holding the substrate together with the first object;
An aerial image detection system for detecting an image of the first and second marks formed on the substrate by the projection optical system;
An exposure apparatus comprising: an arithmetic unit that obtains optical characteristics of the projection optical system based on a detection result of the aerial image detection system.
露光ビームで第1物体を照明し、前記第1物体と第2物体とを同期移動しながら、前記露光ビームで前記第1物体及び投影光学系を介して前記第2物体を露光する露光装置において、
請求項11に記載の基板と、
前記第1物体とともに前記基板を前記第1方向が前記第1物体の走査方向に平行になるように保持するステージと、
前記基板に形成された前記第1及び第2マークの前記投影光学系による像を検出する空間像検出系と、
前記空間像検出系の検出結果に基づいて前記投影光学系の光学特性を求める演算装置とを備えたことを特徴とする露光装置。
In an exposure apparatus that illuminates a first object with an exposure beam and exposes the second object with the exposure beam via the first object and the projection optical system while moving the first object and the second object synchronously ,
A substrate according to claim 11;
A stage for holding the substrate together with the first object such that the first direction is parallel to the scanning direction of the first object;
An aerial image detection system for detecting an image of the first and second marks formed on the substrate by the projection optical system;
An exposure apparatus comprising: an arithmetic unit that obtains optical characteristics of the projection optical system based on a detection result of the aerial image detection system.
JP2005048015A 2005-02-23 2005-02-23 Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device Withdrawn JP2006234517A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005048015A JP2006234517A (en) 2005-02-23 2005-02-23 Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005048015A JP2006234517A (en) 2005-02-23 2005-02-23 Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device

Publications (1)

Publication Number Publication Date
JP2006234517A true JP2006234517A (en) 2006-09-07

Family

ID=37042341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005048015A Withdrawn JP2006234517A (en) 2005-02-23 2005-02-23 Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device

Country Status (1)

Country Link
JP (1) JP2006234517A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008160111A (en) * 2006-12-21 2008-07-10 Asml Netherlands Bv Device manufacturing method, computer program product, and lithographic apparatus
JP2022503797A (en) * 2018-09-25 2022-01-12 カール・ツァイス・エスエムティー・ゲーエムベーハー Support for optical elements

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008160111A (en) * 2006-12-21 2008-07-10 Asml Netherlands Bv Device manufacturing method, computer program product, and lithographic apparatus
JP2022503797A (en) * 2018-09-25 2022-01-12 カール・ツァイス・エスエムティー・ゲーエムベーハー Support for optical elements
JP7288046B2 (en) 2018-09-25 2023-06-06 カール・ツァイス・エスエムティー・ゲーエムベーハー Support for optics

Similar Documents

Publication Publication Date Title
JP4753009B2 (en) Measuring method, exposure method, and exposure apparatus
JP4352458B2 (en) Projection optical system adjustment method, prediction method, evaluation method, adjustment method, exposure method and exposure apparatus, exposure apparatus manufacturing method, program, and device manufacturing method
JP4539877B2 (en) Measuring method, exposure method, and device manufacturing method
JP4174660B2 (en) EXPOSURE METHOD AND APPARATUS, PROGRAM, INFORMATION RECORDING MEDIUM, AND DEVICE MANUFACTURING METHOD
WO1999050712A1 (en) Exposure method and system, photomask, method of manufacturing photomask, micro-device and method of manufacturing micro-device
US8343693B2 (en) Focus test mask, focus measurement method, exposure method and exposure apparatus
JPH0822951A (en) Method of detecting coma aberration of projection optical system
JP4436029B2 (en) Projection optical system manufacturing method and adjustment method, exposure apparatus and manufacturing method thereof, device manufacturing method, and computer system
JP2006196555A (en) Method and apparatus of measuring aberration and of exposure
JP2006245145A (en) Optical characteristic measuring method and apparatus, and exposure method and apparatus
JP2006279029A (en) Method and device for exposure
JP2008186912A (en) Method for evaluating aberration, adjusting method, exposure device, exposure method and manufacturing method for device
JP4793683B2 (en) Calculation method, adjustment method, exposure method, image forming state adjustment system, and exposure apparatus
JP2006279028A (en) Method and device for measuring aberration, method and device for exposure and method of adjusting projection optical system
JP5668999B2 (en) Exposure method, exposure apparatus, and device manufacturing method
JP2006019691A (en) Aberration measuring method and apparatus, exposure method and apparatus, and mask
JP2001250760A (en) Aberration measuring method, mask detecting method to use said method and exposure method
JP2007173323A (en) Optical characteristics measuring equipment, aligner, optical characteristics measuring method and process for fabricating device
JP2002319539A (en) Specification deciding method and computer system
JP2011221312A (en) Focus test mask, focus measurement method and exposure apparatus
JP2005085991A (en) Exposure apparatus and manufacturing method of device using the apparatus
JP2006234517A (en) Optical characteristic measurement method and instrument, substrate used for the measurement method, and exposure method and device
JP2004128149A (en) Aberration measuring method, exposure method and aligner
JP2008172004A (en) Aberration evaluating method, adjusting method, exposure device, exposure method and device manufacturing method
JP2002139406A (en) Mask for measuring optical characteristic, method of measuring optical characteristic and production method of exposer

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080513