JP2005043420A - Pattern forming method and method for manufacturing semiconductor device - Google Patents

Pattern forming method and method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2005043420A
JP2005043420A JP2003199942A JP2003199942A JP2005043420A JP 2005043420 A JP2005043420 A JP 2005043420A JP 2003199942 A JP2003199942 A JP 2003199942A JP 2003199942 A JP2003199942 A JP 2003199942A JP 2005043420 A JP2005043420 A JP 2005043420A
Authority
JP
Japan
Prior art keywords
film
resist film
resist
pattern
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003199942A
Other languages
Japanese (ja)
Other versions
JP3884415B2 (en
Inventor
Hirokazu Kato
寛和 加藤
Daisuke Kawamura
大輔 河村
Kiyonobu Onishi
廉伸 大西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2003199942A priority Critical patent/JP3884415B2/en
Priority to TW093112661A priority patent/TWI281690B/en
Priority to US10/839,184 priority patent/US20040265745A1/en
Priority to CNB2004100347314A priority patent/CN1282219C/en
Priority to KR1020040032654A priority patent/KR100547065B1/en
Publication of JP2005043420A publication Critical patent/JP2005043420A/en
Application granted granted Critical
Publication of JP3884415B2 publication Critical patent/JP3884415B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a pattern forming method for forming a specified pattern by using a reversal mask process, and to provide a method for manufacturing a semiconductor device by using the pattern forming method. <P>SOLUTION: A resist film 5 is formed on a film 3 to be processed, the resist film 5 is patterned, a mask layer 6 to cover the resist film 5 is applied by a spin coating method on the film 3, and the surface of the mask layer 6 is made to recede to expose the upper face of the resist film 5. After the upper face of the resist film 5 is exposed, the resist film 5 is removed and the film 3 is etched by using the mask layer 6 as a mask. In a region where the pattern of the resist film 5 is formed, the side length y (μm) of the maximum square region having ≥90 % covering rate of the resist film 5 and the side length x (μm) of the maximum square region having ≤10% covering rate of the resist film 5 satisfy the relation of the formula (1). <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、基板上に形成されたレジスト膜の反転パターンを用いてパターニングを行うパターン形成方法、及びこのパターン形成方法を用いた半導体装置の製造方法に関する。
【0002】
【従来の技術】
近年、情報通信技術の飛躍的発展に伴い、社会生活のあらゆる分野において情報化が進展している。その基盤を支えるのが半導体装置であり、その高機能化に対する要求はとどまる所を知らない。半導体装置の高速化、高集積化、低消費電力化に対して微細化は極めて有効な手段である。微細化のキーテクノロジーであるリソグラフィ技術については、露光装置、レジスト等の研究開発が鋭意進められてきたが、その困難度は加速度的に増しつつある。
【0003】
微細化の進行に伴いレジスト膜厚の薄膜化が進んでいる。一般に、レジストパターンのアスペクト比(レジストパターン高さ÷レジストパターン寸法)が3を超えると、リンス時のパターン倒壊が起こりやすくなると言われているため、微細パターンを形成するためにはレジストの薄膜化が必要である。とりわけ、Fリソグラフィおよび低加速EBリソグラフィにおいては、高透明なレジストを開発することの困難さや電子線のレジスト中の透過距離の短さから、アスペクト比から必要とされる膜厚よりも、さらに薄い膜厚のレジストを用いる必要がある。
【0004】
薄膜化に際して問題となるのが、レジストのドライエッチング耐性との両立である。レジスト膜厚が薄くなると、レジストパターンをマスクとして被加工膜を直接加工することが困難になる。
【0005】
この問題を解決する方法として多層レジストプロセスがある。多層レジストプロセスにはいくつか種類があるが、ここでは、反転マスクプロセスを例に挙げる(特許文献1)。反転マスクプロセスは、レジストに対しドライエッチング耐性を全く要求しないため、レジスト開発において解像性のみを追求することができる。また、反転マスクプロセスによって得られる最終的なパターンは、レジストパターンを凹凸反転させたパターンであることから、これまでのパターン転写方法では形成の困難なパターンを容易に形成することが可能である。
【0006】
我々は、半導体製造に用いられるレイヤーに含まれるパターン、エッチングマスク材料の物性および半導体装置製造プロセスの精度を総合的に勘案しつつ、塗布平坦化に関するシミュレーションおよび実験を行った結果、半導体装置の製造に用いられるレイヤーのほとんどで、特許文献1に示された従来技術をそのまま適用するだけでは破綻をきたすという結論に至った。すなわち、大きなレジスト残しパターンまたは大きなレジスト抜きパターンであった個所でパターンが目論見どおり形成できないのである。
【0007】
【特許文献1】
特開平5−267253
【0008】
【発明が解決しようとする課題】
反転マスクプロセスには、大きなレジスト残しパターンまたは大きなレジスト抜きパターンであった個所でパターンが目論見どおり形成できないという問題がある。
【0009】
本発明の目的は、反転マスクプロセスを用いて、所定のパターンを作成することができるパターン形成方法、及びこのパターン形成方法を用いた半導体装置の製造方法を提供することにある。
【0010】
【課題を解決するための手段】
本発明の一例に係わるパターン形成方法は、被加工膜上にレジスト膜を形成する工程と、前記レジスト膜をパターニングする工程と、前記被加工膜上に前記レジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、前記マスク層の表面を後退させて、前記レジスト膜の上面を露出させる工程と、前記レジスト膜上面の露出後、前記レジスト膜を除去する工程と、前記マスク層をマスクにして前記被加工膜をエッチングする工程とを含み、前記レジスト膜のパターンが形成されている領域において、前記レジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、前記レジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)との関係が、
【数5】

Figure 2005043420
を満たすことを特徴とする。
【0011】
本発明の一例に係わるパターン形成方法は、被加工膜上に第1のレジスト膜を形成する工程と、前記第1のレジスト膜をパターニングする工程と、前記被加工膜上にパターニングされた第1のレジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、前記マスク層の表面を後退させて、前記第1のレジスト膜の上面を露出させる工程と、前記第1のレジスト膜の上面の露出後、前記被加工膜上に前記マスク層を覆う第2のレジスト膜を形成する工程と、前記第2のレジスト膜をパターニングする工程と、パターニングされた前記第2のレジスト膜をマスクとして前記マスク層をエッチングする工程と、前記マスク層のエッチング後、第1及び第2のレジスト膜を除去する工程と、第1及び第2のレジスト膜の除去後または除去と同時に、エッチングされた前記マスク層をマスクとして前記被加工膜をパターニングする工程とを含むことを特徴とする。
【0012】
本発明の一例に係わるパターン形成方法は、被加工膜上に第1のレジスト膜を形成する工程と、前記第1のレジスト膜をパターニングする工程と、前記被加工膜上に前記第1のレジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、前記被加工膜上に前記マスク層を覆う第2のレジスト膜を形成する工程と、前記第2のレジスト膜をパターニングする工程と、パターニングされた第2のレジスト膜をマスクとして前記マスク層をエッチングする工程と、前記マスク層のエッチング後、前記第2のレジスト膜を除去する工程と、第2のレジスト膜の除去後、前記マスク層の表面を後退させて、第1のレジスト膜の上面を露出させる工程と、露出した第1のレジスト膜を除去する工程と、露出した第1のレジスト膜の除去後または除去と同時に、エッチングされた前記マスク層をマスクとして前記被加工膜をパターニングする工程とを含むことを特徴とする。
【0013】
【発明の実施の形態】
本発明の実施の形態を以下に図面を参照して説明する。
(第1の実施形態)
図1は本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図である。
図1(a)に示すように、基板1上に被加工膜としてTEOS膜2を膜厚500nmで形成する。TEOS膜2上に下層膜としてポリアセナフチレン膜3を膜厚500nmで回転塗布後にベークして形成する。ポリアセナフチレン膜3上にレジスト膜5を膜厚125nmで回転塗布しプリベークする。レジスト膜5は化学増幅型ArFポジレジストである。
【0014】
図1(b)に示すように、レジスト膜5をArF露光装置にて露光し、その後、PEBおよび現像を行うことによりレジストパターンを得る。
【0015】
レジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たしている。
【0016】
【数6】
Figure 2005043420
【0017】
式(1)は、後ほど行われるマスク層の除去工程の終了後に、レジスト膜5のパターンが形成された際に抜きであった全ての領域でマスク層の残膜が存在し、かつレジスト膜5のパターンが形成された際に残しであった全ての領域でマスク層の残膜が存在しないようにすることを可能にするためにレジストパターンに課される必要条件である。式(1)の導出過程は、後で説明する。
【0018】
図1(c)に示すように、マスク層として水溶性シリコーン膜6をベタ膜で膜厚500nmとなるように回転塗布法で形成する。
【0019】
図1(d)に示すように、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。レジスト膜5のパターンが形成された際に抜きであった全ての領域で水溶性シリコーン膜6の残膜が存在し、かつレジスト膜5のパターンが形成された際に残しであった全ての領域で水溶性シリコーン膜6の膜の残膜が存在していない。また、レジスト膜5のパターンが形成された際に抜きであった領域における水溶性シリコーン膜6の膜厚は、500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回っている。
【0020】
図1(e)に示すように、酸素プラズマでレジスト膜5を除去し、ポリアセナフチレン膜3をパターニングする。図1(f)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。図1(g)に示すように、酸素プラズマでポリアセナフチレン膜3をアッシングし、所望のTEOS膜2のパターンを得ることができる。
【0021】
式(1)の導出過程を以下に説明する。式(1)は、「後ほど行われるマスク層の除去工程の終了後に、レジスト膜5のパターンが形成された際に抜きであった全ての領域でマスク層の残膜が存在し、かつレジスト膜5のパターンが形成された際に残しであった全ての領域でマスク層の残膜が存在しないようにする(以下、全面頭出しと呼ぶ)ために、レジストパターンに課される必要条件」を表している。以下、この条件を0とする。条件0は、段差基板上に液体を回転塗布した際の液面プロファイルを計算する方法に関する文献1に基づいて以下のように求めた。P. Y. Wu and F. C. Chou, J. Electrochem. Soc., 146, 3819 (1999)
段差基板上に溶液を回転塗布した際の液面プロファイルは、次の無次元化した式で表される。
【0022】
【数7】
Figure 2005043420
【0023】
ここで、個々の変数の意味は次の通りである。
【0024】
t:時刻
r:回転中心からの距離
:着目するパターンの中心座標 (回転中心が原点)
w:着目するパターンの幅
h (r, t) :塗布材料の膜厚
:t=∞における完全フラット基板上の塗布膜の膜厚
η:溶液の粘度
ρ:溶液の密度
ν:溶液の動粘度 (≡η/ρ)
s (r, t) :基板のプロファイル
ω:ウエハ回転の角速度
γ:溶液の表面張力
ここで、着目すべき変数としてΩが挙げられる。Ωは段差被覆性に関する支配的パラメータである。Ωが小さいほど段差被覆性が向上する。つまり、溶液の液面が平坦になり、反転マスクプロセスの適用において望ましい状態になる。
【0025】
本実施形態において全面頭出しを行うためには、“適用が想定されるレジスト膜の膜厚範囲、マスク層厚範囲、エッチングマスク材料の物性値の範囲およびプロセス条件の範囲を考慮した際、最もエッチバック深さのマージンが大きくなる場合(最も制限の緩い場合)において、全面頭出しが可能であるためにレジストパターンがある条件2を満たす”ことが必要である。
【0026】
最もプロセスマージンが大きくなる場合のパラメータとして、以下の値を用いた。
【0027】
:3.0cm
:1.0μm
ρ:0.8g/cm
ω:2π×1000rad
γ:60dyn/cm
d:0.3μm
ただし、
d:レジスト膜の高さ
である。
【0028】
条件2を満たすためには、“エッチングマスク材料塗布後において、最も広いレジスト残しパターンであった個所の中央におけるエッチングマスク材料の表面の高さと、最も広いレジスト抜きパターンであった個所の中央におけるエッチングマスク材料の表面の高さの差が、レジストパターンの高さよりも小さくなる”という、条件3を満たすことが必要である。
【0029】
本実施形態においては、「最も広いレジスト残しパターンであった個所」の定義として、「レジスト膜のパターンより選択される、レジスト膜の被覆率が90%以上となる最大の正方形領域」とした。なぜなら、広い残しパターンに微細なスリットやホールが周期的に挿入されているようなパターンは、段差基板塗布の観点からは実質的にまとめて一つの大きな残しパターンとして扱うことが適当だからである。シミュレーションを行った結果、90%という水準は適切な水準であることを確認した。また、「最も広いレジスト抜きパターンであった個所」の定義として、「レジスト膜のパターンより選択される、レジスト膜の被覆率が10%以下となる最大の正方形領域」とした。なぜなら、広い抜きパターンに微細なラインやピラーが周期的に挿入されているようなパターンは、段差基板塗布の観点からは実質的にまとめて一つの大きな抜きパターンとして扱うことが適当だからである。シミュレーションを行った結果、10%という水準は適切な水準であることを確認した。
【0030】
さらに、条件3を満たすためには、「最も広いレジスト残しパターンの周囲にレジスト抜きパターンが全くない場合におけるパターン中央におけるエッチングマスク材料の表面の高さと、最も広いレジスト抜きパターンの周囲にレジスト残しパターンが全くない場合におけるパターン中央におけるエッチングマスク材料の表面の高さの差が、レジストパターンの高さよりも小さい」という条件4を満たすことが必要である。
【0031】
ここで、レジスト膜のパターンより選択される、レジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さをyμm、レジスト膜のパターンより選択される、レジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さをxμmとすると、条件4を満たすyとxの集合が求められる。この集合を近似式で表したのが式(1)である。該集合の境界線(実線)とフィット式(破線)を図2に示す。なお、境界線は、条件4を満たすyとxの集合をシミュレーションで求めた結果である。
したがって、全面頭出しをするためには、レジスト膜のパターンは式(1)を常に満たしている必要がある。
【0032】
(第2の実施の形態)
第1の実施形態で説明した方法だけでは、素子パターンを形成することが出来ない。本実施形態では、素子パターンを形成する方法を説明する。
【0033】
リソグラフィによるパターン形成を2度行い、そのうち1回目はレジスト膜のパターン被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たす領域を含むようにする。2回目は通常のリソグラフィ技術を用いてパターニングする。
【0034】
図3,図4は、本発明の第2の実施形態に係わる半導体装置の製造工程を示す断面図である。
図3(a)に示すように、基板上に膜厚500nmのTEOS膜2,膜厚500nmのポリアセナフチレン膜3,第1のレジスト膜5,及び膜厚500nmの水溶性シリコーン膜6を形成し、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。レジスト膜5のパターンが形成された際に抜きであった全ての領域で水溶性シリコーン膜6の残膜が存在し、かつレジスト膜5のパターンが形成された際に残しであった全ての領域で水溶性シリコーン膜6の膜の残膜が存在していない。また、レジスト膜5のパターンが形成された際に抜きであった領域における水溶性シリコーン膜6の膜厚は、500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回っている。この処理は、第1の実施形態で図1(a)〜図1(d)を参照して説明した処理と同様なので、説明を省略する。なお、本実施形態では、第1の実施形態と同じく、第1のレジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、レジストパターン被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を満たしていた。
【0035】
図3(b)に示すように、基板1上に反射防止材料を含む溶液を塗布した後、プリベークして、膜厚85nmの第2の反射防止膜7を形成する。
【0036】
図3(c)に示すように、第2の反射防止膜7上に、レジスト剤を回転塗布した後、プリベークして膜厚300nmのポジ型の第2のレジスト膜8を形成する。第2のレジスト膜8は、ポジ型のArFレジストである。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。第2のレジスト膜8のパターンは任意のパターンを形成することが可能である。
【0037】
図3(d)に示すように、第2のレジスト膜8のパターンをマスクとして第2の反射防止膜7、水溶性シリコーン膜6及び第1のレジスト膜5を加工する。図4(e)に示すように、基板1全面に光照射し、ポジ型の第2のレジスト膜8を現像除去する。
【0038】
図4(f)に示すように、酸素プラズマを照射して第2の反射防止膜7及び第1のレジスト膜5を除去する。引き続き酸素プラズマを照射して、ポリアセナフチレン膜3をパターニングする。ポリアセナフチレン膜3のパターニングは、水溶性シリコーン膜6をマスクとして行われる。一般に、酸素プラズマを用いた条件では、水溶性シリコーン膜6等のシリコン原子含有材料のエッチングレートは、反射防止膜やレジスト膜のエッチングレートよりもずっと遅くなる。
【0039】
図4(g)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。図4(h)に示すように、酸素プラズマでポリアセナフチレン膜3をアッシングして除去する。以上の工程により、所望のパターンのTEOS膜2を得ることができる。
【0040】
なお、第1のレジスト膜5のパターン被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜5のパターン被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たしている必要はない。第1のレジスト膜5と第2のレジスト膜8との積層領域において、第1のレジスト膜5のパターンが、式(1)の関係を常に満たしていれば良い。第1のレジスト膜5のパターン被覆率が式(1)の関係を満たしてない領域における第1のレジスト膜5周囲の水溶性シリコーン膜6は、第2のレジスト膜をマスクに用いた水溶性シリコーン膜6のパターニング時に除去される。
【0041】
又、第1のレジスト膜のパターンと第2のレジスト膜のパターンとを組み合わせて、通常のリソグラフィでは露光マージンの点で形成が困難であったパターンを容易に形成することが可能になる。具体的な例を一つ挙げる。第1のレジスト膜がLSパターンを含み、当該LSパターンに垂直に交差するLSパターンを第2のレジスト膜として積層する場合を考える。図5を参照して、本発明の第2の実施形態に係わる半導体装置の製造工程の変形例を説明する。なお、以下の実施形態では、図3(a)〜図4(h)に対応させて説明する。
【0042】
図5(a)に示すように、L/Sパターンを含む第1のレジスト膜5のパターンを形成する(図3(a)を参照した工程に対応)。水溶性シリコーン膜6を成膜した後、第1のレジスト膜5の上面を露出させる。次いで、図5(b)に示すように、第2の反射防止膜7を形成した後、第1のレジスト膜のL/Sパターンに略直交するL/Sパターンを有する第2のレジスト膜8のパターンを形成する(図3(c)を参照した工程に対応)。図5(c)に示すように、第2のレジスト膜8をマスクに第2の反射防止膜7及び水溶性シリコーン膜6をエッチングする(図3(d)を参照した工程に対応)。図5(d)に示すように、第2のレジスト膜8を除去する(図4(e)を参照した工程に対応)。図5(e)に示すように、酸素プラズマを照射して第2の反射防止膜7及び第1のレジスト膜5を除去する(図4(f)を参照した工程に対応)。図5(f)に示すように、水溶性シリコーン膜6及びポリアセナフチレン膜3をマスクにTEOS膜2を加工した後、水溶性シリコーン膜6及びポリアセナフチレン膜3を除去する(図4(g),(h)を参照した工程に対応)。
【0043】
以上の工程で、二つのレジスト膜を組み合わせることによって、一般的に露光マージンの小さい密なピラーパターンを形成することができる。
【0044】
(第3の実施形態)
本変形例は、第2の実施の形態と基本的なプロセスは同じで、第1のレジスト膜のパターンに対して、溶剤耐性を持たせるための処理をさらに行うことを特徴とする。
【0045】
図6,図7は、本発明の第3の実施形態に係わる半導体装置の製造工程を示す断面図である。
図6(a)に示すように、第2の実施形態と同様に、基板上に膜厚500nmのTEOS膜2,膜厚500nmのポリアセナフチレン膜3,第1のレジスト膜5,及び膜厚500nmの水溶性シリコーン膜6を形成する。
【0046】
図6(b)に示すように、レジスト膜5に対して電子線を照射してEBキュア処理を行い、改質されたレジスト膜15を得る。改質された第1のレジスト膜15は、有機溶剤に対して耐性を有する。
【0047】
図6(c)に示すように、回転塗布法によりベタ膜で膜厚500nmのSOG膜(マスク層)16を形成する。SOG膜の溶液は有機溶剤を用いている。改質前の第1のレジスト膜5に対して、有機溶剤を含むSOG膜の溶液を塗布すると、第1のレジスト膜5のパターンが崩れてしまう。本実施形態の場合、EBキュア処理により改質された第1のレジスト膜15に対して、SOG膜の溶液を塗布しているので、第1のレジスト膜15のパターンが崩れることを抑制することができる。
【0048】
図6(d)に示すように、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。第1のレジスト膜5のパターンが形成された際に抜きであった全ての領域でSOG膜16の残膜が存在し、かつ第1のレジストパターンが形成された際に残しであった全ての領域でSOG膜16の残膜が存在していない。また、第1のレジスト膜5のパターンが形成された際に抜きであった領域におけるSOG膜16の膜厚は、膜厚500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回っている。
【0049】
図7(e)に示すように、膜厚85nmの第2の反射防止膜7及び第2のレジスト膜8のパターンを形成する。第2のレジスト膜8は、ポジ型のArFレジストである。そして、第2のレジスト膜8のパターンをマスクとして、第2の反射防止膜7およびSOG膜16を加工する。
【0050】
図7(f)に示すように、ウエハ全面に光照射し、第2のレジスト膜8を現像除去する。図7(g)に示すように、酸素プラズマで第2の反射防止膜7を除去しポリアセナフチレン膜3をパターニングする。一般に、酸素プラズマを用いた条件では、水溶性シリコーン膜6等のシリコン原子含有材料のエッチングレートは、反射防止膜やSOG膜のエッチングレートよりもずっと遅くなる。
【0051】
図7(h)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。図7(k)に示すように、酸素プラズマでポリアセナフチレン膜3のパターンをアッシングし、所望のTEOS膜2のパターンを得ることができる。
【0052】
なお、第1のレジスト膜5のパターン被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜5のパターン被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たしている必要はない。第1のレジスト膜5と第2のレジスト膜8との積層領域において、第1のレジスト膜5のパターン被覆率が、式(1)の関係を常に満たしていれば良い。第1のレジスト膜5のパターン被覆率が、式(1)の関係を満たしてない領域における第1のレジスト膜5周囲のSOG膜16は、第2のレジスト膜8をマスクに用いたSOG膜16のパターニング時に除去される。
【0053】
本実施形態によれば、マスク層の溶剤が有機溶剤であり、そのまま第1のレジストパターン上に塗布すると、通常はレジストパターンが崩れてしまうような材料であっても、これを用いることができる。
【0054】
本実施形態では、溶剤耐性を持たせるための処理として電子線照射を用いたが、本発明の実施はこれに限定されるものではない。例えば、光照射、イオン照射、ラジカル照射を用いることが可能である。
【0055】
本実施形態では、マスク層としてSOG膜を用いたが、本発明の実施はこれに限定されるものではない。下層膜に対するエッチング耐性を有する材料が使用可能である。例えば、様々なシリコン原子含有材料や金属原子含有材料を使用することができる。
【0056】
(第4の実施形態)
本変形例は、第2の実施の形態と基本的なプロセスは同じで、第1のレジスト膜の下に第1の反射防止膜を形成することを特徴とする。
図8,図9は、本発明の第4の実施形態に係わる半導体装置の製造工程を示す断面図である。
図8(a)に示すように、基板1上に被加工膜としてTEOS膜2を膜厚500nmで形成し、その上に下層膜としてスパッタ法により膜厚300nmのカーボン膜23を形成する。カーボン膜23上に膜厚85nmの第1の反射防止膜4を形成する。第1の反射防止膜4上に第1のレジスト膜5を形成する。
【0057】
図8(b)に示すように、第1のレジスト膜5をArF露光装置にて露光した後、PEBおよび現像を行うことにより第1のレジスト膜5のパターンを得る。
【0058】
第1のレジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を満たしている領域が存在する。
【0059】
図8(c)に示すように、回転塗布により、膜厚500nm上に水溶性シリコーン膜6を形成した後、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。水溶性シリコーン膜6上に膜厚85nmの第2の反射防止膜7を形成する。
【0060】
図8(d)に示すように、第2のレジスト膜8として膜厚300nmのポジ型のArFレジストを形成する。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。第2のレジスト膜8のパターンをマスクとして第2の反射防止膜7および水溶性シリコーン膜6を加工する。図9(e)に示すように、ウエハ全面に光照射し、第2のレジスト膜8を現像除去する。
【0061】
図9(f)に示すように、酸素プラズマで第2の反射防止膜7を除去しカーボン膜23をパターニングする。一般に、酸素プラズマを用いた条件では、水溶性シリコーン等のシリコン原子含有材料のエッチングレートは、反射防止膜やカーボン膜のエッチングレートよりもずっと遅くなる。
【0062】
図9(g)に示すように、カーボン膜23をマスクとしてTEOS膜2を加工する。図9(h)に示すように、酸素プラズマでカーボン膜23のパターンをアッシングし、所望のTEOS膜2のパターンを得ることができる。
【0063】
なお、第1のレジスト膜5のパターン被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜5のパターン被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たしている必要はない。第1のレジスト膜5と第2のレジスト膜8との積層領域において、第1のレジスト膜5のパターンが式(1)の関係を常に満たしていれば良い。第1のレジスト膜5のパターンが、式(1)の関係を満たしてない領域における第1のレジスト膜5周囲の水溶性シリコーン膜6は、第2のレジスト膜をマスクに用いた水溶性シリコーン膜6のパターニング時に除去される。
【0064】
本実施形態によれば、下層膜の反射率が大きい場合にも、高い精度で第1のレジスト膜5のパターニングを行うことが可能になる。
【0065】
本実施形態では、下層膜としてスパッタ法で形成されたカーボン膜を用いた例を示したが、本発明の実施は、形成方法並びに下層膜の種類によって限られるものではない。例えば、CVD法で形成されたカーボン膜などの使用が可能である。また、第1の実施形態において示した下層膜の使用ももちろん可能である。
【0066】
(第5の実施形態)
本変形例は、第2の実施の形態と基本的なプロセスは同じで、第1のレジスト膜の下に第1の反射防止膜を形成することを特徴とする。
図10,図11は、本発明の第5の実施形態に係わる半導体装置の製造工程を示す断面図である。
図10(a)に示すように、基板1上に被加工膜としてAl膜12を膜厚250nm形成し、その上に下層膜としてスパッタ法により膜厚100nmのシリコン窒化膜33を形成する。シリコン窒化膜33上に膜厚85nmの第1の反射防止膜4を形成する。第1の反射防止膜4上に第1のレジスト膜25を塗布形成する。なお、本実施形態において、第1のレジスト膜25はSi含有レジストである。
【0067】
図10(b)に示すように、第1のレジスト膜25をArF露光装置にて露光した後、PEBおよび現像を行うことにより第1のレジスト膜25のパターンを得る。レジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を満たしている領域が存在する。第1のレジスト膜25に対して電子線を照射してEBキュア処理を行い、改質された第1のレジスト膜25を得る。改質された第1のレジスト膜25は、有機溶剤に対して耐性を有する。
【0068】
図10(c)に示すように、回転塗布により、膜厚500nmでポリアセナフチレン膜26を形成した後、ポリアセナフチレン膜26を酸素プラズマによりエッチバックする。
【0069】
図10(d)に示すように、第2のレジスト膜28としてポジ型のSi含有レジストを形成する。さらに、第2のレジスト膜28を露光および現像し、第2のレジスト膜28のパターンを得る。第2のレジスト膜28のパターンをマスクとしてポリアセナフチレン膜26をエッチングする。図11(e)に示すように、ウエハ全面に光照射し、第2のレジスト膜28を現像除去する。
【0070】
図11(f)に示すように、フルオロカーボンガスプラズマを供給し、第1のレジスト膜25の除去及びポリアセナフチレン膜26をパターニングを行う。図11(g)に示すように、ClとBClのプラズマを供給して、シリコン窒化膜33をマスクとしてAl膜12を加工する。図11(h)に示すように、酸素プラズマでシリコン窒化膜33のパターンをアッシングし、所望のAl膜12のパターンを得ることができる。
【0071】
なお、第1のレジスト膜25のパターン被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜5のパターン被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を満たしていない領域があっても良い。第1のレジスト膜25と第2のレジスト膜28との積層領域において、第1のレジスト膜25のパターンが、式(1)の関係を常に満たしていれば良い。第1のレジスト膜25のパターンが式(1)の関係を満たしてない領域における第1のレジスト膜25周囲のポリアセナフチレン膜26は、第2のレジスト膜28をマスクに用いたポリアセナフチレン膜26のパターニング時に除去される。
【0072】
本実施形態によれば、下層膜の反射率が大きい場合にも、高い精度で第1のレジスト膜25のパターニングを行うことが可能になる。
【0073】
本実施形態では、下層膜としてシリコン窒化膜を用いた例を示したが、実施形態は、形成方法並びに下層膜の種類によって限られるものではない。例えば、SiO膜,アモルファスシリコン膜などの使用が可能である。また、第1の実施形態において示した下層膜の使用ももちろん可能である。
【0074】
本実施形態では、マスク層としてポリアセナフチレン膜を用いたが、本発明の実施はこれに限定されるものではない。下層膜に対するエッチング耐性を有する材料が使用可能である。例えば、ノボラック樹脂膜、ポリイミド膜、ポリアリーレン膜、ポリアリーレンエーテル膜を使用することができる。
【0075】
(第6の実施形態)
本実施形態では、第4の実施形態と基本的なプロセスは同じで、下層膜を用いずに、被加工膜の加工をおこなう方法を説明する。
図12,図13は、本発明の第6の実施形態に係わる半導体装置の製造工程を示す断面図である。
【0076】
図12(a)に示すように、基板1上に膜厚500nmのTEOS膜2、膜厚85nmの第1の反射防止膜4、及び膜厚125nmの第1のレジスト膜5を形成する。
【0077】
図12(b)に示すように、第1のレジスト膜5をArF露光装置にて露光した後、PEB及び現像を行うことにより第1のレジスト膜5のパターンを得る。さらに、第1のレジスト膜5のパターンに電子線を照射し、改質された第1のレジスト膜15を得る。
【0078】
レジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たしている領域を含む。
【0079】
図12(c)に示すように、マスク層としてチタニア(酸化チタン)膜36をベタ膜で膜厚500nmとなるように形成する。チタニア膜はゾルゲル法によって形成する。
【0080】
図12(d)に示すように、チタニア膜36をClガスのプラズマによりエッチバックする。第1のレジスト膜15のパターンが形成された際に抜きであった全ての領域でチタニア膜36の残膜が存在し、かつ第1のレジスト膜15のパターンが形成された際に残しであった全ての領域でチタニア膜36の残膜が存在していない。また、第1のレジスト膜15のパターンが形成された際に抜きであった領域におけるチタニア膜36の膜厚は、膜厚500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回っている。
【0081】
図12(e)に示すように、膜厚85nmの第2の反射防止膜7を形成する。第2の反射防止膜7上に膜厚300nmの第2のレジスト膜8を形成する。第2のレジスト膜8は、ポジ型のArFレジストである。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。
【0082】
図13(f)に示すように、第2のレジスト膜8のパターンをマスクとして第2の反射防止膜7およびチタニア膜36を加工する。図13(g)に示すように、ウエハ全面に光照射し、第2のレジスト膜8を現像除去する。
【0083】
図13(h)に示すように、酸素プラズマで第2の反射防止膜7を除去してから、第1の反射防止膜4をパターニングする。一般に、酸素プラズマを用いた条件では、チタニア膜36のエッチングレートは、反射防止膜やカーボン膜のエッチングレートよりもずっと遅くなる。
【0084】
図13(i)に示すように、チタニア膜36をマスクとしてTEOS膜2を加工する。図13(j)に示すように、Clガスのプラズマでチタニア膜36及び第1の反射防止膜4を除去する。
【0085】
本実施形態に示したように、マスク層のパターンを用いて、被加工膜を直接加工することが可能な場合は、必ずしも下層膜を用いる必要はない。
【0086】
本実施形態では、第1の反射防止膜を形成したが、第1のレジストパターンの形成方法によっては、第1の反射防止膜の形成を省略することが可能である。例えば、第1のレジストパターンの形成を電子線にて行う場合には反射防止膜は必ずしも必要ではない。
【0087】
本実施形態では、マスク層としてチタニア膜を用いたが、実施形態としてはこれに限定されるものではない。被加工膜に対するエッチング耐性を有する材料であれば使用することが可能である。例えば、様々なシリコン原子含有材料や金属原子含有材料を使用することができる。また、エッチングマスク材料が第1のレジストパターンを崩さないような材料である場合には、溶剤に対する耐性を持たせる処理を省略することが可能である。
【0088】
なお、第1のレジスト膜5のパターンが式(1)の関係を常に満たしている必要はない。第1のレジスト膜5と第2のレジスト膜8との積層領域において、第1のレジスト膜5のパターンが、式(1)の関係を常に満たしていれば良い。第1のレジスト膜5のパターンが、式(1)の関係を満たしてない領域における第1のレジスト膜5周囲のチタニア膜36は、第2のレジスト膜をマスクに用いたチタニア膜36のパターニング時に除去される。
【0089】
(第7の実施形態)
図14,図15は、本発明の第7の実施形態に係わる半導体装置の製造工程を示す断面図である。
図14(a)に示すように、基板上に膜厚500nmのTEOS膜2,膜厚500nmのポリアセナフチレン膜3,第1のレジスト膜5,及び膜厚500nmの水溶性シリコーン膜6を形成する。この処理は、第1の実施形態で図1(a)〜図1(d)を参照して説明した処理と同様なので、説明を省略する。図14(b)に示すように、膜厚100nmのSOG膜9と膜厚85nmの第2の反射防止膜7を順次形成する。
【0090】
図14(c)に示すように、第2の反射防止膜7上に、レジスト剤を回転塗布した後、プリベークして膜厚300nmのポジ型の第2のレジスト膜8を形成する。第2のレジスト膜8は、ポジ型のArFレジストである。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。
【0091】
図14(d)に示すように、第2のレジスト膜8のパターンをマスクとして第2の反射防止膜7を加工する。図15(e)に示すように、ウエハ全面に光照射し、第2のレジスト膜8及び露出する第1のレジスト膜5を現像除去する。
【0092】
図15(f)に示すように、酸素プラズマでポリアセナフチレン膜3をパターニングする。パターニング時、SOG膜及び水溶性シリコーン膜がマスクとなる。なお、パターニング時、反射防止膜が全て除去されても、下層にSOG膜9があるので、SOG膜9下の第1のレジスト膜が除去されることはない。
【0093】
図15(g)に示すように、反射防止膜、第1のレジスト膜及び水溶性シリコーン膜を除去する。ポリアセナフチレン膜3をマスクにTEOS膜2を加工する。図15(h)に示すように、酸素プラズマでポリアセナフチレン膜3のパターンをアッシングし、所望のTEOS膜2のパターンを得ることができる。
【0094】
本実施形態にて用いたSOG膜は、下層膜、下層膜を用いない場合には被加工膜に対してエッチング選択性を有するものであれば何を用いてもよい。本実施形態においては、珪素および金属元素から選ばれる1種類以上の元素を含有する材料を用いることができる。
【0095】
又、第1のレジスト膜のパターンと第2のレジスト膜のパターンとを組み合わせて、通常のリソグラフィでは露光マージンの点で形成が困難であったパターンを容易に形成することが可能になる。具体的な例を一つ挙げる。第1のレジスト膜がLSパターンを含み、当該LSパターンに垂直に交差するLSパターンを第2のレジスト膜として積層する場合を考える。図16を参照して、本発明の第7の実施形態に係わる半導体装置の製造工程の変形例を説明する。なお、以下の実施形態では、図14(a)〜図15(h)に対応させて説明する。
【0096】
図16(a)に示すように、L/Sパターンを含む第1のレジスト膜5のパターンを形成する(図14(a)を参照した工程に対応)。水溶性シリコーン膜6を成膜した後、第1のレジスト膜5の上面を露出させる。次いで、図16(b)に示すように、SOG膜9及び第2の反射防止膜7を形成した後、第1のレジスト膜のL/Sパターンに略直交するL/Sパターンを有する第2のレジスト膜8のパターンを形成する(図14(b),(c)を参照した工程に対応)。図16(c)に示すように、第2のレジスト膜8をマスクに第2の反射防止膜7及びSOG膜9をエッチングする(図14(d)を参照した工程に対応)。図16(d)に示すように、第2のレジスト膜8を除去する(図15(e)を参照した工程に対応)。図16(e)に示すように、酸素プラズマを照射して第2の反射防止膜7及びSOG膜9で覆われていない領域の第1のレジスト膜5を除去する(図15(f)を参照した工程に対応)。図16(f)に示すように、SOG膜9及びポリアセナフチレン膜3をマスクにTEOS膜2を加工した後、水溶性シリコーン膜6及びポリアセナフチレン膜3を除去する(図15(g),(h)を参照した工程に対応)。以上の示した工程で一般的に露光マージンの小さい密なホールパターンを形成することができる。
【0097】
(第8の実施形態)
図17,図18は、本発明の第8の実施形態に係わる半導体装置の製造工程を示す断面図である。
図17(a)に示すように、基板上に膜厚500nmのTEOS膜2,膜厚500nmのポリアセナフチレン膜3,第1のレジスト膜5,及び膜厚500nmの水溶性シリコーン膜6を形成する。この処理は、第1の実施形態で図1(a),図1(b)を参照して説明した処理と同様なので、説明を省略する。
【0098】
図17(b)に示すように、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。エッチバック深さは、第1の実施形態の場合よりも100nm前後少なめにする。なお、水溶性シリコーン膜6の形成時、膜厚を200nm程度にすることによっても、図17(b)に示した構造を形成することができる。
【0099】
図17(c)に示すように、第2の反射防止膜7を膜厚85nmで塗布し、プリベークする。これにより、第7の実施形態の図14(b)と実質的に同一の状態となる。
【0100】
図17(d)に示すように、第2のレジスト膜8としてポジ型のArFレジストを300nmの厚さで回転塗布しプリベークする。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。
【0101】
図18(e)に示すように、第2のレジスト膜8のパターンをマスクとして第2の反射防止膜7および水溶性シリコーン膜6を加工する。エッチング加工深さは、第1のレジスト膜5が抜きで、かつ、第2のレジスト膜8が抜きであった個所において、水溶性シリコーン膜6の膜厚が、膜厚500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回るように設定する。
【0102】
図18(f)に示すように、ウエハ全面に光照射し、第2のレジスト膜8及び露出する第1のレジスト膜5を現像除去する。図18(g)に示すように、酸素プラズマで第2の反射防止膜7を除去しポリアセナフチレン膜3をパターニングする。
【0103】
図18(h)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。図18(i)に示すように、酸素プラズマでポリアセナフチレン膜3をアッシングし、所望のTEOS膜2のパターンを得ることができる。
【0104】
(第9の実施の形態)
図19〜図21は、本発明の第9の実施形態に係わる半導体装置の製造工程を示す断面図である。
図19(a)に示すように、基板1上に被加工膜として膜厚500nmのTEOS膜2を形成する。TEOS膜2上に下層膜として膜厚500nmのポリアセナフチレン膜3を回転塗布後にベークして形成する。ポリアセナフチレン膜3上にレジスト膜5を膜厚125nmで回転塗布しプリベークする。第1のレジスト膜5は化学増幅型ArFポジレジストである。
【0105】
図19(b)に示すように、レジスト膜5をArF露光装置にて露光し、その後、PEBおよび現像を行うことにより第1のレジスト膜5のパターンを得る。第1のレジスト膜5のパターンは、領域R1、領域R2、領域R3を有する。領域R2において、第1のレジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たす。領域R1,R3の第1のレジスト膜5のパターンは、式(1)の関係を満たさない。領域R1において第1のレジスト膜5のパターンは、大きな残しパターンである。領域R3において第1のレジスト膜5のパターンは、微細なラインアンドスペースパターンや孤立ラインである。
【0106】
領域R1における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚は、領域R2における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚より厚く形成される。領域R3における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚は、領域R2における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚より薄く形成される。
【0107】
図19(d)に示すように、水溶性シリコーン膜6上に膜厚200nmの第2のレジスト膜8を形成する。第2のレジスト膜8は、溶液を回転塗布した後、プリベークして形成される。第2のレジスト膜8は、ポジ型のi線レジストである。さらに、第2のレジスト膜8を露光および現像し、第2のレジスト膜8のパターンを得る。第2のレジスト膜8のパターンは、第1のレジスト膜5のパターンにおいて大きな残しであった個所が抜きとなっている必要がある。
【0108】
図20(e)に示すように、第2のレジスト膜8をマスクとして水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチングする。水溶性シリコーン膜6を加工した深さは、おおよそ50nmである。領域R1では、第1のレジスト膜5上に水溶性シリコーン膜6が残存し、領域R3では第1のレジスト膜5の上面が露出する。
【0109】
図20(f)に示すように、残った第2のレジスト膜8及び領域R3の第1のレジスト膜5をOプラズマで除去する。
【0110】
図20(g)に示すように、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。領域R3では、水溶性シリコーン膜6が除去される。また、領域R3では、第1のレジスト膜5の上面が露出し、パターン間に水溶性シリコーン膜6が残存する。水溶性シリコーン膜6が残っていた個所においては、その膜厚は膜厚500nmのポリアセナフチレン膜3を加工するのに最低限必要な50nmを常に上回っている。
【0111】
図20(h)に示すように、酸素プラズマでポリアセナフチレン膜3をパターニングする。図21(i)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。
【0112】
図21(j)に示すように、酸素プラズマでポリアセナフチレン膜3のパターンをアッシングし、除去する。以上の工程により、所望のTEOS膜2のパターンを得ることができる。
【0113】
本実施形態においては、第1のレジスト膜に対応する第1の反射防止膜は用いていないが、第1の反射防止膜を用いても本発明を何ら逸脱しない。本実施形態においては、第2のレジスト膜に対応する第2の反射防止膜は用いていないが、第2の反射防止膜を用いても本発明を何ら逸脱するものではない。
【0114】
(第9の実施の形態)
図22,図23は、本発明の第10の実施形態に係わる半導体装置の製造工程を示す断面図である。
第9の実施形態で図19(a)〜図19(c)を参照して説明した工程を行い、図22(a)に示す構造を形成する。第1のレジスト膜5のパターンは、領域R1、領域R2、領域R3を有する。領域R2において、第1のレジスト膜5のパターンは、被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、式(1)の関係を常に満たす。領域R1,R3の第1のレジスト膜5のパターンは、式(1)の関係を満たさない。領域R1において第1のレジスト膜5のパターンは、大きな残しパターンである。領域R3において第1のレジスト膜5のパターンは、専ら孤立ラインパターンである。
【0115】
領域R1における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚は、領域R2における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚より厚く形成される。領域R3における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚は、領域R2における第1のレジスト膜5上面上の水溶性シリコーン膜6の膜厚より薄く形成される。
【0116】
図22(b)に示すように、水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチバックする。領域R1において、第1のレジスト膜5上に水溶性シリコーン膜6が残存する。領域R2,R3において、第1のレジスト膜5の上面が露出している。
【0117】
図22(c)に示すように、第9の実施形態と同様に、領域R2に第2のレジスト膜8を形成する。図23(d)に示すように、第2のレジスト膜8をマスクとして水溶性シリコーン膜6をCF/O混合ガスのプラズマによりエッチングする。水溶性シリコーン膜6を加工した深さは、おおよそ50nmである。ここで、領域R1において、第1のレジスト膜5の上面が露出する。
【0118】
図23(e)に示すように、シンナー処理により、第2のレジスト膜8の残膜を除去する。図23(f)に示すように、Oプラズマにより第1のレジスト膜5を除去する。引き続きOプラズマによりポリアセナフチレン膜3のパターニングを行う。
【0119】
図23(g)に示すように、ポリアセナフチレン膜3のパターンをマスクとしてTEOS膜2を加工する。図23(h)に示すように、酸素プラズマで水溶性シリコーン膜6のパターンをアッシングする。以上説明した工程により所望のTEOS膜2のパターンを得ることができる。
【0120】
本実施形態においては、第1のレジスト膜に対応する第1の反射防止膜は用いていないが、第1の反射防止膜を用いても本発明の実施は可能であり、本発明の範囲を何ら逸脱するものではない。本実施形態においては、第2のレジスト膜に対応する第2の反射防止膜は用いていないが、第2の反射防止膜を用いても本発明の実施は可能であり、本発明の範囲を何ら逸脱するものではない。
【0121】
(変形例)
各実施形態では、被加工膜としてTEOS膜を用いた例を示したが、本発明の実施は、被加工膜の種類によって限られるものではない。例えば、ポリシリコン膜、アルミニウム膜を始め、様々な金属膜、半導体膜、絶縁体膜の利用が可能である。
【0122】
各実施形態では、下層膜としてポリアセナフチレン膜を用いた例を示したが、本発明の実施は、下層膜の種類によって限られるものではない。例えば、ノボラック樹脂膜、ポリイミド膜、ポリアリーレン膜、ポリアリーレンエーテル膜などの使用が可能である。
【0123】
各実施形態では、レジスト膜5,8にArFレジスト、i線用レジストを用いたが、これに限定されるものではない。レジスト膜5,8として、ArFレジスト、g線用レジスト、i線用レジスト、KrF用レジスト、F用レジスト、電子線用レジスト、X線用レジスト、EUV用レジスト、インプリントリソグラフィ用レジストなどと、以上それぞれに対応する露光装置を用いることが可能である。
【0124】
各実施形態では、マスク層として水溶性シリコーン膜6を用いたが、本発明の実施はこれに限定されるものではない。レジスト膜5を完全に消失させてしまう材料でなければ、本発明の実施に使用することができる。例えば、レジスト膜5を溶解させない溶媒を用いたSOG膜を用いることが可能である。
【0125】
各実施形態では、エッチバック方法としてRIEを用いたが、本発明の実施はこれに限定されるものではない。例えば、エッチングマスク材料として放射線感受性ポリシラン、放射線感受性ポリゲルマン、放射線感受性ポリスタナン、放射線感受性ポリシラザン、放射線感受性ポリシロキサン、放射線感受性ポリカルボシラン、放射線感受性ジシラニレン−π−電子系ポリマー、それら各化合物の2種類以上の共重合体、ベンゼン環の置換基に珪素原子を含有するノボラック樹脂、およびベンゼン環の置換基に珪素原子を含有するポリヒドロキシスチレン樹脂、または、これら各化合物のいずれかと放射線感受性物質との混合物を用いても良い。ここにあげた材料の場合、エッチバックのかわりに、エネルギー線(光線、電子線、またはイオンビーム)の照射によりエッチングマスク材料を感光させた後、レジスト膜のパターン間にエッチングマスク材料が残るような現像処理を行えば良い。このエッチングマスク材料を残す方法は、本発明者が特願2002−122862で出願している。レジスト膜のパターンを完全に消失させてしまう材料でない必要がある。
【0126】
第2,3実施形態において、第2のレジスト膜8を除去した後、第2の反射防止膜7を除去してポリアセナフチレン膜3をパターニングする例を示した。しかし、例えば酸素プラズマを用いて、第2のレジスト膜8および第2の反射防止膜7の除去と、ポリアセナフチレン膜3とのパターニングを一括して行うことも可能である。
【0127】
第4の実施形態において、第2のレジスト膜8を除去してから、第2の反射防止膜7を除去しカーボン膜23をパターニングする例を示した。しかし、例えば酸素プラズマを用いて、第2のレジスト膜8および第2の反射防止膜7の除去と、カーボン膜23のパターニングを一括して行うことも可能である。
【0128】
第10の実施形態において、第2のレジスト膜8の残膜の除去と、第1のレジスト膜の除去及びポリアセナフチレン膜3のパターニングとを個別に行ったが、一連の処理で行うことも可能である。例えば、O2プラズマを用いれば、第2のレジスト膜8の残膜の除去と、第1のレジスト膜の除去及びポリアセナフチレン膜3のパターニングとを一連の処理で処理することが可能である。
【0129】
マスク層(水溶性シリコーン膜6,SOG膜16)の表面を後退させる際、ウェットエッチング法、ドライエッチング法、化学機械研磨法を用いる或いは、二つ以上の方法を組み合わせれば良い。マスク層(水溶性シリコーン膜6,SOG膜16)の表面を後退させる際、前記マスク層と前記第1のレジスト膜との後退速度が近い加工条件を用いることが好ましい。特に化学機械研磨を用いる場合は、マスク材料の段差を低減することが可能であるので、数1〜数7に示す式の制限を緩めることができる。
【0130】
なお、本発明は、上記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で、種々変形して実施することが可能である。
【0131】
【発明の効果】
以上説明したように本発明によれば、反転マスクプロセスを用いて、所定のパターンを作成することができる。
【図面の簡単な説明】
【図1】第1の実施形態に係わる半導体装置の製造工程を示す断面図。
【図2】レジストパターンに課せられる必要条件を示す図。
【図3】第2の実施形態に係わる半導体装置の製造工程を示す断面図。
【図4】第2の実施形態に係わる半導体装置の製造工程を示す断面図。
【図5】第2の実施形態に係わる半導体装置の製造工程の変形例を示す断面図。
【図6】第3の実施形態に係わる半導体装置の製造工程を示す断面図。
【図7】第3の実施形態に係わる半導体装置の製造工程を示す断面図。
【図8】第4の実施形態に係わる半導体装置の製造工程を示す断面図。
【図9】第4の実施形態に係わる半導体装置の製造工程を示す断面図。
【図10】第5の実施形態に係わる半導体装置の製造工程を示す断面図。
【図11】第5の実施形態に係わる半導体装置の製造工程を示す断面図。
【図12】第6の実施形態に係わる半導体装置の製造工程を示す断面図。
【図13】第6の実施形態に係わる半導体装置の製造工程を示す断面図。
【図14】第7の実施形態に係わる半導体装置の製造工程を示す断面図。
【図15】第7の実施形態に係わる半導体装置の製造工程を示す断面図。
【図16】第7の実施形態に係わる半導体装置の製造工程の変形例を示す断面図。
【図17】第8の実施形態に係わる半導体装置の製造工程を示す断面図。
【図18】第8の実施形態に係わる半導体装置の製造工程を示す断面図。
【図19】第9の実施形態に係わる半導体装置の製造工程を示す断面図。
【図20】第9の実施形態に係わる半導体装置の製造工程を示す断面図。
【図21】第9の実施形態に係わる半導体装置の製造工程を示す断面図。
【図22】第10の実施形態に係わる半導体装置の製造工程を示す断面図。
【図23】第10の実施形態に係わる半導体装置の製造工程を示す断面図。
【符号の説明】
1…基板,2…TEOS膜,3…ポリアセナフチレン膜,4…第1の反射防止膜,5…(第1の)レジスト膜,6…水溶性シリコーン膜,7…第2の反射防止膜,8…第2のレジスト膜,15…レジスト膜,15…第1のレジスト膜,16…SOG膜,23…カーボン膜,36…チタニア膜[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a pattern forming method for performing patterning using a reverse pattern of a resist film formed on a substrate, and a method for manufacturing a semiconductor device using the pattern forming method.
[0002]
[Prior art]
In recent years, with the rapid development of information communication technology, computerization has progressed in every field of social life. A semiconductor device supports the foundation, and the demand for higher functionality is unknown. Miniaturization is an extremely effective means for increasing the speed, integration, and power consumption of semiconductor devices. With regard to lithography technology, which is a key technology for miniaturization, research and development of exposure apparatuses, resists, and the like have been eagerly advanced, but the difficulty level is increasing at an accelerating rate.
[0003]
With the progress of miniaturization, the resist film thickness has been reduced. Generally, it is said that when the aspect ratio of the resist pattern (resist pattern height / resist pattern dimension) exceeds 3, pattern collapse during rinsing is likely to occur. is required. In particular, F2In lithography and low-acceleration EB lithography, because of the difficulty in developing highly transparent resists and the short transmission distance of electron beams in resists, film thicknesses that are even thinner than required from the aspect ratio It is necessary to use a resist.
[0004]
A problem in thinning the film is compatibility with resist dry etching resistance. When the resist film thickness becomes thin, it becomes difficult to directly process the film to be processed using the resist pattern as a mask.
[0005]
There is a multilayer resist process as a method for solving this problem. There are several types of multi-layer resist processes. Here, a reversal mask process is taken as an example (Patent Document 1). Since the reverse mask process does not require any dry etching resistance to the resist, only resolution can be pursued in resist development. Further, since the final pattern obtained by the reversal mask process is a pattern obtained by reversing the resist pattern, it is possible to easily form a pattern that is difficult to form by the conventional pattern transfer method.
[0006]
As a result of conducting simulations and experiments on coating planarization while comprehensively considering the pattern contained in the layers used for semiconductor manufacturing, the physical properties of the etching mask material, and the accuracy of the semiconductor device manufacturing process, the results of semiconductor device manufacturing It was concluded that most of the layers used in the above would fail just by applying the prior art disclosed in Patent Document 1 as it is. In other words, the pattern cannot be formed as intended in a place where the pattern is a large resist remaining pattern or a large resist removal pattern.
[0007]
[Patent Document 1]
JP-A-5-267253
[0008]
[Problems to be solved by the invention]
In the reversal mask process, there is a problem that a pattern cannot be formed as intended in a place where a large resist remaining pattern or a large resist removal pattern is present.
[0009]
An object of the present invention is to provide a pattern forming method capable of forming a predetermined pattern by using an inversion mask process, and a semiconductor device manufacturing method using the pattern forming method.
[0010]
[Means for Solving the Problems]
A pattern forming method according to an example of the present invention includes a step of forming a resist film on a film to be processed, a step of patterning the resist film, and a spin coating method on a mask layer that covers the resist film on the film to be processed. A step of retreating the surface of the mask layer to expose the upper surface of the resist film, a step of removing the resist film after the upper surface of the resist film is exposed, and the mask layer. Etching the film to be processed using a mask, and in the region where the resist film pattern is formed, the length y of one side of the maximum square region where the coverage of the resist film is 90% or more (Μm) and the length x (μm) of one side of the maximum square region where the coverage of the resist film is 10% or less,
[Equation 5]
Figure 2005043420
It is characterized by satisfying.
[0011]
A pattern forming method according to an example of the present invention includes a step of forming a first resist film on a film to be processed, a step of patterning the first resist film, and a first pattern patterned on the film to be processed. Forming a mask layer covering the resist film using a spin coating method, retreating the surface of the mask layer to expose the upper surface of the first resist film, and After the upper surface is exposed, a step of forming a second resist film covering the mask layer on the film to be processed, a step of patterning the second resist film, and a mask of the patterned second resist film Etching the mask layer, removing the first and second resist films after etching the mask layer, and after or simultaneously with removing the first and second resist films Characterized in that it comprises a step of patterning the film to be processed and the mask layer is etched as a mask.
[0012]
A pattern forming method according to an example of the present invention includes a step of forming a first resist film on a film to be processed, a step of patterning the first resist film, and the first resist on the film to be processed. Forming a mask layer covering the film using a spin coating method, forming a second resist film covering the mask layer on the film to be processed, and patterning the second resist film; Etching the mask layer using the patterned second resist film as a mask; removing the second resist film after etching the mask layer; and removing the second resist film; Retreating the surface of the mask layer to expose the upper surface of the first resist film, removing the exposed first resist film, and after or after removing the exposed first resist film At the same time, characterized in that it comprises a step of patterning the film to be processed and the mask layer is etched as a mask.
[0013]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
(First embodiment)
FIG. 1 is a sectional view showing a manufacturing process of a semiconductor device according to the first embodiment of the present invention.
As shown in FIG. 1A, a TEOS film 2 having a film thickness of 500 nm is formed on a substrate 1 as a film to be processed. A polyacenaphthylene film 3 is formed as a lower layer film on the TEOS film 2 by spin coating after spin coating at a film thickness of 500 nm. A resist film 5 is spin-coated on the polyacenaphthylene film 3 at a film thickness of 125 nm and prebaked. The resist film 5 is a chemically amplified ArF positive resist.
[0014]
As shown in FIG. 1B, a resist pattern is obtained by exposing the resist film 5 with an ArF exposure apparatus and then performing PEB and development.
[0015]
The pattern of the resist film 5 has a length y (μm) of one side of the maximum square area where the coverage is 90% or more and a length x (μm) of one side of the maximum square area where the coverage is 10% or less. ) Always satisfies the relationship of equation (1).
[0016]
[Formula 6]
Figure 2005043420
[0017]
Equation (1) indicates that, after the mask layer removal step performed later, the mask layer residual film exists in all the regions that were removed when the pattern of the resist film 5 was formed, and the resist film 5 This is a necessary condition imposed on the resist pattern in order to make it possible to prevent the remaining film of the mask layer from being present in all the regions left when the pattern is formed. The process of deriving equation (1) will be described later.
[0018]
As shown in FIG. 1C, a water-soluble silicone film 6 is formed as a mask layer by a spin coating method so as to have a solid film thickness of 500 nm.
[0019]
As shown in FIG. 1 (d), the water-soluble silicone film 6 is made of CF.4/ O2Etch back by plasma of mixed gas. The remaining film of the water-soluble silicone film 6 is present in all the regions that were removed when the pattern of the resist film 5 was formed, and all the regions that were left when the pattern of the resist film 5 was formed No residual film of the water-soluble silicone film 6 exists. Further, the film thickness of the water-soluble silicone film 6 in the region that was removed when the pattern of the resist film 5 was formed always exceeds 50 nm, which is the minimum necessary for processing the 500 nm polyacenaphthylene film 3. ing.
[0020]
As shown in FIG. 1E, the resist film 5 is removed with oxygen plasma, and the polyacenaphthylene film 3 is patterned. As shown in FIG. 1F, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask. As shown in FIG. 1G, the desired pattern of the TEOS film 2 can be obtained by ashing the polyacenaphthylene film 3 with oxygen plasma.
[0021]
The process of deriving equation (1) will be described below. The expression (1) indicates that “the residual film of the mask layer is present in all the regions that were removed when the pattern of the resist film 5 was formed after the mask layer removing step performed later is completed, and the resist film In order to prevent the remaining film of the mask layer from being present in all the regions left when the pattern 5 is formed (hereinafter referred to as full cueing), the necessary conditions imposed on the resist pattern are Represents. Hereinafter, this condition is set to zero. Condition 0 was obtained as follows based on Document 1 relating to a method for calculating a liquid level profile when a liquid was spin-coated on a stepped substrate. P. Y. Wu and F.W. C. Chou, J. et al. Electrochem. Soc. , 146, 3819 (1999)
The liquid level profile when the solution is spin-coated on the stepped substrate is expressed by the following dimensionless expression.
[0022]
[Expression 7]
Figure 2005043420
[0023]
Here, the meaning of each variable is as follows.
[0024]
t: Time
r: Distance from the rotation center
r0: Center coordinates of the pattern of interest (rotation center is the origin)
w: width of the pattern of interest
h (r, t): film thickness of coating material
hf: Film thickness of coating film on complete flat substrate at t = ∞
η: Solution viscosity
ρ: Density of the solution
ν: Kinematic viscosity of the solution (≡η / ρ)
s (r, t): substrate profile
ω: Angular velocity of wafer rotation
γ: surface tension of the solution
Where Ω2Is mentioned. Ω2Is the dominant parameter for step coverage. Ω2The smaller the is, the better the step coverage. That is, the liquid level of the solution becomes flat, which is desirable in the application of the reversal mask process.
[0025]
In the present embodiment, in order to perform full cueing, “when considering a resist film thickness range, a mask layer thickness range, a physical property value range of an etching mask material, and a process condition range, When the etch back depth margin becomes large (when the restriction is the least restrictive), it is necessary to satisfy the condition 2 in which the resist pattern is present in order to be able to find the entire surface.
[0026]
The following values were used as parameters when the process margin was the largest.
[0027]
r0: 3.0cm
hf: 1.0 μm
ρ: 0.8 g / cm3
ω: 2π × 1000 rad
γ: 60 dyn / cm
d: 0.3 μm
However,
d: Height of resist film
It is.
[0028]
In order to satisfy the condition 2, “after etching mask material application, the height of the surface of the etching mask material at the center where the resist remaining pattern was widest and the etching at the center where the resist removal pattern was widest. It is necessary to satisfy the condition 3 that the difference in height of the surface of the mask material is smaller than the height of the resist pattern.
[0029]
In the present embodiment, the definition of “the portion where the resist remaining pattern was the widest” is defined as “the maximum square region selected from the resist film pattern and having a resist film coverage of 90% or more”. This is because a pattern in which fine slits or holes are periodically inserted in a wide residual pattern is appropriate to be treated as one large residual pattern from the viewpoint of coating a stepped substrate. As a result of simulation, it was confirmed that the level of 90% was an appropriate level. Further, the definition of “the place where the resist removal pattern was the widest” was defined as “the largest square region selected from the resist film pattern and having a resist film coverage of 10% or less”. This is because a pattern in which fine lines and pillars are periodically inserted in a wide blanking pattern is suitable to be treated as one large blanking pattern from the viewpoint of stepped substrate coating. As a result of simulation, it was confirmed that the level of 10% was an appropriate level.
[0030]
Furthermore, in order to satisfy the condition 3, “the height of the surface of the etching mask material at the center of the pattern when there is no resist removal pattern around the widest resist removal pattern, and the resist residue pattern around the widest resist removal pattern It is necessary to satisfy the condition 4 that the difference in the height of the surface of the etching mask material at the center of the pattern when there is no pattern is smaller than the height of the resist pattern.
[0031]
Here, the length of one side of the maximum square region selected from the pattern of the resist film and having a resist film coverage of 90% or more is y μm, and the resist film coverage is selected from the resist film pattern. Assuming that the length of one side of the maximum square area that is 10% or less is x μm, a set of y and x satisfying the condition 4 is obtained. Expression (1) represents this set with an approximate expression. FIG. 2 shows the boundary line (solid line) and the fit equation (broken line) of the set. The boundary line is a result of obtaining a set of y and x satisfying the condition 4 by simulation.
Therefore, in order to cue the entire surface, the pattern of the resist film must always satisfy the formula (1).
[0032]
(Second Embodiment)
An element pattern cannot be formed only by the method described in the first embodiment. In the present embodiment, a method for forming an element pattern will be described.
[0033]
Lithographic pattern formation is performed twice, the first of which is the maximum length y (μm) of one side of the maximum square area where the pattern coverage of the resist film is 90% or more, and the maximum coverage that is 10% or less. The relationship of the length x (μm) of one side of the square region is made to include a region that always satisfies the relationship of Expression (1). The second patterning is performed using a normal lithography technique.
[0034]
3 and 4 are cross-sectional views showing a manufacturing process of a semiconductor device according to the second embodiment of the present invention.
As shown in FIG. 3A, a TEOS film 2 having a thickness of 500 nm, a polyacenaphthylene film 500 having a thickness of 500 nm, a first resist film 5 and a water-soluble silicone film 6 having a thickness of 500 nm are formed on the substrate. The water-soluble silicone film 6 is formed by CF4/ O2Etch back by plasma of mixed gas. The remaining film of the water-soluble silicone film 6 is present in all the regions that were removed when the pattern of the resist film 5 was formed, and all the regions that were left when the pattern of the resist film 5 was formed No residual film of the water-soluble silicone film 6 exists. Further, the film thickness of the water-soluble silicone film 6 in the region that was removed when the pattern of the resist film 5 was formed always exceeds 50 nm, which is the minimum necessary for processing the 500 nm polyacenaphthylene film 3. ing. Since this process is the same as the process described with reference to FIGS. 1A to 1D in the first embodiment, a description thereof will be omitted. In the present embodiment, as in the first embodiment, the pattern of the first resist film 5 includes the length y (μm) of one side of the largest square region where the coverage is 90% or more, and the resist pattern. The relationship of the length x (μm) of one side of the maximum square region where the coverage is 10% or less satisfied the relationship of the formula (1).
[0035]
As shown in FIG. 3B, a solution containing an antireflection material is applied on the substrate 1 and then pre-baked to form a second antireflection film 7 having a film thickness of 85 nm.
[0036]
As shown in FIG. 3C, a resist agent is spin-coated on the second antireflection film 7 and then pre-baked to form a positive second resist film 8 having a thickness of 300 nm. The second resist film 8 is a positive ArF resist. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8. An arbitrary pattern can be formed as the pattern of the second resist film 8.
[0037]
As shown in FIG. 3D, the second antireflection film 7, the water-soluble silicone film 6, and the first resist film 5 are processed using the pattern of the second resist film 8 as a mask. As shown in FIG. 4E, the entire surface of the substrate 1 is irradiated with light to develop and remove the positive type second resist film 8.
[0038]
As shown in FIG. 4F, the second antireflection film 7 and the first resist film 5 are removed by irradiation with oxygen plasma. Subsequently, oxygen plasma is irradiated to pattern the polyacenaphthylene film 3. The polyacenaphthylene film 3 is patterned using the water-soluble silicone film 6 as a mask. In general, under conditions using oxygen plasma, the etching rate of a silicon atom-containing material such as the water-soluble silicone film 6 is much slower than the etching rate of an antireflection film or a resist film.
[0039]
As shown in FIG. 4G, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask. As shown in FIG. 4H, the polyacenaphthylene film 3 is removed by ashing with oxygen plasma. Through the above steps, a TEOS film 2 having a desired pattern can be obtained.
[0040]
The length y (μm) of one side of the maximum square area where the pattern coverage of the first resist film 5 is 90% or more and the maximum where the pattern coverage of the first resist film 5 is 10% or less. It is not necessary that the relationship of the length x (μm) of one side of the square region always satisfies the relationship of the formula (1). In the laminated region of the first resist film 5 and the second resist film 8, it is sufficient that the pattern of the first resist film 5 always satisfies the relationship of the formula (1). The water-soluble silicone film 6 around the first resist film 5 in the region where the pattern coverage of the first resist film 5 does not satisfy the relationship of the formula (1) is water-soluble using the second resist film as a mask. It is removed when the silicone film 6 is patterned.
[0041]
Further, by combining the pattern of the first resist film and the pattern of the second resist film, it becomes possible to easily form a pattern that is difficult to form in terms of exposure margin in normal lithography. Here is a specific example. Consider a case in which the first resist film includes an LS pattern, and an LS pattern perpendicular to the LS pattern is stacked as the second resist film. With reference to FIG. 5, a modification of the manufacturing process of the semiconductor device according to the second embodiment of the present invention will be described. In the following embodiments, description will be made in correspondence with FIGS. 3 (a) to 4 (h).
[0042]
As shown in FIG. 5A, a pattern of the first resist film 5 including the L / S pattern is formed (corresponding to the step referring to FIG. 3A). After the water-soluble silicone film 6 is formed, the upper surface of the first resist film 5 is exposed. Next, as shown in FIG. 5B, after the second antireflection film 7 is formed, the second resist film 8 having an L / S pattern substantially orthogonal to the L / S pattern of the first resist film. (Corresponding to the step referring to FIG. 3C). As shown in FIG. 5C, the second antireflection film 7 and the water-soluble silicone film 6 are etched using the second resist film 8 as a mask (corresponding to the step referring to FIG. 3D). As shown in FIG. 5D, the second resist film 8 is removed (corresponding to the step referring to FIG. 4E). As shown in FIG. 5E, the second antireflection film 7 and the first resist film 5 are removed by irradiating oxygen plasma (corresponding to the step referring to FIG. 4F). As shown in FIG. 5 (f), after processing the TEOS film 2 using the water-soluble silicone film 6 and the polyacenaphthylene film 3 as a mask, the water-soluble silicone film 6 and the polyacenaphthylene film 3 are removed (FIG. 5F). 4 (g), corresponding to the process referring to (h)).
[0043]
By combining the two resist films in the above steps, a dense pillar pattern with a generally small exposure margin can be formed.
[0044]
(Third embodiment)
This modified example is characterized in that the basic process is the same as that of the second embodiment, and a process for imparting solvent resistance is further performed on the pattern of the first resist film.
[0045]
6 and 7 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the third embodiment of the present invention.
As shown in FIG. 6A, as in the second embodiment, a TEOS film 2 having a film thickness of 500 nm, a polyacenaphthylene film 3 having a film thickness of 500 nm, a first resist film 5 and a film are formed on the substrate. A water-soluble silicone film 6 having a thickness of 500 nm is formed.
[0046]
As shown in FIG. 6B, the resist film 5 is irradiated with an electron beam to perform an EB curing process to obtain a modified resist film 15. The modified first resist film 15 has resistance to an organic solvent.
[0047]
As shown in FIG. 6C, an SOG film (mask layer) 16 having a solid film thickness of 500 nm is formed by spin coating. The SOG film solution uses an organic solvent. If a solution of an SOG film containing an organic solvent is applied to the first resist film 5 before modification, the pattern of the first resist film 5 is destroyed. In the case of this embodiment, since the solution of the SOG film is applied to the first resist film 15 modified by the EB curing process, it is possible to suppress the pattern of the first resist film 15 from collapsing. Can do.
[0048]
As shown in FIG. 6 (d), the water-soluble silicone film 6 is made of CF.4/ O2Etch back by plasma of mixed gas. The remaining film of the SOG film 16 exists in all the regions that were removed when the pattern of the first resist film 5 was formed, and all of the remaining films that were left when the first resist pattern was formed There is no remaining film of the SOG film 16 in the region. Further, the thickness of the SOG film 16 in the region that was removed when the pattern of the first resist film 5 was formed is 50 nm which is the minimum necessary for processing the polyacenaphthylene film 3 having a thickness of 500 nm. Is always above.
[0049]
As shown in FIG. 7E, a pattern of the second antireflection film 7 and the second resist film 8 having a film thickness of 85 nm is formed. The second resist film 8 is a positive ArF resist. Then, the second antireflection film 7 and the SOG film 16 are processed using the pattern of the second resist film 8 as a mask.
[0050]
As shown in FIG. 7F, the entire surface of the wafer is irradiated with light, and the second resist film 8 is developed and removed. As shown in FIG. 7G, the second antireflection film 7 is removed by oxygen plasma, and the polyacenaphthylene film 3 is patterned. In general, under the conditions using oxygen plasma, the etching rate of the silicon atom-containing material such as the water-soluble silicone film 6 is much slower than the etching rate of the antireflection film or the SOG film.
[0051]
As shown in FIG. 7H, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask. As shown in FIG. 7 (k), the pattern of the polyacenaphthylene film 3 can be ashed with oxygen plasma to obtain the desired pattern of the TEOS film 2.
[0052]
The length y (μm) of one side of the maximum square area where the pattern coverage of the first resist film 5 is 90% or more and the maximum where the pattern coverage of the first resist film 5 is 10% or less. It is not necessary that the relationship of the length x (μm) of one side of the square region always satisfies the relationship of the formula (1). In the laminated region of the first resist film 5 and the second resist film 8, it is sufficient that the pattern coverage of the first resist film 5 always satisfies the relationship of the formula (1). The SOG film 16 around the first resist film 5 in the region where the pattern coverage of the first resist film 5 does not satisfy the relationship of the expression (1) is the SOG film using the second resist film 8 as a mask. 16 is removed during patterning.
[0053]
According to the present embodiment, the solvent of the mask layer is an organic solvent, and even if it is a material that would normally collapse the resist pattern when applied directly onto the first resist pattern, it can be used. .
[0054]
In this embodiment, electron beam irradiation is used as a process for imparting solvent resistance, but the implementation of the present invention is not limited to this. For example, light irradiation, ion irradiation, or radical irradiation can be used.
[0055]
In the present embodiment, the SOG film is used as the mask layer, but the implementation of the present invention is not limited to this. A material having etching resistance to the lower layer film can be used. For example, various silicon atom-containing materials and metal atom-containing materials can be used.
[0056]
(Fourth embodiment)
This modification has the same basic process as that of the second embodiment, and is characterized in that a first antireflection film is formed under the first resist film.
8 and 9 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the fourth embodiment of the present invention.
As shown in FIG. 8A, a TEOS film 2 having a film thickness of 500 nm is formed on a substrate 1 as a film to be processed, and a carbon film 23 having a film thickness of 300 nm is formed thereon as a lower layer film by sputtering. A first antireflection film 4 having a film thickness of 85 nm is formed on the carbon film 23. A first resist film 5 is formed on the first antireflection film 4.
[0057]
As shown in FIG. 8B, after the first resist film 5 is exposed with an ArF exposure apparatus, PEB and development are performed to obtain a pattern of the first resist film 5.
[0058]
The pattern of the first resist film 5 has a length y (μm) of one side of the maximum square region where the coverage is 90% or more and a length of one side of the maximum square region where the coverage is 10% or less. There is a region where the relationship of x (μm) satisfies the relationship of Expression (1).
[0059]
As shown in FIG. 8C, after the water-soluble silicone film 6 is formed on the film thickness of 500 nm by spin coating,4/ O2Etch back by plasma of mixed gas. A second antireflection film 7 having a film thickness of 85 nm is formed on the water-soluble silicone film 6.
[0060]
As shown in FIG. 8D, a positive ArF resist having a film thickness of 300 nm is formed as the second resist film 8. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8. Using the pattern of the second resist film 8 as a mask, the second antireflection film 7 and the water-soluble silicone film 6 are processed. As shown in FIG. 9E, the entire surface of the wafer is irradiated with light, and the second resist film 8 is developed and removed.
[0061]
As shown in FIG. 9F, the second antireflection film 7 is removed with oxygen plasma, and the carbon film 23 is patterned. In general, under conditions using oxygen plasma, the etching rate of a silicon atom-containing material such as water-soluble silicone is much slower than the etching rate of an antireflection film or a carbon film.
[0062]
As shown in FIG. 9G, the TEOS film 2 is processed using the carbon film 23 as a mask. As shown in FIG. 9 (h), the pattern of the carbon film 23 can be ashed with oxygen plasma to obtain a desired TEOS film 2 pattern.
[0063]
The length y (μm) of one side of the maximum square area where the pattern coverage of the first resist film 5 is 90% or more and the maximum where the pattern coverage of the first resist film 5 is 10% or less. It is not necessary that the relationship of the length x (μm) of one side of the square region always satisfies the relationship of the formula (1). In the laminated region of the first resist film 5 and the second resist film 8, it is sufficient that the pattern of the first resist film 5 always satisfies the relationship of the formula (1). The water-soluble silicone film 6 around the first resist film 5 in the region where the pattern of the first resist film 5 does not satisfy the relationship of the formula (1) is a water-soluble silicone using the second resist film as a mask. It is removed when the film 6 is patterned.
[0064]
According to the present embodiment, the first resist film 5 can be patterned with high accuracy even when the reflectance of the lower layer film is large.
[0065]
In the present embodiment, an example in which a carbon film formed by a sputtering method is used as the lower layer film is shown, but the implementation of the present invention is not limited by the formation method and the type of the lower layer film. For example, a carbon film formed by a CVD method can be used. Of course, it is possible to use the lower layer film shown in the first embodiment.
[0066]
(Fifth embodiment)
This modification has the same basic process as that of the second embodiment, and is characterized in that a first antireflection film is formed under the first resist film.
10 and 11 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the fifth embodiment of the present invention.
As shown in FIG. 10A, an Al film 12 having a thickness of 250 nm is formed on the substrate 1 as a film to be processed, and a silicon nitride film 33 having a thickness of 100 nm is formed thereon as a lower layer film by sputtering. A first antireflection film 4 having a thickness of 85 nm is formed on the silicon nitride film 33. A first resist film 25 is applied and formed on the first antireflection film 4. In the present embodiment, the first resist film 25 is a Si-containing resist.
[0067]
As shown in FIG. 10B, after the first resist film 25 is exposed with an ArF exposure apparatus, PEB and development are performed to obtain a pattern of the first resist film 25. The pattern of the resist film 5 has a length y (μm) of one side of the maximum square area where the coverage is 90% or more and a length x (μm) of one side of the maximum square area where the coverage is 10% or less. ) Exists in a region where the relationship of equation (1) is satisfied. The first resist film 25 is irradiated with an electron beam to perform an EB cure process to obtain a modified first resist film 25. The modified first resist film 25 has resistance to an organic solvent.
[0068]
As shown in FIG. 10C, after the polyacenaphthylene film 26 is formed with a film thickness of 500 nm by spin coating, the polyacenaphthylene film 26 is etched back with oxygen plasma.
[0069]
As shown in FIG. 10D, a positive Si-containing resist is formed as the second resist film 28. Further, the second resist film 28 is exposed and developed to obtain a pattern of the second resist film 28. The polyacenaphthylene film 26 is etched using the pattern of the second resist film 28 as a mask. As shown in FIG. 11E, the entire surface of the wafer is irradiated with light, and the second resist film 28 is developed and removed.
[0070]
As shown in FIG. 11F, fluorocarbon gas plasma is supplied to remove the first resist film 25 and pattern the polyacenaphthylene film 26. As shown in FIG.2And BCl3The Al film 12 is processed using the silicon nitride film 33 as a mask. As shown in FIG. 11H, the desired pattern of the Al film 12 can be obtained by ashing the pattern of the silicon nitride film 33 with oxygen plasma.
[0071]
The length y (μm) of one side of the maximum square area where the pattern coverage of the first resist film 25 is 90% or more and the maximum where the pattern coverage of the first resist film 5 is 10% or less. There may be a region where the relationship of the length x (μm) of one side of the square region does not satisfy the relationship of Expression (1). In the laminated region of the first resist film 25 and the second resist film 28, it is sufficient that the pattern of the first resist film 25 always satisfies the relationship of the expression (1). The polyacenaphthylene film 26 around the first resist film 25 in the region where the pattern of the first resist film 25 does not satisfy the relationship of the formula (1) is a polyacena using the second resist film 28 as a mask. It is removed during patterning of the butylene film 26.
[0072]
According to the present embodiment, the first resist film 25 can be patterned with high accuracy even when the reflectance of the lower layer film is large.
[0073]
In the present embodiment, an example in which a silicon nitride film is used as the lower layer film has been shown, but the embodiment is not limited by the formation method and the type of the lower layer film. For example, SiO2A film, an amorphous silicon film or the like can be used. Of course, it is possible to use the lower layer film shown in the first embodiment.
[0074]
In this embodiment, a polyacenaphthylene film is used as the mask layer, but the implementation of the present invention is not limited to this. A material having etching resistance to the lower layer film can be used. For example, a novolac resin film, a polyimide film, a polyarylene film, or a polyarylene ether film can be used.
[0075]
(Sixth embodiment)
In the present embodiment, a basic process is the same as that of the fourth embodiment, and a method of processing a film to be processed without using a lower layer film will be described.
12 and 13 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the sixth embodiment of the present invention.
[0076]
As shown in FIG. 12A, a TEOS film 2 having a thickness of 500 nm, a first antireflection film 4 having a thickness of 85 nm, and a first resist film 5 having a thickness of 125 nm are formed on the substrate 1.
[0077]
As shown in FIG. 12B, after the first resist film 5 is exposed with an ArF exposure apparatus, PEB and development are performed to obtain a pattern of the first resist film 5. Further, the pattern of the first resist film 5 is irradiated with an electron beam to obtain a modified first resist film 15.
[0078]
The pattern of the resist film 5 has a length y (μm) of one side of the maximum square area where the coverage is 90% or more and a length x (μm) of one side of the maximum square area where the coverage is 10% or less. ) Includes a region where the relationship of Formula (1) is always satisfied.
[0079]
As shown in FIG. 12C, a titania (titanium oxide) film 36 is formed as a mask layer so as to have a thickness of 500 nm as a solid film. The titania film is formed by a sol-gel method.
[0080]
As shown in FIG. 12D, the titania film 36 is etched back by Cl gas plasma. The remaining film of the titania film 36 exists in all the regions that were removed when the pattern of the first resist film 15 was formed, and the remaining film was left when the pattern of the first resist film 15 was formed. In all the regions, the residual film of the titania film 36 does not exist. Further, the thickness of the titania film 36 in the region that was removed when the pattern of the first resist film 15 was formed is 50 nm which is the minimum necessary for processing the polyacenaphthylene film 3 having a thickness of 500 nm. Is always above.
[0081]
As shown in FIG. 12E, a second antireflection film 7 having a film thickness of 85 nm is formed. A second resist film 8 having a thickness of 300 nm is formed on the second antireflection film 7. The second resist film 8 is a positive ArF resist. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8.
[0082]
As shown in FIG. 13F, the second antireflection film 7 and the titania film 36 are processed using the pattern of the second resist film 8 as a mask. As shown in FIG. 13G, the entire surface of the wafer is irradiated with light, and the second resist film 8 is developed and removed.
[0083]
As shown in FIG. 13H, the second antireflection film 7 is removed by oxygen plasma, and then the first antireflection film 4 is patterned. In general, under the conditions using oxygen plasma, the etching rate of the titania film 36 is much slower than the etching rate of the antireflection film or the carbon film.
[0084]
As shown in FIG. 13I, the TEOS film 2 is processed using the titania film 36 as a mask. As shown in FIG. 13J, the titania film 36 and the first antireflection film 4 are removed by plasma of Cl gas.
[0085]
As shown in this embodiment, when the film to be processed can be directly processed using the mask layer pattern, the lower layer film is not necessarily used.
[0086]
In the present embodiment, the first antireflection film is formed. However, depending on the method of forming the first resist pattern, the formation of the first antireflection film can be omitted. For example, when the first resist pattern is formed with an electron beam, the antireflection film is not always necessary.
[0087]
In the present embodiment, a titania film is used as a mask layer, but the embodiment is not limited thereto. Any material having etching resistance to the film to be processed can be used. For example, various silicon atom-containing materials and metal atom-containing materials can be used. Further, in the case where the etching mask material is a material that does not break the first resist pattern, it is possible to omit the process for imparting resistance to the solvent.
[0088]
Note that the pattern of the first resist film 5 does not necessarily satisfy the relationship of the formula (1). In the laminated region of the first resist film 5 and the second resist film 8, it is sufficient that the pattern of the first resist film 5 always satisfies the relationship of the formula (1). The titania film 36 around the first resist film 5 in the region where the pattern of the first resist film 5 does not satisfy the relationship of the expression (1) is the patterning of the titania film 36 using the second resist film as a mask. Sometimes removed.
[0089]
(Seventh embodiment)
14 and 15 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the seventh embodiment of the present invention.
As shown in FIG. 14A, a TEOS film 2 having a thickness of 500 nm, a polyacenaphthylene film 500 having a thickness of 500 nm, a first resist film 5 and a water-soluble silicone film 6 having a thickness of 500 nm are formed on the substrate. Form. Since this process is the same as the process described with reference to FIGS. 1A to 1D in the first embodiment, a description thereof will be omitted. As shown in FIG. 14B, an SOG film 9 having a thickness of 100 nm and a second antireflection film 7 having a thickness of 85 nm are formed in sequence.
[0090]
As shown in FIG. 14C, a resist agent is spin-coated on the second antireflection film 7 and then pre-baked to form a positive second resist film 8 having a thickness of 300 nm. The second resist film 8 is a positive ArF resist. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8.
[0091]
As shown in FIG. 14D, the second antireflection film 7 is processed using the pattern of the second resist film 8 as a mask. As shown in FIG. 15E, the entire surface of the wafer is irradiated with light, and the second resist film 8 and the exposed first resist film 5 are developed and removed.
[0092]
As shown in FIG. 15F, the polyacenaphthylene film 3 is patterned with oxygen plasma. At the time of patterning, the SOG film and the water-soluble silicone film serve as a mask. Even when the antireflection film is completely removed during patterning, the first resist film under the SOG film 9 is not removed because the SOG film 9 exists in the lower layer.
[0093]
As shown in FIG. 15G, the antireflection film, the first resist film, and the water-soluble silicone film are removed. The TEOS film 2 is processed using the polyacenaphthylene film 3 as a mask. As shown in FIG. 15H, the desired pattern of the TEOS film 2 can be obtained by ashing the pattern of the polyacenaphthylene film 3 with oxygen plasma.
[0094]
The SOG film used in the present embodiment may be any film as long as it has etching selectivity with respect to the film to be processed when the lower film and the lower film are not used. In the present embodiment, a material containing one or more elements selected from silicon and metal elements can be used.
[0095]
Further, by combining the pattern of the first resist film and the pattern of the second resist film, it becomes possible to easily form a pattern that is difficult to form in terms of exposure margin in normal lithography. Here is a specific example. Consider a case in which the first resist film includes an LS pattern, and an LS pattern perpendicular to the LS pattern is stacked as the second resist film. With reference to FIG. 16, a modification of the manufacturing process of the semiconductor device according to the seventh embodiment of the present invention will be described. In the following embodiments, description will be made in correspondence with FIGS. 14 (a) to 15 (h).
[0096]
As shown in FIG. 16A, the pattern of the first resist film 5 including the L / S pattern is formed (corresponding to the step referring to FIG. 14A). After the water-soluble silicone film 6 is formed, the upper surface of the first resist film 5 is exposed. Next, as shown in FIG. 16B, after the SOG film 9 and the second antireflection film 7 are formed, the second having an L / S pattern substantially orthogonal to the L / S pattern of the first resist film. The pattern of the resist film 8 is formed (corresponding to the step referring to FIGS. 14B and 14C). As shown in FIG. 16C, the second antireflection film 7 and the SOG film 9 are etched using the second resist film 8 as a mask (corresponding to the step referring to FIG. 14D). As shown in FIG. 16D, the second resist film 8 is removed (corresponding to the step referring to FIG. 15E). As shown in FIG. 16E, oxygen plasma is irradiated to remove the first resist film 5 in the region not covered with the second antireflection film 7 and the SOG film 9 (FIG. 15F). Corresponding to the referenced process). As shown in FIG. 16F, after processing the TEOS film 2 using the SOG film 9 and the polyacenaphthylene film 3 as a mask, the water-soluble silicone film 6 and the polyacenaphthylene film 3 are removed (FIG. 15 ( corresponding to the steps referring to g) and (h)). In general, a dense hole pattern with a small exposure margin can be formed by the steps shown above.
[0097]
(Eighth embodiment)
17 and 18 are cross-sectional views showing the manufacturing steps of the semiconductor device according to the eighth embodiment of the present invention.
As shown in FIG. 17A, a TEOS film 2 having a thickness of 500 nm, a polyacenaphthylene film 3 having a thickness of 500 nm, a first resist film 5 and a water-soluble silicone film 6 having a thickness of 500 nm are formed on the substrate. Form. Since this process is the same as the process described with reference to FIGS. 1A and 1B in the first embodiment, a description thereof will be omitted.
[0098]
As shown in FIG. 17B, the water-soluble silicone film 6 is made CF.4/ O2Etch back by plasma of mixed gas. The etch back depth is set to be about 100 nm less than that in the first embodiment. The structure shown in FIG. 17B can also be formed by setting the film thickness to about 200 nm when forming the water-soluble silicone film 6.
[0099]
As shown in FIG. 17C, the second antireflection film 7 is applied with a film thickness of 85 nm and prebaked. Thereby, it will be in the state substantially the same as FIG.14 (b) of 7th Embodiment.
[0100]
As shown in FIG. 17D, a positive type ArF resist is spin-coated at a thickness of 300 nm as the second resist film 8 and prebaked. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8.
[0101]
As shown in FIG. 18E, the second antireflection film 7 and the water-soluble silicone film 6 are processed using the pattern of the second resist film 8 as a mask. The depth of the etching process is such that the water-soluble silicone film 6 is polyacenaphthylene having a thickness of 500 nm where the first resist film 5 is removed and the second resist film 8 is removed. It is set so as to always exceed 50 nm, which is the minimum necessary for processing the film 3.
[0102]
As shown in FIG. 18F, the entire surface of the wafer is irradiated with light, and the second resist film 8 and the exposed first resist film 5 are developed and removed. As shown in FIG. 18G, the second antireflection film 7 is removed by oxygen plasma, and the polyacenaphthylene film 3 is patterned.
[0103]
As shown in FIG. 18H, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask. As shown in FIG. 18I, the desired pattern of the TEOS film 2 can be obtained by ashing the polyacenaphthylene film 3 with oxygen plasma.
[0104]
(Ninth embodiment)
19 to 21 are cross-sectional views showing a manufacturing process of a semiconductor device according to the ninth embodiment of the present invention.
As shown in FIG. 19A, a TEOS film 2 having a thickness of 500 nm is formed on the substrate 1 as a film to be processed. A polyacenaphthylene film 3 having a film thickness of 500 nm is formed on the TEOS film 2 by baking after spin coating as a lower layer film. A resist film 5 is spin-coated on the polyacenaphthylene film 3 at a film thickness of 125 nm and prebaked. The first resist film 5 is a chemically amplified ArF positive resist.
[0105]
As shown in FIG. 19B, the resist film 5 is exposed with an ArF exposure apparatus, and then the pattern of the first resist film 5 is obtained by performing PEB and development. The pattern of the first resist film 5 has a region R1, a region R2, and a region R3. In the region R2, the pattern of the first resist film 5 includes the length y (μm) of one side of the maximum square region where the coverage is 90% or more and the maximum square region where the coverage is 10% or less. The relationship of the length x (μm) of one side always satisfies the relationship of the formula (1). The pattern of the first resist film 5 in the regions R1 and R3 does not satisfy the relationship of the formula (1). In the region R1, the pattern of the first resist film 5 is a large remaining pattern. In the region R3, the pattern of the first resist film 5 is a fine line and space pattern or an isolated line.
[0106]
The film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R1 is thicker than the film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R2. The film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R3 is formed smaller than the film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R2.
[0107]
As shown in FIG. 19D, a second resist film 8 having a thickness of 200 nm is formed on the water-soluble silicone film 6. The second resist film 8 is formed by spin-coating a solution and then pre-baking. The second resist film 8 is a positive type i-line resist. Further, the second resist film 8 is exposed and developed to obtain a pattern of the second resist film 8. In the pattern of the second resist film 8, it is necessary to leave out a portion that was left largely in the pattern of the first resist film 5.
[0108]
As shown in FIG. 20 (e), the water-soluble silicone film 6 is made CF by using the second resist film 8 as a mask.4/ O2Etching is performed by plasma of a mixed gas. The depth at which the water-soluble silicone film 6 is processed is approximately 50 nm. In the region R1, the water-soluble silicone film 6 remains on the first resist film 5, and the upper surface of the first resist film 5 is exposed in the region R3.
[0109]
As shown in FIG. 20F, the remaining second resist film 8 and the first resist film 5 in the region R3 are replaced with O.sub.2.2Remove with plasma.
[0110]
As shown in FIG. 20 (g), the water-soluble silicone film 6 is made CF.4/ O2Etch back by plasma of mixed gas. In the region R3, the water-soluble silicone film 6 is removed. In the region R3, the upper surface of the first resist film 5 is exposed, and the water-soluble silicone film 6 remains between the patterns. Where the water-soluble silicone film 6 remains, the film thickness always exceeds 50 nm, which is the minimum necessary for processing the polyacenaphthylene film 3 having a film thickness of 500 nm.
[0111]
As shown in FIG. 20H, the polyacenaphthylene film 3 is patterned with oxygen plasma. As shown in FIG. 21I, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask.
[0112]
As shown in FIG. 21J, the pattern of the polyacenaphthylene film 3 is ashed by oxygen plasma and removed. Through the above steps, a desired pattern of the TEOS film 2 can be obtained.
[0113]
In the present embodiment, the first antireflection film corresponding to the first resist film is not used, but the use of the first antireflection film does not depart from the present invention. In the present embodiment, the second antireflection film corresponding to the second resist film is not used, but the use of the second antireflection film does not depart from the present invention.
[0114]
(Ninth embodiment)
22 and 23 are cross-sectional views showing a manufacturing process of a semiconductor device according to the tenth embodiment of the present invention.
The process described with reference to FIGS. 19A to 19C in the ninth embodiment is performed to form the structure shown in FIG. The pattern of the first resist film 5 has a region R1, a region R2, and a region R3. In the region R2, the pattern of the first resist film 5 includes the length y (μm) of one side of the maximum square region where the coverage is 90% or more and the maximum square region where the coverage is 10% or less. The relationship of the length x (μm) of one side always satisfies the relationship of the formula (1). The pattern of the first resist film 5 in the regions R1 and R3 does not satisfy the relationship of the formula (1). In the region R1, the pattern of the first resist film 5 is a large remaining pattern. In the region R3, the pattern of the first resist film 5 is exclusively an isolated line pattern.
[0115]
The film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R1 is thicker than the film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R2. The film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R3 is formed smaller than the film thickness of the water-soluble silicone film 6 on the upper surface of the first resist film 5 in the region R2.
[0116]
As shown in FIG. 22 (b), the water-soluble silicone film 6 is made CF.4/ O2Etch back by plasma of mixed gas. In the region R1, the water-soluble silicone film 6 remains on the first resist film 5. In the regions R2 and R3, the upper surface of the first resist film 5 is exposed.
[0117]
As shown in FIG. 22C, the second resist film 8 is formed in the region R2 as in the ninth embodiment. As shown in FIG. 23 (d), the water-soluble silicone film 6 is made CF by using the second resist film 8 as a mask.4/ O2Etching is performed by plasma of a mixed gas. The depth at which the water-soluble silicone film 6 is processed is approximately 50 nm. Here, the upper surface of the first resist film 5 is exposed in the region R1.
[0118]
As shown in FIG. 23E, the remaining film of the second resist film 8 is removed by a thinner process. As shown in FIG.2The first resist film 5 is removed by plasma. Continue O2The polyacenaphthylene film 3 is patterned by plasma.
[0119]
As shown in FIG. 23G, the TEOS film 2 is processed using the pattern of the polyacenaphthylene film 3 as a mask. As shown in FIG. 23 (h), the pattern of the water-soluble silicone film 6 is ashed with oxygen plasma. A desired pattern of the TEOS film 2 can be obtained by the steps described above.
[0120]
In the present embodiment, the first antireflection film corresponding to the first resist film is not used, but the present invention can be implemented even if the first antireflection film is used. There is no departure. In the present embodiment, the second antireflection film corresponding to the second resist film is not used, but the present invention can be implemented even if the second antireflection film is used. There is no departure.
[0121]
(Modification)
In each embodiment, an example in which a TEOS film is used as a film to be processed has been described. However, implementation of the present invention is not limited to the type of film to be processed. For example, various metal films, semiconductor films, and insulator films including a polysilicon film and an aluminum film can be used.
[0122]
In each embodiment, an example in which a polyacenaphthylene film is used as the lower layer film has been shown, but the implementation of the present invention is not limited by the type of the lower layer film. For example, a novolac resin film, a polyimide film, a polyarylene film, a polyarylene ether film, or the like can be used.
[0123]
In each embodiment, the ArF resist and the i-line resist are used for the resist films 5 and 8, but the present invention is not limited to this. As resist films 5 and 8, ArF resist, g-line resist, i-line resist, KrF resist, F2It is possible to use an exposure apparatus corresponding to each of the above resist, electron beam resist, X-ray resist, EUV resist, imprint lithography resist, and the like.
[0124]
In each embodiment, although the water-soluble silicone film | membrane 6 was used as a mask layer, implementation of this invention is not limited to this. Any material that does not completely erase the resist film 5 can be used in the practice of the present invention. For example, an SOG film using a solvent that does not dissolve the resist film 5 can be used.
[0125]
In each embodiment, RIE is used as an etch back method, but the implementation of the present invention is not limited to this. For example, there are two types of etching mask materials: radiation-sensitive polysilane, radiation-sensitive polygermane, radiation-sensitive polystannane, radiation-sensitive polysilazane, radiation-sensitive polysiloxane, radiation-sensitive polycarbosilane, radiation-sensitive disilanylene-π-electron polymer, and their respective compounds. The above copolymer, a novolak resin containing a silicon atom as a substituent on the benzene ring, a polyhydroxystyrene resin containing a silicon atom as a substituent on the benzene ring, or any of these compounds and a radiation-sensitive substance Mixtures may be used. In the case of the materials listed here, the etching mask material remains exposed between the resist film patterns after the etching mask material is exposed by irradiation with energy rays (light rays, electron beams, or ion beams) instead of etching back. Development processing may be performed. The inventor has applied for a method for leaving the etching mask material in Japanese Patent Application No. 2002-122862. The material must not be a material that completely erases the resist film pattern.
[0126]
In the second and third embodiments, an example is shown in which after the second resist film 8 is removed, the second antireflection film 7 is removed and the polyacenaphthylene film 3 is patterned. However, the removal of the second resist film 8 and the second antireflection film 7 and the patterning of the polyacenaphthylene film 3 can be performed at once using, for example, oxygen plasma.
[0127]
In the fourth embodiment, the second resist film 8 is removed, and then the second antireflection film 7 is removed and the carbon film 23 is patterned. However, the removal of the second resist film 8 and the second antireflection film 7 and the patterning of the carbon film 23 can be performed at once using, for example, oxygen plasma.
[0128]
In the tenth embodiment, the removal of the remaining film of the second resist film 8, the removal of the first resist film, and the patterning of the polyacenaphthylene film 3 are individually performed. Is also possible. For example, if O 2 plasma is used, the removal of the remaining film of the second resist film 8, the removal of the first resist film, and the patterning of the polyacenaphthylene film 3 can be performed by a series of processes. .
[0129]
When the surface of the mask layer (water-soluble silicone film 6, SOG film 16) is retracted, a wet etching method, a dry etching method, a chemical mechanical polishing method may be used, or two or more methods may be combined. When the surface of the mask layer (water-soluble silicone film 6, SOG film 16) is retracted, it is preferable to use a processing condition in which the retracting speed of the mask layer and the first resist film is close. In particular, when chemical mechanical polishing is used, it is possible to reduce the level difference of the mask material, so that the restrictions on the equations shown in Equations 1 to 7 can be relaxed.
[0130]
In addition, this invention is not limited to the said embodiment, In the range which does not deviate from the summary, it can change and implement variously.
[0131]
【The invention's effect】
As described above, according to the present invention, a predetermined pattern can be created by using an inversion mask process.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a first embodiment.
FIG. 2 is a diagram showing necessary conditions imposed on a resist pattern.
FIG. 3 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a second embodiment.
FIG. 4 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a second embodiment.
FIG. 5 is a cross-sectional view showing a modification of the manufacturing process of the semiconductor device according to the second embodiment.
FIG. 6 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a third embodiment.
7 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a third embodiment; FIG.
FIG. 8 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a fourth embodiment.
FIG. 9 is a cross-sectional view showing a manufacturing process of a semiconductor device according to the fourth embodiment.
FIG. 10 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a fifth embodiment.
FIG. 11 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a fifth embodiment.
FIG. 12 is a cross-sectional view showing a manufacturing process of a semiconductor device according to the sixth embodiment.
FIG. 13 is a cross-sectional view showing a manufacturing process of a semiconductor device according to the sixth embodiment.
FIG. 14 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a seventh embodiment.
FIG. 15 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a seventh embodiment.
FIG. 16 is a sectional view showing a modification of the manufacturing process of the semiconductor device according to the seventh embodiment.
FIG. 17 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the eighth embodiment.
FIG. 18 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the eighth embodiment.
FIG. 19 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the ninth embodiment.
FIG. 20 is a cross-sectional view showing a manufacturing process of a semiconductor device according to the ninth embodiment.
FIG. 21 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the ninth embodiment.
FIG. 22 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the tenth embodiment.
FIG. 23 is a cross-sectional view showing the manufacturing process of the semiconductor device according to the tenth embodiment;
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 ... Substrate, 2 ... TEOS film, 3 ... Polyacenaphthylene film, 4 ... 1st antireflection film, 5 ... (1st) resist film, 6 ... Water-soluble silicone film, 7 ... 2nd antireflection Film, 8 ... second resist film, 15 ... resist film, 15 ... first resist film, 16 ... SOG film, 23 ... carbon film, 36 ... titania film

Claims (19)

被加工膜上に第1のレジスト膜を形成する工程と、
前記第1のレジスト膜をパターニングする工程と、
前記被加工膜上に前記第1のレジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、
前記マスク層の表面を後退させて、前記第1のレジスト膜の上面を露出させる工程と、
前記第1のレジスト膜上面の露出後、前記第1のレジスト膜を除去する工程と、
前記マスク層をマスクにして前記被加工膜をエッチングする工程とを含み、
前記第1のレジスト膜のパターンが形成されている領域において、前記レジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、前記レジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)との関係が、
Figure 2005043420
を満たすことを特徴とするパターン形成方法。
Forming a first resist film on the film to be processed;
Patterning the first resist film;
Forming a mask layer covering the first resist film on the film to be processed using a spin coating method;
Retreating the surface of the mask layer to expose the upper surface of the first resist film;
Removing the first resist film after exposing the upper surface of the first resist film;
Etching the film to be processed using the mask layer as a mask,
In the region where the pattern of the first resist film is formed, the length y (μm) of one side of the maximum square region where the coverage of the resist film is 90% or more, and the coverage of the resist film is The relationship with the length x (μm) of one side of the maximum square area that is 10% or less is
Figure 2005043420
The pattern formation method characterized by satisfy | filling.
被加工膜上に第1のレジスト膜を形成する工程と、
前記第1のレジスト膜をパターニングする工程と、
前記被加工膜上にパターニングされた第1のレジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、
前記マスク層の表面を後退させて、前記第1のレジスト膜の上面を露出させる工程と、
前記第1のレジスト膜の上面の露出後、前記被加工膜上に前記マスク層を覆う第2のレジスト膜を形成する工程と、
前記第2のレジスト膜をパターニングする工程と、
パターニングされた前記第2のレジスト膜をマスクとして前記マスク層をエッチングする工程と、
前記マスク層のエッチング後、第1及び第2のレジスト膜を除去する工程と、
第1及び第2のレジスト膜の除去後または除去と同時に、エッチングされた前記マスク層をマスクとして前記被加工膜をパターニングする工程とを含むことを特徴とするパターン形成方法。
Forming a first resist film on the film to be processed;
Patterning the first resist film;
Forming a mask layer covering the patterned first resist film on the film to be processed using a spin coating method;
Retreating the surface of the mask layer to expose the upper surface of the first resist film;
Forming a second resist film covering the mask layer on the film to be processed after exposing the upper surface of the first resist film;
Patterning the second resist film;
Etching the mask layer using the patterned second resist film as a mask;
Removing the first and second resist films after etching the mask layer;
And a step of patterning the film to be processed using the etched mask layer as a mask after or simultaneously with the removal of the first and second resist films.
第2のレジスト膜のパターニング後、第1のレジスト膜のパターンと第2のレジスト膜とが積層されている領域において、
第1のレジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、
Figure 2005043420
を満たすことを特徴とする請求項2に記載のパターン形成方法。
After patterning the second resist film, in the region where the pattern of the first resist film and the second resist film are laminated,
The length y (μm) of one side of the largest square region where the coverage of the first resist film is 90% or more and the length of one side of the largest square region where the coverage of the first resist film is 10% or less. The relationship of length x (μm) is
Figure 2005043420
The pattern forming method according to claim 2, wherein:
第1のレジスト膜と第2のレジスト膜との積層領域と、前記マスク層の膜厚が該積層領域における前記マスク層の膜厚より厚く形成される厚膜領域とが設定され、
第2のレジスト膜のパターニングは、前記厚膜領域の前記マスク層上に第2のレジスト膜が形成されないようにし、
前記マスク層の後退は、前記積層領域の第1のレジスト膜の上面を露出させ、前記厚膜領域の第1のレジスト膜の上面を露出させず、
前記マスク層のエッチングは、前記厚膜領域の第1のレジスト膜の上面を露出させることを特徴とする請求項3に記載のパターン形成方法。
A laminated region of the first resist film and the second resist film, and a thick film region in which the film thickness of the mask layer is formed thicker than the film thickness of the mask layer in the laminated region are set,
The patterning of the second resist film prevents the second resist film from being formed on the mask layer in the thick film region,
The recession of the mask layer exposes the upper surface of the first resist film in the stacked region, does not expose the upper surface of the first resist film in the thick film region,
The pattern forming method according to claim 3, wherein the etching of the mask layer exposes an upper surface of the first resist film in the thick film region.
被加工膜上に第1のレジスト膜を形成する工程と、
前記第1のレジスト膜をパターニングする工程と、
前記被加工膜上に前記第1のレジスト膜を覆うマスク層を回転塗布法を用いて形成する工程と、
前記被加工膜上に前記マスク層を覆う第2のレジスト膜を形成する工程と、
前記第2のレジスト膜をパターニングする工程と、
パターニングされた第2のレジスト膜をマスクとして前記マスク層をエッチングする工程と、
前記マスク層のエッチング後、前記第2のレジスト膜を除去する工程と、
第2のレジスト膜の除去後、前記マスク層の表面を後退させて、第1のレジスト膜の上面を露出させる工程と、
露出した第1のレジスト膜を除去する工程と、
露出した第1のレジスト膜の除去後または除去と同時に、エッチングされた前記マスク層をマスクとして前記被加工膜をパターニングする工程とを含むことを特徴とするパターン形成方法。
Forming a first resist film on the film to be processed;
Patterning the first resist film;
Forming a mask layer covering the first resist film on the film to be processed using a spin coating method;
Forming a second resist film covering the mask layer on the film to be processed;
Patterning the second resist film;
Etching the mask layer using the patterned second resist film as a mask;
Removing the second resist film after etching the mask layer;
After removing the second resist film, retreating the surface of the mask layer to expose the upper surface of the first resist film;
Removing the exposed first resist film;
Patterning the processed film using the etched mask layer as a mask after or simultaneously with the removal of the exposed first resist film.
第1のレジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、
Figure 2005043420
を満たすことを特徴とする請求項2又は請求項5に記載のパターン形成方法。
The length y (μm) of one side of the largest square region where the coverage of the first resist film is 90% or more and the length of one side of the largest square region where the coverage of the first resist film is 10% or less. The relationship of length x (μm) is
Figure 2005043420
The pattern forming method according to claim 2, wherein:
第2のレジスト膜のパターニング後、第1のレジスト膜のパターンと第2のレジスト膜とが積層されている領域において、
第1のレジスト膜の被覆率が90%以上となる最大の正方形領域の一辺の長さy(μm)と、第1のレジスト膜の被覆率が10%以下となる最大の正方形領域の一辺の長さx(μm)の関係が、
Figure 2005043420
を満たすことを特徴とする請求項5に記載のパターン形成方法。
After patterning the second resist film, in the region where the pattern of the first resist film and the second resist film are laminated,
The length y (μm) of one side of the largest square region where the coverage of the first resist film is 90% or more and the length of one side of the largest square region where the coverage of the first resist film is 10% or less. The relationship of length x (μm) is
Figure 2005043420
The pattern forming method according to claim 5, wherein:
第1のレジスト膜と第2のレジスト膜との積層領域と、前記マスク層の膜厚が該積層領域における前記マスク層の膜厚より厚く形成される厚膜領域とが設定され、
第2のレジスト膜のパターニングは、前記厚膜領域の前記マスク層上に第2のレジスト膜が形成されないようにすることを特徴とする請求項7に記載のパターン形成方法。
A laminated region of the first resist film and the second resist film, and a thick film region in which the film thickness of the mask layer is formed thicker than the film thickness of the mask layer in the laminated region are set,
The pattern forming method according to claim 7, wherein the second resist film is patterned such that the second resist film is not formed on the mask layer in the thick film region.
前記第1のレジスト膜を形成する工程に先立って、前記被加工膜上に第1の反射防止膜を形成することを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。9. The pattern forming method according to claim 1, wherein a first antireflection film is formed on the film to be processed prior to the step of forming the first resist film. . 前記第2のレジスト膜を形成する工程に先立って、前記マスク層上に第2の反射防止膜を形成することを特徴とする請求項2〜請求項8の何れかに記載のパターン形成方法。9. The pattern forming method according to claim 2, wherein a second antireflection film is formed on the mask layer prior to the step of forming the second resist film. 第1のレジスト膜の上面を露出させる工程の後に、珪素および金属元素から選ばれる1種類以上の元素を含有する膜を形成することを特徴とする請求項2に記載のパターン形成方法。The pattern forming method according to claim 2, wherein after the step of exposing the upper surface of the first resist film, a film containing one or more elements selected from silicon and metal elements is formed. 前記第1のレジスト膜に溶剤耐性を持たせる処理を行うことを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。The pattern forming method according to claim 1, wherein a treatment for imparting solvent resistance to the first resist film is performed. 前記第1のレジスト膜に溶剤耐性を持たせる処理は、電子線照射、光照射、イオン照射、ラジカル照射のうち、少なくとも1種類からなることを特徴とする請求項12記載のパターン形成方法。13. The pattern forming method according to claim 12, wherein the treatment for imparting solvent resistance to the first resist film comprises at least one of electron beam irradiation, light irradiation, ion irradiation, and radical irradiation. 前記マスク層の後退は、ウェットエッチング法、ドライエッチング法、化学機械研磨法のうち少なくとも1種類を用いることを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。9. The pattern forming method according to claim 1, wherein the mask layer is retracted by using at least one of a wet etching method, a dry etching method, and a chemical mechanical polishing method. 前記マスク層の後退は、前記マスク層と前記第1のレジスト膜との後退速度が近い加工条件を用いることを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。9. The pattern forming method according to claim 1, wherein the mask layer is retreated using a processing condition in which a retreat rate between the mask layer and the first resist film is close. 10. 前記第1のレジスト膜を形成する工程に先立って、被加工膜の上に下層膜を形成する工程を具備することを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。9. The pattern forming method according to claim 1, further comprising a step of forming a lower layer film on the film to be processed prior to the step of forming the first resist film. . 前記下層膜は、第1のレジスト膜のパターンに対して反射防止性能を兼ね備えていることを特徴とする請求項16記載のパターン形成方法。17. The pattern forming method according to claim 16, wherein the lower layer film has antireflection performance for the pattern of the first resist film. 前記マスク層は、珪素および金属元素から選ばれる1種類以上の元素を含有することを特徴とする請求項1〜請求項8の何れかに記載のパターン形成方法。The pattern forming method according to claim 1, wherein the mask layer contains one or more elements selected from silicon and metal elements. 請求項1〜請求項18の何れかに記載のパターン形成方法を用いた半導体装置の製造方法。A method for manufacturing a semiconductor device using the pattern forming method according to claim 1.
JP2003199942A 2003-05-09 2003-07-22 Pattern forming method and semiconductor device manufacturing method Expired - Fee Related JP3884415B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003199942A JP3884415B2 (en) 2003-07-22 2003-07-22 Pattern forming method and semiconductor device manufacturing method
TW093112661A TWI281690B (en) 2003-05-09 2004-05-05 Pattern forming method, and manufacturing method for semiconductor using the same
US10/839,184 US20040265745A1 (en) 2003-05-09 2004-05-06 Pattern forming method
CNB2004100347314A CN1282219C (en) 2003-05-09 2004-05-09 Pattern forming method and method for manufacturing seniconductor applying said method
KR1020040032654A KR100547065B1 (en) 2003-05-09 2004-05-10 Pattern Forming Method and Manufacturing Method of Semiconductor Device Using the Same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003199942A JP3884415B2 (en) 2003-07-22 2003-07-22 Pattern forming method and semiconductor device manufacturing method

Publications (2)

Publication Number Publication Date
JP2005043420A true JP2005043420A (en) 2005-02-17
JP3884415B2 JP3884415B2 (en) 2007-02-21

Family

ID=34260551

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003199942A Expired - Fee Related JP3884415B2 (en) 2003-05-09 2003-07-22 Pattern forming method and semiconductor device manufacturing method

Country Status (1)

Country Link
JP (1) JP3884415B2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006278622A (en) * 2005-03-29 2006-10-12 Tdk Corp Method of forming irregular pattern and method of manufacturing information storage medium
JP2007287951A (en) * 2006-04-18 2007-11-01 Canon Inc Substrate processing method, and production method of member having pattern region
JP2009038085A (en) * 2007-07-31 2009-02-19 Canon Inc Forming method of pattern
JP2009135462A (en) * 2007-11-30 2009-06-18 Taiwan Semiconductor Manufacturing Co Ltd Double patterning method by lithography
JP2011022547A (en) * 2009-06-17 2011-02-03 Sumitomo Electric Ind Ltd Method of forming diffraction grating
KR20110118781A (en) * 2009-02-10 2011-11-01 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. A hardmask process for forming a reverse tone image using polysilazane
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8101341B2 (en) 2009-01-15 2012-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US8105764B2 (en) 2007-09-25 2012-01-31 Shin-Etsu Chemical Co., Ltd. Patterning process
US8129100B2 (en) 2008-04-04 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8129099B2 (en) 2008-02-14 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8192921B2 (en) 2009-01-15 2012-06-05 Shin-Etsu Chemical Co., Ltd. Patterning process
US8198016B2 (en) 2008-05-15 2012-06-12 Shin-Etsu Chemical Co., Ltd. Patterning process
US8216774B2 (en) 2009-02-12 2012-07-10 Shin-Etsu Chemical Co., Ltd. Patterning process
US8597873B2 (en) 2011-11-28 2013-12-03 Kabushiki Kaisha Toshiba Method for pattern formation
KR20140103857A (en) 2013-02-18 2014-08-27 신에쓰 가가꾸 고교 가부시끼가이샤 Pattern forming process, and pattern reversal film forming material
US9201304B2 (en) 2013-02-18 2015-12-01 Shin-Etsu Chemical Co., Ltd. Pattern forming process
KR20170056457A (en) * 2015-11-13 2017-05-23 캐논 가부시끼가이샤 Method of reverse tone patterning

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006278622A (en) * 2005-03-29 2006-10-12 Tdk Corp Method of forming irregular pattern and method of manufacturing information storage medium
JP2007287951A (en) * 2006-04-18 2007-11-01 Canon Inc Substrate processing method, and production method of member having pattern region
JP2009038085A (en) * 2007-07-31 2009-02-19 Canon Inc Forming method of pattern
US8105764B2 (en) 2007-09-25 2012-01-31 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2009135462A (en) * 2007-11-30 2009-06-18 Taiwan Semiconductor Manufacturing Co Ltd Double patterning method by lithography
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8129099B2 (en) 2008-02-14 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8129100B2 (en) 2008-04-04 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8198016B2 (en) 2008-05-15 2012-06-12 Shin-Etsu Chemical Co., Ltd. Patterning process
US8192921B2 (en) 2009-01-15 2012-06-05 Shin-Etsu Chemical Co., Ltd. Patterning process
US8101341B2 (en) 2009-01-15 2012-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process
KR20110118781A (en) * 2009-02-10 2011-11-01 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. A hardmask process for forming a reverse tone image using polysilazane
KR101628423B1 (en) * 2009-02-10 2016-06-08 메르크 파텐트 게엠베하 A hardmask process for forming a reverse tone image using polysilazane
US8216774B2 (en) 2009-02-12 2012-07-10 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2011022547A (en) * 2009-06-17 2011-02-03 Sumitomo Electric Ind Ltd Method of forming diffraction grating
US8597873B2 (en) 2011-11-28 2013-12-03 Kabushiki Kaisha Toshiba Method for pattern formation
KR20140103857A (en) 2013-02-18 2014-08-27 신에쓰 가가꾸 고교 가부시끼가이샤 Pattern forming process, and pattern reversal film forming material
US9052603B2 (en) 2013-02-18 2015-06-09 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9201304B2 (en) 2013-02-18 2015-12-01 Shin-Etsu Chemical Co., Ltd. Pattern forming process
KR20170056457A (en) * 2015-11-13 2017-05-23 캐논 가부시끼가이샤 Method of reverse tone patterning
JP2017098546A (en) * 2015-11-13 2017-06-01 キヤノン株式会社 Method of inversion gradation patterning
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
KR102098438B1 (en) 2015-11-13 2020-05-29 캐논 가부시끼가이샤 Method of reverse tone patterning

Also Published As

Publication number Publication date
JP3884415B2 (en) 2007-02-21

Similar Documents

Publication Publication Date Title
JP6726826B2 (en) Negative tone developer compatible photoresist composition and method of use
JP3884415B2 (en) Pattern forming method and semiconductor device manufacturing method
KR100547065B1 (en) Pattern Forming Method and Manufacturing Method of Semiconductor Device Using the Same
CN107112212B (en) Patterning substrates using grafted polymeric materials
US9418862B2 (en) Method for integrated circuit patterning
TWI452419B (en) Fine pattern mask, process for producing the same, and process for forming fine pattern by using the same
CN108780739B (en) Pre-patterned lithographic template, process based on radiation patterning using the template, and process for forming the template
TWI582830B (en) Euv resist etch durability improvement and pattern collapse mitigation
TW200939300A (en) Double patterning strategy for contact hole and trench in photolithography
CN106325002B (en) Photoetching technique develop ingredient and be used for the patterned method of photoetching technique
KR20070070036A (en) Method of manufacturing semiconductor device
TWI397108B (en) Method for double patterning a developable anti-reflective coating
KR20170120516A (en) Method for forming organic film and method for manufacturing substrate for semiconductor apparatus
US8334090B2 (en) Mixed lithography with dual resist and a single pattern transfer
JP2021503715A (en) A method for patterning a resist layer on an amorphous silicon hardmask in a semiconductor device, a method for increasing resist adhesion of an amorphous silicon hardmask, and a structure.
JPH0529205A (en) Microscopic pattern forming material and pattern forming method
JP2001092152A (en) Method for manufacturing semiconductor device
JPH05315244A (en) Manufacture of semiconductor device
CN107068547A (en) The manufacture method of semiconductor device
JPH05217875A (en) Fine pattern forming material and pattern formation
JPH0229655A (en) Resist pattern forming method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060411

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061116

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101124

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101124

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111124

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121124

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131124

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees