JP2005019426A - Patterning method - Google Patents

Patterning method Download PDF

Info

Publication number
JP2005019426A
JP2005019426A JP2003177691A JP2003177691A JP2005019426A JP 2005019426 A JP2005019426 A JP 2005019426A JP 2003177691 A JP2003177691 A JP 2003177691A JP 2003177691 A JP2003177691 A JP 2003177691A JP 2005019426 A JP2005019426 A JP 2005019426A
Authority
JP
Japan
Prior art keywords
exposure
pattern
electron beam
auxiliary
backscattering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003177691A
Other languages
Japanese (ja)
Inventor
Fumio Murai
二三夫 村井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2003177691A priority Critical patent/JP2005019426A/en
Publication of JP2005019426A publication Critical patent/JP2005019426A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a high precision patterning method in which a proximity effect in electron beam exposure is corrected while minimizing an exposure cost increase and deterioration in exposure contrast due to the proximity effect. <P>SOLUTION: The method is characterised in that, when assuming the backscattering range of an electron beam in main exposure is b1, the backscattering range of an electron beam in auxiliary exposure is b2, and the exposure interval of auxiliary exposure is p, the acceleration voltage of the electron beam for auxiliary exposure is selected to satisfy a relation b1>p>b2, and auxiliary exposure is carried out with reference to an auxiliary exposure quantity map calculated from the effective area density where the effect of backscattering is taken into account. The central part of a large pattern is removed from main exposure and exposed simultaneously at the time of auxiliary exposure. Furthermore, auxiliary exposure is not carried out in a region having no main exposure pattern. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は電子ビームを用いたパターン形成方法に係り、特に電子ビーム露光方法においていわゆる近接効果による寸法精度が劣化する現象を第1の電子ビームによる露光と第2の電子ビームによる露光の堆積エネルギーの和によって低減するパターン形成方法に関するものである。
【0002】
【従来の技術】
電子ビームによるパターン形成法では基板に照射された電子ビームが基板内で散乱し再びパターンを形成すべきレジストに広い範囲で戻ってくるため電子ビームの堆積エネルギーが近傍にあるパターンの寸法や配置によって不均一な分布となる現象がある。この結果、仕上がりレジスト寸法は設計寸法からずれるもので、これは近接効果現象と呼ばれている。近接効果の及ぶ範囲は電子ビームの加速電圧によって異なるが例えば100kVの加速電圧で照射した電子はシリコン基板内で照射点から半径30μm以上の範囲にも及ぶ影響を与え、パターン寸法を変化させる。従って高精度な露光を行なうためには近接効果を適切に補正する必要がある。
【0003】
電子ビーム描画法は小パターンを逐次露光していくためスループットが低いという問題があったが、最近大面積転写用マスクを用いスループットを大きく改善した方式の実用化が進められている。(例えば、非特許文献1)この方式は一般的に電子ビーム転写リソグラフィ(Electron Projection Lithography:以下EPLと略す)と呼ばれている。このEPLでは一括で露光する範囲をサブフィールドと呼ぶが、サブフィールドは約250μm角である。近接効果の及ぶ範囲は前述の例では半径30μm程度であるのでパターンの配置によってサブフィールド内での近接効果は不均一に現れる。このため従来転写用マスクを用いない電子ビーム直接描画法で一般的であった露光パターン毎の露光量を変化させて最適なパターン寸法を得ようとする方式を使用することができない。
【0004】
EPL方式での近接効果補正方式としてパターン変形法と補助露光法が提案されているがそれぞれに一長一短がある。パターン変形法は予め近接効果によって露光後にパターンが変形するのを予想してマスクパターンを変形させておくものである。一方の補助露光方は露光パターンの粗密によって生じる後方散乱の不均一な影響を補助露光によって均一化し所望の寸法を得る方法である。
【0005】
パターン変形法では一旦適正なマスクが出来上がった後は露光時は近接効果を考慮することなく露光を実行できるため露光のスループットを低下しないという利点がある。しかし近接効果によるパターン変形を予測したマスクパターンの変形量の計算は複雑であり長時間の計算機処理を要するという欠点を持つ。この理由はパターン変形に伴い露光面積が変化するため後方散乱の影響もまた変化することになり、パターン面積の変化がなくなるまで繰り返し収束計算をする必要があるためである。また仕上がったマスクパターンは同一の設計寸法であっても近傍のパターンとの位置関係によって同一の寸法とはならないため、マスク検査においては多くの検査点を必要とする。これらことはマスクコストの高騰を招き、結果としてリソグラフィコストの上昇をもたらすものである。
【0006】
他方、補助露光法においては、非特許文献2に示されるように設計パターンを白黒反転したパターンを概ね後方散乱範囲に等しいビーム径を持つ電子ビームで描画することで後方散乱の均一化が図れる。この方式は複雑な計算を必要としないという利点があるが2度露光によるスループット低下とパターンのないところに露光するために露光の堆積エネルギーコントラスト(以下露光コントラストと呼ぶ)が低下することが欠点である。またこれまでの補助露光法では後方散乱の影響のみ考慮され、ビームブラーやレジスト中での前方散乱による寸法誤差は無視されることが多かった。
【0007】
補助露光法の2回目の露光を高速化する手法として、特許文献1に開示されている方式がある。この方式は補助露光のための白黒反転したパターンをそのまま露光するのではなく複数のパターンを代表図形と呼ぶ1個のパターンで置換えて露光する方式である。補助露光は大きなビーム径を持った電子ビームで露光することになるため実質的に補助露光の効果に差がなく露光ショット数を低減できるため補助露光時間が低減できるというものである。さらに露光コントラストの低減を改善する手法として、特許文献2が提案されている。この方式は白黒反転したパターンの区分域内のパターン全体を合計した面積から一律に一定面積を減算した面積を用いる手法である。また同様の方法として、非特許文献3に提案されている方法がある。この手法は小領域(区分領域と同じ)内のパターン面積率η(面積密度と同じ)を計算しその最大値ηmaxを求めた後ηmax−ηに比例した露光量を小領域とほぼ等しいビーム径を持った電子ビームで補助露光するというものである。これらの手法では補助露光のショット数を低減できるため補助露光の時間短縮には一定の効果があるものの露光コントラストの向上は十分でなかった。なぜならば小領域は2〜5μm程度であるためLSIの中には比較的大きなパターンも存在し容易にηmax=1となる。従ってコントラスト低減を抑えるため補助露光量を一律に減らすことができなくなってしまうためである。
【0008】
この問題を解決するために本発明者らによって示される方法が特許文献3に開示されている。ここでは区分領域内の面積密度より周辺区分領域のパターンの後方散乱の影響を考慮した実効面積密度マップを用いて補助露光量を決定するというものである。5μm程度の区分領域内のパターン面積密度が1.0であっても周辺にパターンが存在しない場合には例えば加速電圧100kVの露光においては後方散乱範囲は約30μmであるので実効面積密度は0.1以下になることがある。このため補助露光量を大幅に低減でき露光コントラストの向上に大きな効果がある。しかしながらこの場合においても、後方散乱範囲30μmの約3倍以上の非常に大きなパターンがLSIパターン内に存在すると実効面積密度が1に近くなるためコントラスト向上の効果はなかった。また補助露光量が最も大きくなる領域は、主露光パターンのない領域であるが補助露光の電子ビームのビームブラーが大きい場合には少なくともビームブラーの3倍程度(約90μm)離れた領域からの影響を受けるためパターンのない領域への補助露光も必要であった。このため主露光パターンが疎な場合には主露光パターンのない領域への補助露光が多くなるという無駄があった。
【0009】
【特許文献1】
特開平4−212407号公報
【特許文献2】
特開平6−208944号公報
【特許文献3】
特開2002−373841号公報
【非特許文献1】
「ジャパニーズ・ジャーナル・オブ・アプライド・フィジックス(Japanese Journal of Applied Physics)」、1995、Vol.34 Part 1、 No. 12B(December)、p.6658−6662
【非特許文献2】
「ジャーナル・オブ・アプライド・フィジックス(Journal of Applied Physics)」、1983年、Vol.54No. 6 (June)、p.3573−3581
【非特許文献3】
「SUPER−GHOST露光法の検討」、1991年秋季応用物理学会講演会予稿集(第2分冊)、1991年、講演番号12a−ZE−9、p.594
【非特許文献4】
「ジャーナル・オブ・バキューム・サイエンス・アンド・テクノロジー(Journal of Vacuum Science and Technology)」、2002年、Vol.B20 No.6(November/December)、p.3015−3020
【0010】
【発明が解決しようとする課題】
上記従来技術は電子ビーム露光における近接効果の補正方法を提案しているが、補助露光を用いた場合に問題となるビームブラーやレジスト中での前方散乱による寸法誤差やスループット低下と露光コントラストの劣化の問題を解決する十分な手法を提示していなかった。
【0011】
本発明の目的は電子ビーム露光における近接効果を露光コストの上昇と露光コントラストの劣化を最小限に抑えて高精度なパターンを得ることが可能なパターン形成方法を提供することにある。特に大面積領域を一括でマスク転写する露光方式で適用が可能な補助露光により後方散乱の不均一な分布を均等化する方式において、高精度な近接効果補正を低露光コストで実現するパターン形成方式を提供するものである。また従来の方法では大面積パターン間に挟まれた微細なスペースパターンは後方散乱によるエネルギーレベルがレジストの閾値エネルギーレベルを超えてしまうため解像することができなかった問題を解決する方法を提供する。
【0012】
【課題を解決するための手段】
上記の目的を達成するために、本発明のパターン形成方法では主たるパターンを第1の電子ビームで露光する工程と、第1の電子ビームのパターン粗密に起因する後方散乱による不均一なエネルギーレベルを第2の電子ビームを用い補助露光することで均一化する露光方法において、第1の電子ビームより低い加速電圧を持つ第2の電子ビームにより2次元的に一定ピッチpで補助露光を行なうものである。この時、第1の電子ビームの露光基板内での後方散乱範囲をb1とし、第2の電子ビームの露光基板内での後方散乱範囲をb2とする時、ピッチpをb1より小さく選び、b2がピッチpより小さくなるように第2の電子ビームの加速電圧を選ぶこととする。ここで、b1、b2は点入射の電子ビームによる後方散乱の堆積エネルギー分布がガウス分布の和で表されると仮定した時、後方散乱による堆積エネルギー強度が入射点の1/e(eは約2.71828)となる点までの距離である。補助露光を第1の電子ビームの後方散乱範囲b1より小さい2次元的な一定のピッチpで行なうことにより後方散乱による堆積エネルギーの分布を滑らかに均一化することが可能となり第1の電子ビーム露光のパターンの複雑さにかかわらず高速に補助露光が完了する。また第2の電子ビームの後方散乱範囲b2がピッチpより小さくなる加速電圧を選ぶことで第2の電子ビームの後方散乱の影響は最近接の補助露光ショットで留まるため補助露光量の決定が容易になるという利点を有する。また低い加速電圧の電子ビームではレジストの感度が向上し補助露光時間を短縮できるという利点も併せ持つ。
【0013】
EPL(電子ビーム転写リソグラフィ)方式においては、100kVの加速電圧を用いることが予定されているが、100kV電子ビームの後方散乱範囲b1は約30μmである。EPLは広い面積の一括転写となるためクーロン効果によるビームブラーを低減するためには凡そ70kV以上の加速電圧が必要となる。2kVから100kVまでの電子ビームの後方散乱範囲b(μm)をシミュレーションによって計算した結果を図2に示す。補助露光を一定間隔ピッチpで行なうためにはpは第1の電子ビームの後方散乱によるエネルギーレベル変化の少ないb/3以下とすることが望ましい。従ってEPLの主露光が70kV(b1≒15μm)以上とした時に補助露光のための第2の電子ビームの加速電圧は30kV(b2≒5μm)以下であることが必要となる。また3kV以下の加速電圧ではレジスト厚さが0.3μm程度でも深さ方向でのエネルギー強度分布が不均一となり良好な補助露光が行なえなくなる。このためEPL方式においては補助露光の加速電圧は3kVから30kVが望ましい。
【0014】
補助露光を行なうための露光量は次のようにして決定できる。まず、図3に示すように主露光のパターンデータを一定ピッチpの区分領域に分割し各区分領域内のパターン面積の総和S2を計算する。区分領域全体の面積をS1とする時、(式1)で示すa1を面積密度と呼ぶ。
【0015】
【数1】

Figure 2005019426
主露光の電子ビームによる後方散乱の影響は面積密度a1に依存するが近隣の区分領域内のパターンによっても影響される。そこで影響範囲の区分領域内のパターンの影響を取り込んだ実効面積密度a2を(式2)により定義する。
【0016】
【数2】
Figure 2005019426
ここで、A(k,l)は区分領域(k,l)内にパターンが全面にあった時に区分領域(i,j)に与える後方散乱の影響の比率であり、ガウス分布関数を積分した形で求められる。
【0017】
後方散乱のレベルを均一化するための補助露光量の求め方を簡単のために1次元配列した区分領域の図4を用いて説明する。図4(a)は区分領域内の面積密度a1を示したものである。同図(b)は(式2)に従って近隣パターンの後方散乱の影響を考慮した実効面積密度a2を示したものである。面積密度a1を用いて補助露光をする場合には同図(c)のd1=a1max−a1で計算される補助露光係数に比例した露光量で第1の電子ビームの後方散乱範囲にほぼ等しいビームブラーを持たせて補助露光する必要がある。区分領域iのように一領域でも高い面積密度の領域がある場合にはd1は大きな値となり露光コントラストを低下させることになる。特許文献3では、実効面積密度を用いた補助露光量d2の決定によって補助露光量を低下させ露光コントラストの向上に成功しているが補助露光を行なう電子ビームの加速電圧を考慮していなかったため、電子ビームのビームブラーを大きく取る必要があり、また補助露光の電子ビームによる後方散乱を考慮した区分領域毎の補助露光量を決定するという複雑さがあった。本発明の方法によれば低加速電圧の電子ビームを補助露光として用いるため図4(c)の露光量分布d2の露光量を与えることで所望のエネルギー分布を与えることが可能となる。
【0018】
また、本発明の方法では主露光のパターンに短辺が区分領域の3倍以上の大パターンがある場合には大パターンの中央部を主露光パターンから除外し、大パターンの輪郭領域のみ主露光パターンとして露光し、中央部は後方散乱の均一化のための補助露光と同時に露光することとした。このことにより主露光パターンに実効面積密度の高い区分領域がなくなり、補助露光量を大きく低下できるため露光コントラストの劣化を最小限に抑制できることとなった。主露光より除外された大パターン中央部は低加速の電子ビームによって露光され補助露光の影響は輪郭領域内に留まる(図7参照)。すなわち大パターン中央部の露光は主露光パターンに影響を与えることがない。この結果従来の露光方法では解像が不可能であった大面積パターンに挟まれた微細スペースパターンの解像が可能となる。
【0019】
さらにまた、従来の方法では補助露光での最大の露光量は設計パターン上でパターンのないところ(すなわち面積密度が0の領域)で発生する。LSIパターンのチップ周辺ではこのような領域が存在するため補助露光の露光時間を増大させていた。しかし従来法では補助露光のビームブラーと後方散乱範囲が大きいため設計パターン上でパターンのない領域への補助露光も主露光パターンの補正に効果を持つためこれらの領域への補助露光をなくすことはできなかった。本発明の方法では低加速の電子ビームでビームブラーを小さくしているため、主露光パターンのない領域への補助露光は基本的には必要がない。ただし、主露光パターンの境界で補助露光のビームブラーと後方散乱の影響が及ぶ最低限の領域への補助露光は必要となる。これは一定ピッチpで定めた区分領域毎への補助露光を実施する場合、主露光パターンの境界からパターンのない領域に向かって距離pだけ離れた点までの領域を含む区分領域への補助露光を実施すればよい。主露光パターンのない領域でそれ以外の区分領域への補助露光は必要がない。このため補助露光時間の短縮が図られ補助露光のスループットを大きく向上することに役立つものである。
【0020】
電子線の露光方式にはベクタースキャン露光方式とラスタースキャン方式がある。ベクタースキャン方式は露光が必要な領域のみに電子線を偏向して露光を実行する方式である。一方ラスタースキャン方式は露光の要・不要の領域の区別なく電子線を一定のピッチで偏向し露光不要の領域では単に露光量を「0」にするだけである。本発明ではいずれの露光方式においても補助露光を実行することができるが、元々補助露光を一定ピッチで行うことからラスタースキャン方式の方が適合性が高いと言える。
【0021】
【発明の実施の形態】
<実施例1>
以下、本発明の第1の実施例を図1を用いて説明する。図1は主露光として100kVの電子ビームを用いるEPL露光に本発明を適用した例を示すものである。チップサイズ20mm角で65nmルールLSIのゲートパターンデータ101より80nm以下の寸法を持つパターンについてはビームブラーと前方散乱による寸法誤差を補正するためにパターンの縦横比(アスペクト比)に応じて寸法補正処理102を行なった。次にドーナツ状パターンのように後のステンシルマスク作成で欠落するパターン等を防ぐため2枚の相補マスク用にデータを分割した(103)。これを主露光パターン104としてレチクル105を作成した。図1においてはその後の工程は簡単のために相補マスクの1枚について記載している。
【0022】
主露光の電子ビームの加速電圧100kVの場合のシリコン基板内での後方散乱範囲b1は約30μmであった。ここでb1は100kVの電子ビームが1点に入射した時に後方散乱による半径方向に分布するエネルギー強度をガウス分布で近似した時に、最大強度位置から1/e(eは約2.71828)になる距離である。LSIデータ101を1辺が(b1より小さい)5μmの正方形を持つ区分領域に分割した(106)。区分領域内パターンの面積の総和と区分領域の面積25μmとの比を面積密度と定義し20mm角全域についてマップの形態で保存したものを面積密度マップ107とした。区分領域内の面積密度を1バイト(=8ビット)で表現したため20mm角全体の面積密度マップは16Mバイトのファイル容量を必要とした。
【0023】
次に近隣の区分領域内のパターンの後方散乱の影響をとり込むため(式2)で示した計算を各区分領域の面積密度に対して行なった。この時用いたA(k,l)の値を図5に示す。誤差関数を含んだ係数であるが、区分領域の大きさpと後方散乱範囲b1が定まればA(k,l)は固定のテーブルであるため後方散乱の影響とり込みは単なる積和の計算となる。この処理は初期の面積密度マップの分布を滑らかなものとするため面積密度マップの平滑化108と呼ぶ。また計算後の区分領域内の値a2は近隣のパターンの影響が考慮されたものであるため実効面積密度と呼ぶことにする。
【0024】
次に実効面積密度の最大値a2maxを求め、区分領域内の補助露光量を(式3)のように決定する。
【0025】
【数3】
Figure 2005019426
ηは主露光の露光基板での反射係数、rは補助露光の電子ビームでのレジスト感度と主露光の電子ビームでのレジスト感度との比である。本実施例の場合、主露光の加速電圧を100kV、補助露光の加速電圧を10kVと選んだ結果、η=0.7、r=1/9となりc1=0.078であった。(式3)で計算した補助露光量を2次元マップ110として補助露光装置111内に保存した。
【0026】
実際の露光に当たってはレジストを塗布したシリコンウエハ112をまず補助露光装置111に搬送し、露光に先立ち露光基板に刻まれた位置合わせマークを光学的に読み取り露光位置の補正が行なわれた。この時位置決めの誤差は0.5μm以下となるよう制御した。電子ビームは加速電圧が10kVであり、5μm×5μmの面積ビームをデフォーカスし、補助露光量マップ110を参照しながら5μmの一定ピッチで露光を実施した。デフォーカス量は面積ビームがガウス分布を持った点状ビームの集合であるとした時に強度が1/eとなる大きさで1.0μmのビームブラーを持つものとした。補助露光が完了した後ウエハを主露光装置113に搬送し、主露光に先立ち位置合わせマークを光学的に読み取り露光位置の補正が行なわれた。この時の位置検出の再現性は15nmであり主露光での露光位置補正により位置決めの誤差を30nm以下となるよう制御した。ステンシル型のレチクル114を介して100kVの加速電圧の電子ビームで主露光を実施した。露光が完了したウエハ115は通常のウエハ処理と同じように露光後ベーク工程、現像工程を経て所望のパターンを得た。この時補助露光と主露光の順序は逆でもよく、また両露光間に露光後ベークを入れることもできる。
【0027】
<実施例2>
次に本発明の第2の実施例を図6を用いて説明する。図6は入力パターンデータに後方散乱範囲b1の2倍以上の大パターンがある場合に主露光を100kV電子ビームによるEPL露光を用い、補助露光として16kV電子ビームを用いた近接効果を補正する方法を示したものである。大パターンがある場合には実効面積密度の最大値が1.0に近くなるため補助露光量が増大し露光コントラストが劣化するという問題が発生する。
【0028】
本実施例ではまず入力パターンデータ601を5μm角の区分領域に分割した(602)。次に5μm角の区分領域全面のパターンが3×3個以上連続してある領域を大パターンとした。大パターンの輪郭に沿って全面パターンのある区分領域を少なくとも1個残しその内部を大パターン中央部603として抽出した。入力パターン601より大パターン中央部603を除いたパターンを主露光パターン604とした。主露光パターンにはドーナツ状パターン等相補マスクに分割する必要のあるパターンが存在するがその分割法は例えば、非特許文献4にて公知の技術であるのでここでは省略する。区分領域内のパターン面積密度a1i,jを計算し面積密度マップとして保存した(605)。面積密度マップを参照してクーロン効果によるビームブラー分布607を予測し、ビームブラー及びレジスト中の前方散乱起因の寸法誤差をパターン形状を変形することで補正を行なった(606)。パターン変形による区分領域内の面積密度が規定値以上変化する場合には面積密度マップを再作成しパターン変形の再処理を行なう。次に相補マスクに分割した場合には相補データ608も含めて実施例1と同様の方法にて実効面積密度マップa1i,jを作成した(609)。次に実効面積密度の最大値a2maxを検出し、次式により区分領域への第1の補助露光量1Di,jを計算し2次元の補助露光量マップ1として保存した(610)。
【0029】
【数4】
Figure 2005019426
本実施例では後述の説明を分かりやすくするため(式3)で示したr=1としているが実際の補助露光に当たっては第2の電子ビームの加速電圧でのレジスト感度を考慮する必要がある。
【0030】
一方、大パターン中央部からなるパターン603についても同一の区分領域について面積密度a1i,jを計算し2次元マップ611を作成した。2次元マップ611は大パターン中央部の面積密度が1.0、その他の領域が0という単純なものとなる。次に大面積中央部への補助露光量を
【0031】
【数5】
Figure 2005019426
で計算し補助露光量マップ2として保存した(612)。ここでc2は大パターン中央部の露光量を独立に設定するための係数であり通常は1.0以上が選ばれる。最終的な補助露光マップは
【0032】
【数6】
Figure 2005019426
として計算した(613)。
【0033】
パターン変形の完了した主露光パターン606よりEPL用のレチクル614を作成し、EPL露光615を実行した。また補助露光量マップ613を参照して16kVの電子ビームによる補助露光616を行なった。
【0034】
本実施例では主露光の加速電圧を100kV、補助露光の加速電圧を16kVに選んだためそれぞれの後方散乱範囲はb1が約30μmであり、b2が約1.5μmであった。図7に代表的なパターンの実効面積密度分布と補助露光量分布を示す。
【0035】
図7(a)は80μm角の大パターン702、ライン/スペースパターン703、孤立ラインパターン704を含むパターン701をそのまま主露光パターンとして露光した場合である。この時は実効面積密度の最大値a2max=0.85であったので補助露光の最大値はη・a2max=0.7×0.85=0.595であった。このため、約0.4の実効面積密度を持つライン/スペースパターン703の領域へも0.7×(0.85−0.4)=0.315の補助露光が実施されることになり露光コントラストの劣化が問題であった。
【0036】
一方、図7(b)のように大パターンの輪郭5μmを主露光パターン706とした本実施例では実効面積密度の最大値はライン/スペースパターン707の領域で定まりa2max=0.4であった。この結果ライン/スペースパターン707中央部への補助露光が0となりまた孤立ラインパターン708への補助露光量も低減するため露光コントラストの劣化を生じることがなかった。
【0037】
<実施例3>
図8は本発明の方法をシステムLSIのゲート層に適用した第3の実施例を示す図である。LSIチップ801の領域毎に回路の機能が異なっており論理回路の領域Aではパターンの面積密度が0.15と比較的小さく、メモリの領域Bでは約0.4の面積密度を持っている。その中間領域Cは別層での配線領域となっているため面積密度は0に近い。このLSIチップを5μm角の区分領域に分割し面積密度マップを作成した。面積密度マップのうちBB−AAの線に沿っての面積密度をグラフで表したものが図8(b)の802の線である。後方散乱の影響を取り込んで実効面積密度を計算した結果を同図(b)の803の線で示す。実効面積密度より補助露光量を求めると同図(c)のようになる。図より明らかなようにパターンのない領域Cへの補助露光量が最大であるため、領域Cが大きい場合には補助露光時間は領域Cで定まることになる。補助露光の加速電圧を主露光と同じ例えば100kVに選んだ場合にはそのデフォーカスした電子ビームと後方散乱の影響のため半径約90μm(=3・b1)の領域に影響を及ぼすためパターンのない領域への補助露光を省略することはできない。
【0038】
本実施例では主露光を100kVの電子ビームで行い、補助露光は10kVの電子ビームで行った。10kVでの後方散乱範囲b2は約0.8μmであるため補助露光の影響を3・b2と見積もっても2.4μmの範囲である。従って領域Cへの補助露光は主露光パターンの境界より外側の1区分領域(5μm)まで行えば十分である。これを図9を用いて説明する。図9は主露光パターンのパターン境界の一部を示したもので太線902がパターン境界線である。パターン全体は1辺がpの区分領域901で分割されている。主露光パターンの境界902を主露光パターンのない方向にpだけずらせた線を903とする時、主露光パターンと903の線が存在する区分領域の内側(主露光パターン側)への補助露光を実施するものとする。図9ではハッチングを施した区分領域への補助露光を実施しそれ以外の区分領域への補助露光を行なわない。このような手法を図8のパターン801で適用した結果の補助露光量が図8(d)である。補助露光量が最大となる領域Cへの補助露光がなくなったため補助露光時間の大幅な短縮が可能となった。
【0039】
大面積パターンの中央部を第2の電子ビームで露光する場合には大パターン中央部は別設定に露光量で行なうものとなる。
【0040】
<実施例4>
図10はさらに異なる第4の実施例を示すものである。実効面積密度の場所的な変化が大きい場合に大面積の電子ビームで補助露光を行なうと補助露光の電子ビームのショット境界で最適な補助露光量との誤差が発生することがあるが、本実施例はその解決策を示すものである。
【0041】
本実施例では第1の実施例と同じく主露光の電子ビームの加速電圧を100kV、補助露光の電子ビームの加速電圧を10kVに選んだ。補助露光の露光間隔は5μmである。実効面積密度の位置的な変化が大きいのは大面積の塗りつぶしパターンが孤立で存在する場合である。この時には実効面積密度が0から最大1近くまで変化する。用いた露光パターンには80μm角のパッド状のパターンがあり大パターンの中央部を主露光パターンとして残したまま実効面積密度の計算を行った結果は最大実効面積密度が0.85であった。5μm間隔の実効面積密度の変化率の最大の点はパッド状パターンの境界であり変化率は0.088/5μmであった。このように実効面積密度の変化が大きい領域で矩形ビームによる補助露光を実施すると補助露光ショット境界近傍で最適補助露光量との誤差を生じることがある。図10(a)は最適な補助露光量とビームブラー0.52μmの矩形ビームによる補助露光を行った時の堆積エネルギー分布を計算したものである。理想的な補助露光量に比べて最大で2.8%の誤差が発生している。この問題の解決には矩形ビームをデフォーカスして補助露光を実施することが有効である。図10(b)は矩形ビームのビームブラーが1.5μmとなるようにデフォーカスして補助露光を行った場合のものである。この場合には最大の誤差が1.0%に低減している。また、同図(c)は補助露光電子ビームのビームブラーを1.5μmとして大パターンの中央部を低加速電圧の電子ビームで露光する方式を採用した時のものである。この時、実効面積密度の最大値はライン/スペースパターン部の0.44で、その変化率は0.044/5μmである。この結果、最大の補助露光誤差は0.5%となった。このように矩形ビームをデフォーカスすることにより実効面積密度の変化が大きな領域においても正しい露光量で補助露光を実施することができる。ただし、ビームブラーの大きさを補助露光のピッチp(この場合5μm)以上にすると補助露光の影響が広範囲に及ぶため第4の実施例で示したような補助露光ショットの削減の効果が少なくなるため注意を要する。
【0042】
<実施例5>
次に、具体的な半導体集積回路装置の製造例を図11を用いて説明する。ここでは、例えばゲートアレイ、スタンダードセル等のようなセミカスタム方式で製造される半導体集積回路装置、半導体基板上にカスタムI/O(Input/Output)回路、カスタム論理回路またはI/F(インターフェイス)制御回路を有する半導体集積回路装置の製造方法に本発明を適用する場合を説明する。図11(a)は、半導体集積回路装置における論理素子の一部を示す平面図である。ここでは2個のnMISQnと、2個のpMISQpとから構成されている。nMISQnは、半導体基板に形成されたp型ウエル領域PWの表面のn型半導体領域11n上に、pMISQpは、n型ウエル領域NWの表面のp型半導体領域11p上に、それぞれ形成されている。ゲート電極12Aは、nMISQnおよびpMISQpに共有となっている。ゲート電極12Aは、例えば低抵抗多結晶シリコンの単体膜、低抵抗多結晶シリコン膜の上部にシリサイド層を設けたポリサイド構造、低抵抗多結晶シリコン膜上に窒化タングステン等のようなバリア膜を介してタングステン等のような金属膜を堆積してなるポリメタル構造あるいは絶縁膜に掘られた溝内に窒化チタン等のようなバリア膜を堆積し、さらにその上に銅等のような金属膜を埋め込むことで形成されたダマシンゲート電極構造で構成されている。ゲート電極12Aの下方の半導体基板部分はチャネル領域となる。
配線13Aは、例えば高電位(例えば3.3Vまたは1.8V程度)側の電源配線であり、コンタクトホールCNTを通じて2個のpMISQpのp型半導体領域11pと電気的に接続されている。また、配線13Bは、例えば低電位(例えば0V程度)側の電源配線であり、コンタクトホールCNTを通じて1個のnMISQnのn型半導体領域11nと電気的に接続されている。配線13Cは、2入力NANDゲート回路の入力配線であり、コンタクトホールCNTを通じてゲート電極12Aの幅広部分で接触し電気的に接続されている。配線13Dは、コンタクトホールCNTを通じてn型半導体領域11nおよびp型半導体領域11pの両方に電気的に接続されている。配線14Aは、コンタクトホールCNTを通じて配線13Dと電気的に接続されている。
ここでは、図11(a)に示す回路作製までの工程のうちゲート層、コンタクトホール層の形成に本発明を適用した例を説明する。まず、ゲート層に使用するマスクデータを図11(b)、(c)に示す。ゲート層は相補データに分割して露光するために2枚のマスク1101および1102を使用している。一方コンタクト層は相補マスクを使用しないため1枚のマスク図11(d)のデータ1103を使用した。
【0043】
ゲート層加工工程以前のリソグラフィ工程は従来のアルゴンフッ素(ArF)レーザー他を用いた光リソグラフィ手段を使用してパターン形成した。
ゲート層の相補マスクデータをそれぞれ10μm角の区分領域に分割し区分領域ごとの面積密度を計算した。次に2枚の相補マスクの面積密度を対応する区分領域毎に面積密度を加算した。次に近接区分領域後方散乱の影響を取り込んだ結果を図示したものが図12(a)、(b)である。同(a)は図11で示した論理回路部のものであり同図(b)は同一チップ内のDRAM部のものである。1メッシュが10μmに対応する。これらの最大の面積密度は0.39であったのでこれより補助露光量を求めた結果が図12(c)、(d)である。
【0044】
ゲート工程の露光に当たってはネガ型の化学増幅レジストを厚さ0.35μmに塗布し、まずEPL露光により相補マスク1で露光を行なった後相補マスク2による露光を行なった。このときの電子線の加速電圧は100kVであり、電子線露光量は10μC/cmであった。露光終了後直ちに加速電圧10kVの補助露光装置に搬送し図12(c)、(d)で示した露光量分布により補助露光を行なった。この時の電子線露光量は最大が0.3μC/cmとした。補助露光完了後直ちに100℃、2分間の露光後ベーク処理を行なった後現像を行なった。エッチング工程は光リソグラフィと同じドライエッチング工程によって行なった。
コンタクト層工程においてはシリコン酸化膜上にポジ型化学増幅電子線レジストを厚さ0.5μmに塗布した後、まずEPL露光により図11(d)を含むマスクを用いて露光を行なった。この時の電子線露光量は8μC/cmであった。次に補助露光装置に搬送し補助露光を行なった。コンタクト層の最大の面積密度は0.2であったので、補助露光の電子線露光量は0.1μC/cmとした。露光後ベーク現像処理はゲート工程と同様である。
【0045】
以降の工程は上記パターン転写方法、あるいは従来のパターン転写方法を用いて行なえばよい。なおリソグラフィ工程以外の工程は従来通り行なえばよい。
以上は、nMISQnおよびpMISQpの製作例である。また、上記は半導体装置の製造方法に関するものであったが、特にそれに制限されることはない。例えば液晶ディスプレイ等、エネルギー線を照射することによって感応基板上にパターン転写を行うものであれば同様な手法を用いることによって応用可能である。
【0046】
【発明の効果】
以上説明したように、本発明のパターン形成方法によれば主たるパターンを第1の電子ビームで露光し近接効果の補正のための補助露光を第2の電子ビームで行う場合、補助露光を一定のピッチpで行い第1の電子ビームの後方散乱範囲をb1、第2の電子ビームの後方散乱範囲をb2とする時、pをb1よりも小さく選び、b2がpより小さくなるような加速電圧の第2の電子ビームを選ぶことで第2の電子ビームの露光による影響の範囲を必要最小限することができる。この結果、補助露光による露光コントラストの低減を最小にして近接効果の補正を行なうことができる。また低加速の補助露光用電子ビームを用いることで高いレジスト感度での補助露光が実施されるため補助露光のスループットを向上することができる。
【0047】
また、補助露光量の決定において周辺パターンの後方散乱の影響を取り込んだ実効面積密度マップを使用することにより、さらに露光コントラストの低下を防止できる。実効面積密度マップの採用によって補助露光用の電子ビームを大きくデフォーカスする必要がなくなる。従来法では必要であった主露光パターンのない領域への補助露光を行う必要がなくなるため補助露光時間の短縮を図ることができる。
【0048】
さらに、主露光パターンに大パターンがある場合には大パターンの中央部を低加速電子ビームで露光することで後方散乱によるエネルギーレベルを大幅に低下できるため従来電子ビーム転写方式では解像が不可能であった微細なスペースパターンも解像可能となる。
【図面の簡単な説明】
【図1】本発明によるパターン形成方法を電子ビーム転写リソグラフィに適用した一実施例を示すもので、主露光パターンレチクルと補助露光パターンデータの形成方法と、ウエハ露光プロセスを示す図。
【図2】シミュレーションより求めた電子ビームの加速電圧と露光基板内での後方散乱範囲の関係を示す図。
【図3】本発明の面積密度を決定するための区分領域の設定及び面積密度の求め方を示す図。
【図4】本発明による区分領域毎の面積密度及び実効面積密度より補助露光量を決定するための方法を示す図。
【図5】本発明の面積密度マップより実効面積密度マップを計算するための係数を示す図。
【図6】本発明の第2の実施例を示す図で、大面積パターンがある場合に補助露光と大パターンの中央部を補助露光電子ビームにより露光するデータ処理方式を説明する図。
【図7】本発明の第2の実施例において代表的なパターンの実効面積密度分布と補助露光量分布を示す図。
【図8】本発明をシステムLSIのゲート層に適用した第3の実施例において、主露光パターンのない領域への補助露光を削除する方式を説明する図。
【図9】本発明の第3の実施例において補助露光を削除する領域を決定する手順を説明する図。
【図10】本発明を面積密度の位置的変化が大きなパターンに適用した第4の実施例を示すもので、補助露光用電子ビームのビームブラーと最適補助露光量の誤差の関係を示す図。
【図11】本発明をセミカスタム方式で製造される半導体集積回路に適用した第5の実施例を示す図。
【図12】第5の実施例において使用した面積密度マップと補助露光量マップを説明する図。
【符号の説明】
101…露光用LSIパターンデータ、
102…電子ビームのビームブラー、前方散乱による寸法誤差を補正する工程、
103…LSIデータを複数の相補パターンデータに分割する工程、
104…主露光パターンデータ、
105…主露光パターン用レチクル(相補パターンがある場合には2枚のレチクル)、
106…LSIデータを一定の区分領域に分割する工程、
107…区分領域内のパターン面積を計算し面積密度マップを作成する工程
108…面積密度マップより近隣パターンの後方散乱の影響を取り込んだ(平滑化処理)実効面積密度マップを計算する工程、
109…実効面積密度マップより補助露光マップを計算する工程、
110…補助露光装置内に設置した補助露光量マップ、
111…補助露光用電子ビーム露光装置、
112…露光前ウエハ、
113…主露光用電子ビーム露光装置、
114…電子ビーム転写用レチクル(相補分割された場合には2枚のレチクル)、
115…露光の完了したウエハ、
601…露光用LSIパターンデータ、
602…パターンデータを区分領域に分割する工程、
603…大パターンの中央部、
604…LSIパターンデータより大パターン中央部を削除した主露光用パターンデータ、
605…主露光用パターンデータの面積密度マップを作成する工程、
606…ビームブラー/前方散乱による寸法誤差を補正する工程、
607…ビームブラーの分布データ、
608…相補パターン分割した時の一方のデータ、
609…実効面積密度マップを作成する工程、
610…補助露光量マップ1を作成する工程、
611…大パターンの中央部データより面積密度マップを作成する工程、
612…補助露光量マップ2を作成する工程、
613…2つの補助露光量マップを合成する工程、
614…主露光用レチクル(相補分割された場合には2枚)を作成する工程、
615…電子ビーム転写(EPL)工程、
616…補助露光工程、
701…LSIパターンデータ、
702…80μm角の大パターン、
703…ライン/スペースパターン、
704…孤立ラインパターン、
705…LSIパターンデータ、
706…大パターンの輪郭部5μmの領域
707…ライン/スペースパターン、
708…孤立ラインパターン、
801…システムLSIのゲート層パターンデータ、
802…5μm角の区分領域に分割した時のAA−BB位置での面積密度の分布
803…後方散乱の影響を取り込んだBB−AA位置での実効面積密度の分布、
領域A…CPUゲートパターンのある領域、
領域B…DRAMゲートパターンのある領域、
領域C…パターンのない領域、
BB−AA…面積密度マップの1次元表示をする場所を示す始点、
901…1辺がpの矩形で分割した区分領域、
902…主露光パターンのパターン境界、
903…主露光パターン境界を主露光パターンのない方向に距離pだけずらせた線、
11n…n型半導体領域、
11p…p型半導体領域、
12A…ゲート電極パターン、
13A,13B,13C,13D…配線パターン、
CNT…コンタクトホールパターン、
14A…配線パターン、
NW…n型ウエル領域、
PW…p型ウエル領域。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a pattern forming method using an electron beam, and in particular, a phenomenon in which dimensional accuracy is deteriorated due to a so-called proximity effect in an electron beam exposure method is a phenomenon of deposition energy of exposure by a first electron beam and exposure by a second electron beam. The present invention relates to a pattern forming method which is reduced by the sum.
[0002]
[Prior art]
In the pattern formation method using an electron beam, the electron beam irradiated on the substrate is scattered within the substrate and returned to the resist to be patterned again in a wide range. Therefore, the deposition energy of the electron beam depends on the pattern size and arrangement in the vicinity. There is a phenomenon of non-uniform distribution. As a result, the finished resist dimension deviates from the design dimension, which is called the proximity effect phenomenon. The range covered by the proximity effect varies depending on the acceleration voltage of the electron beam. For example, electrons irradiated with an acceleration voltage of 100 kV have an influence in the range of 30 μm or more from the irradiation point in the silicon substrate, and change the pattern dimension. Therefore, it is necessary to appropriately correct the proximity effect in order to perform highly accurate exposure.
[0003]
The electron beam lithography method has a problem that the throughput is low because small patterns are successively exposed, but recently, a method of greatly improving the throughput using a large area transfer mask has been put into practical use. (For example, Non-Patent Document 1) This method is generally called electron beam transfer lithography (hereinafter abbreviated as EPL). In this EPL, a range to be exposed at once is called a subfield, and the subfield is about 250 μm square. In the above example, the range covered by the proximity effect is a radius of about 30 μm, so that the proximity effect in the subfield appears non-uniformly depending on the arrangement of the patterns. For this reason, it is impossible to use a method for obtaining an optimum pattern dimension by changing the exposure amount for each exposure pattern, which has been generally used in the electron beam direct writing method without using a transfer mask.
[0004]
A pattern deformation method and an auxiliary exposure method have been proposed as proximity effect correction methods in the EPL method, but each has advantages and disadvantages. In the pattern deformation method, the mask pattern is deformed in advance by predicting that the pattern is deformed after exposure by the proximity effect. One auxiliary exposure method is a method in which the non-uniform influence of backscattering caused by the density of the exposure pattern is made uniform by auxiliary exposure to obtain a desired dimension.
[0005]
The pattern deformation method has an advantage that, after an appropriate mask is completed, exposure can be executed without considering the proximity effect during exposure, so that the exposure throughput is not reduced. However, the calculation of the deformation amount of the mask pattern, which predicts the pattern deformation due to the proximity effect, is complicated and has the disadvantage of requiring a long computer processing. This is because the influence of backscattering also changes because the exposure area changes with pattern deformation, and it is necessary to repeatedly perform convergence calculation until there is no change in the pattern area. Further, even if the finished mask pattern has the same design dimension, the mask pattern does not have the same dimension due to the positional relationship with the neighboring pattern. Therefore, many inspection points are required in the mask inspection. These cause an increase in mask cost, resulting in an increase in lithography cost.
[0006]
On the other hand, in the auxiliary exposure method, as shown in Non-Patent Document 2, backscattering can be made uniform by drawing a pattern obtained by reversing the design pattern in black and white with an electron beam having a beam diameter substantially equal to the backscattering range. This method has the advantage of not requiring complicated calculations, but has the disadvantages of lowering the throughput due to double exposure and lowering the deposition energy contrast of exposure (hereinafter referred to as exposure contrast) in order to expose the area where there is no pattern. is there. In addition, in the conventional auxiliary exposure method, only the influence of backscattering is considered, and dimensional errors due to forward scattering in beam blur and resist are often ignored.
[0007]
As a technique for speeding up the second exposure of the auxiliary exposure method, there is a method disclosed in Patent Document 1. In this method, the black-and-white inverted pattern for auxiliary exposure is not exposed as it is, but a plurality of patterns are replaced with one pattern called a representative figure for exposure. Since the auxiliary exposure is performed with an electron beam having a large beam diameter, there is substantially no difference in the effect of the auxiliary exposure, and the number of exposure shots can be reduced, so that the auxiliary exposure time can be reduced. Further, Patent Document 2 has been proposed as a technique for improving the reduction of exposure contrast. This method is a method using an area obtained by subtracting a certain area uniformly from the total area of all the patterns in the divided area of the black and white reversed pattern. As a similar method, there is a method proposed in Non-Patent Document 3. This method calculates the pattern area ratio η (same as the area density) in a small region (same as the segmented region) and calculates the maximum value η max After obtaining η max An auxiliary exposure is performed with an electron beam having a beam diameter substantially equal to a small area, with an exposure amount proportional to -η. Although these methods can reduce the number of auxiliary exposure shots, there is a certain effect in shortening the auxiliary exposure time, but the exposure contrast is not sufficiently improved. Because the small area is about 2 to 5 μm, there is a relatively large pattern in the LSI, and η max = 1. Therefore, the auxiliary exposure amount cannot be reduced uniformly to suppress the reduction in contrast.
[0008]
In order to solve this problem, a method shown by the present inventors is disclosed in Patent Document 3. Here, the auxiliary exposure amount is determined using an effective area density map that takes into account the influence of backscattering of the pattern of the peripheral divided area from the area density in the divided area. Even if the pattern area density in the segmented region of about 5 μm is 1.0 but there is no pattern in the periphery, for example, in the exposure with an acceleration voltage of 100 kV, the backscattering range is about 30 μm, so the effective area density is 0. May be 1 or less. As a result, the amount of auxiliary exposure can be greatly reduced, which has a great effect on improving the exposure contrast. However, even in this case, when a very large pattern of about 3 times or more of the backscattering range of 30 μm is present in the LSI pattern, the effective area density is close to 1, so there is no effect of improving the contrast. The area where the auxiliary exposure amount is the largest is an area where there is no main exposure pattern. However, when the beam blur of the electron beam for auxiliary exposure is large, the influence is from at least about three times the beam blur (about 90 μm). In order to receive the light, auxiliary exposure to an area without a pattern was also necessary. For this reason, when the main exposure pattern is sparse, there is a waste of increasing auxiliary exposure to an area without the main exposure pattern.
[0009]
[Patent Document 1]
JP-A-4-212407
[Patent Document 2]
JP-A-6-208944
[Patent Document 3]
Japanese Patent Laid-Open No. 2002-373441
[Non-Patent Document 1]
“Japanese Journal of Applied Physics”, 1995, Vol. 34 Part 1, no. 12B (December), p. 6658-6666
[Non-Patent Document 2]
“Journal of Applied Physics”, 1983, Vol. 54No. 6 (June), p. 3573-3581
[Non-Patent Document 3]
“Examination of SUPER-GHOST Exposure Method”, Proceedings of the 1991 Autumn Meeting of Applied Physics (2nd volume), 1991, Lecture No. 12a-ZE-9, p. 594
[Non-Patent Document 4]
“Journal of Vacuum Science and Technology”, 2002, Vol. B20 No. 6 (November / December), p. 3015-3020
[0010]
[Problems to be solved by the invention]
Although the above prior art has proposed a method for correcting the proximity effect in electron beam exposure, there is a problem with beam blur and resist that cause problems when using auxiliary exposure. Did not present enough techniques to solve the problem.
[0011]
SUMMARY OF THE INVENTION An object of the present invention is to provide a pattern forming method capable of obtaining a high-precision pattern by minimizing an increase in exposure cost and deterioration of exposure contrast as a proximity effect in electron beam exposure. Pattern formation method that realizes high-precision proximity effect correction at low exposure cost, especially in the method that equalizes the non-uniform distribution of backscattering by auxiliary exposure, which can be applied with the exposure method that transfers masks over large areas at once. Is to provide. In addition, a fine space pattern sandwiched between large-area patterns in the conventional method provides a method for solving the problem that the energy level due to backscattering could not be resolved because the threshold energy level of the resist was exceeded. .
[0012]
[Means for Solving the Problems]
In order to achieve the above object, in the pattern forming method of the present invention, a main pattern is exposed with a first electron beam, and a non-uniform energy level due to backscattering due to the pattern density of the first electron beam is obtained. In an exposure method for uniformizing by performing auxiliary exposure using a second electron beam, auxiliary exposure is performed two-dimensionally at a constant pitch p with a second electron beam having an acceleration voltage lower than that of the first electron beam. is there. At this time, when the back scattering range of the first electron beam in the exposure substrate is b1, and the back scattering range of the second electron beam in the exposure substrate is b2, the pitch p is selected to be smaller than b1, and b2 The acceleration voltage of the second electron beam is selected so that is smaller than the pitch p. Here, when b1 and b2 are assumed that the deposition energy distribution of backscattering by the electron beam incident on the point is expressed by the sum of the Gaussian distribution, the deposition energy intensity by backscattering is 1 / e (e is about 2.71828) is the distance to the point. By performing the auxiliary exposure at a constant two-dimensional pitch p smaller than the first electron beam backscattering range b1, the distribution of deposition energy due to backscattering can be made smooth and uniform. The auxiliary exposure is completed at high speed regardless of the complexity of the pattern. Further, by selecting an acceleration voltage in which the backscattering range b2 of the second electron beam is smaller than the pitch p, the influence of the backscattering of the second electron beam remains at the closest auxiliary exposure shot, so that the auxiliary exposure amount can be easily determined. Has the advantage of becoming. In addition, an electron beam with a low accelerating voltage has the advantages of improving the sensitivity of the resist and shortening the auxiliary exposure time.
[0013]
In the EPL (electron beam transfer lithography) system, it is planned to use an acceleration voltage of 100 kV, but the backscattering range b1 of the 100 kV electron beam is about 30 μm. Since EPL performs batch transfer over a wide area, an acceleration voltage of about 70 kV or more is required to reduce beam blur due to the Coulomb effect. FIG. 2 shows the result of calculating the backscattering range b (μm) of the electron beam from 2 kV to 100 kV by simulation. In order to perform auxiliary exposure at a constant interval pitch p, it is desirable that p is not more than b / 3 where the energy level change due to backscattering of the first electron beam is small. Therefore, when the main exposure of the EPL is 70 kV (b1≈15 μm) or more, the acceleration voltage of the second electron beam for auxiliary exposure needs to be 30 kV (b2≈5 μm) or less. On the other hand, at an acceleration voltage of 3 kV or less, even if the resist thickness is about 0.3 μm, the energy intensity distribution in the depth direction becomes non-uniform and good auxiliary exposure cannot be performed. Therefore, in the EPL method, the acceleration voltage for auxiliary exposure is preferably 3 kV to 30 kV.
[0014]
The exposure amount for performing the auxiliary exposure can be determined as follows. First, as shown in FIG. 3, the pattern data of the main exposure is divided into divided areas with a constant pitch p, and the sum S2 of the pattern areas in each divided area is calculated. When the area of the entire segmented region is S1, a1 shown in (Expression 1) is called area density.
[0015]
[Expression 1]
Figure 2005019426
Although the influence of backscattering by the electron beam of main exposure depends on the area density a1, it is also affected by the pattern in the adjacent divided region. Therefore, the effective area density a2 that takes in the influence of the pattern in the divided region of the influence range is defined by (Expression 2).
[0016]
[Expression 2]
Figure 2005019426
Here, A (k, l) is the ratio of the influence of backscattering on the segmented region (i, j) when the pattern is entirely on the segmented region (k, l), and the Gaussian distribution function is integrated. Required in form.
[0017]
For the sake of simplicity, the method for obtaining the auxiliary exposure amount for making the backscattering level uniform will be described with reference to FIG. FIG. 4A shows the area density a1 in the segmented region. FIG. 4B shows the effective area density a2 in consideration of the influence of the backscattering of the neighboring pattern according to (Equation 2). When auxiliary exposure is performed using the area density a1, d1 = a1 in FIG. max It is necessary to carry out auxiliary exposure with a beam blur substantially equal to the backscattering range of the first electron beam with an exposure amount proportional to the auxiliary exposure coefficient calculated by -a1. When there is a region with a high area density even in one region, such as the segmented region i, d1 becomes a large value and the exposure contrast is lowered. In Patent Document 3, although the auxiliary exposure amount is reduced by determining the auxiliary exposure amount d2 using the effective area density and the exposure contrast is successfully improved, the acceleration voltage of the electron beam for performing the auxiliary exposure is not considered. It is necessary to increase the beam blur of the electron beam, and there is a complexity of determining the auxiliary exposure amount for each divided region in consideration of backscattering by the electron beam of auxiliary exposure. According to the method of the present invention, since an electron beam having a low acceleration voltage is used as auxiliary exposure, a desired energy distribution can be provided by giving an exposure amount of the exposure amount distribution d2 in FIG.
[0018]
In the method of the present invention, when the main exposure pattern has a large pattern whose short side is three times or more of the divided area, the central portion of the large pattern is excluded from the main exposure pattern, and only the outline area of the large pattern is exposed. The exposure was performed as a pattern, and the central portion was exposed simultaneously with the auxiliary exposure for uniform backscattering. As a result, the main exposure pattern has no section area with a high effective area density, and the auxiliary exposure amount can be greatly reduced, so that deterioration of exposure contrast can be minimized. The central portion of the large pattern excluded from the main exposure is exposed by a low acceleration electron beam, and the influence of the auxiliary exposure remains in the contour region (see FIG. 7). That is, the exposure at the center of the large pattern does not affect the main exposure pattern. As a result, it is possible to resolve a fine space pattern sandwiched between large-area patterns that cannot be resolved by the conventional exposure method.
[0019]
Furthermore, in the conventional method, the maximum exposure amount in the auxiliary exposure occurs where there is no pattern on the design pattern (that is, a region where the area density is 0). Since such a region exists around the chip of the LSI pattern, the exposure time of auxiliary exposure has been increased. However, in the conventional method, the auxiliary exposure beam blur and the backscattering range are large, so the auxiliary exposure to areas without patterns on the design pattern is also effective in correcting the main exposure pattern, so it is impossible to eliminate the auxiliary exposure to these areas. could not. In the method of the present invention, since the beam blur is reduced with a low acceleration electron beam, auxiliary exposure to an area without a main exposure pattern is basically unnecessary. However, it is necessary to perform auxiliary exposure to the minimum area where the influence of the auxiliary exposure beam blur and back scattering is affected at the boundary of the main exposure pattern. In this case, when the auxiliary exposure is performed for each of the divided areas determined at a constant pitch p, the auxiliary exposure to the divided areas including the area from the boundary of the main exposure pattern to the point away from the patternless area by the distance p. Should be implemented. There is no need for auxiliary exposure to the other divided areas in the area without the main exposure pattern. For this reason, the auxiliary exposure time is shortened, which is useful for greatly improving the throughput of the auxiliary exposure.
[0020]
The electron beam exposure method includes a vector scan exposure method and a raster scan method. The vector scan method is a method in which exposure is performed by deflecting an electron beam only in an area that requires exposure. On the other hand, in the raster scan method, the electron beam is deflected at a constant pitch regardless of whether exposure is necessary or unnecessary, and the exposure amount is simply set to “0” in the exposure unnecessary area. In the present invention, auxiliary exposure can be executed in any of the exposure methods. However, since the auxiliary exposure is originally performed at a constant pitch, it can be said that the raster scan method is more compatible.
[0021]
DETAILED DESCRIPTION OF THE INVENTION
<Example 1>
Hereinafter, a first embodiment of the present invention will be described with reference to FIG. FIG. 1 shows an example in which the present invention is applied to EPL exposure using a 100 kV electron beam as main exposure. For a pattern having a chip size of 20 mm square and a size of 80 nm or less from the gate pattern data 101 of the 65 nm rule LSI, a dimension correction process is performed according to the aspect ratio (aspect ratio) of the pattern in order to correct a dimensional error due to beam blur and forward scattering. 102. Next, the data was divided into two complementary masks in order to prevent a pattern missing in the subsequent stencil mask creation such as a donut-shaped pattern (103). A reticle 105 was created using this as the main exposure pattern 104. In FIG. 1, the subsequent steps are shown for one complementary mask for the sake of simplicity.
[0022]
The backscattering range b1 in the silicon substrate when the acceleration voltage of the main exposure electron beam was 100 kV was about 30 μm. Here, b1 is 1 / e (e is about 2.71828) from the maximum intensity position when the energy intensity distributed in the radial direction by backscattering is approximated by a Gaussian distribution when a 100 kV electron beam is incident on one point. Distance. The LSI data 101 was divided into segmented areas having a square of 5 μm on one side (smaller than b1) (106). Total area of pattern in segmented area and area of segmented area 25μm 2 The area density map 107 is defined as the area density and is stored in the form of a map for the entire 20 mm square area. Since the area density in the segmented area was expressed by 1 byte (= 8 bits), the area density map of the entire 20 mm square required a file capacity of 16 Mbytes.
[0023]
Next, the calculation shown in (Equation 2) was performed on the area density of each divided region in order to incorporate the influence of the backscattering of the pattern in the adjacent divided region. The value of A (k, l) used at this time is shown in FIG. Although it is a coefficient including an error function, if the size p of the segmented region and the backscattering range b1 are determined, A (k, l) is a fixed table, so the influence of backscattering is simply calculated as a product sum. It becomes. This process is referred to as area density map smoothing 108 in order to smooth the distribution of the initial area density map. Further, the value a2 in the divided area after calculation is referred to as effective area density because the influence of neighboring patterns is taken into consideration.
[0024]
Next, the maximum effective area density a2 max And the auxiliary exposure amount in the divided area is determined as in (Equation 3).
[0025]
[Equation 3]
Figure 2005019426
η is the reflection coefficient at the exposure substrate for main exposure, and r is the ratio of the resist sensitivity for the auxiliary exposure electron beam to the resist sensitivity for the main exposure electron beam. In this example, the acceleration voltage for main exposure was selected to be 100 kV and the acceleration voltage for auxiliary exposure was selected to be 10 kV. As a result, η = 0.7, r = 1/9, and c1 = 0.078. The auxiliary exposure amount calculated by (Equation 3) was stored in the auxiliary exposure apparatus 111 as a two-dimensional map 110.
[0026]
In actual exposure, the silicon wafer 112 coated with a resist was first transported to the auxiliary exposure device 111, and an alignment mark engraved on the exposure substrate was optically read prior to exposure to correct the exposure position. At this time, the positioning error was controlled to be 0.5 μm or less. The electron beam had an acceleration voltage of 10 kV, a 5 μm × 5 μm area beam was defocused, and exposure was performed at a constant pitch of 5 μm while referring to the auxiliary exposure dose map 110. The defocus amount is assumed to be 1.0 μm in size with an intensity of 1 / e when the area beam is a set of point beams having a Gaussian distribution. After the auxiliary exposure was completed, the wafer was transferred to the main exposure device 113, and the alignment mark was optically read and the exposure position was corrected prior to the main exposure. The reproducibility of position detection at this time is 15 nm, and the positioning error is controlled to be 30 nm or less by correcting the exposure position in the main exposure. Main exposure was performed with an electron beam having an acceleration voltage of 100 kV through a stencil-type reticle 114. The exposed wafer 115 was subjected to a post-exposure bake process and a development process in the same manner as in normal wafer processing to obtain a desired pattern. At this time, the order of the auxiliary exposure and the main exposure may be reversed, and post-exposure baking may be performed between both exposures.
[0027]
<Example 2>
Next, a second embodiment of the present invention will be described with reference to FIG. FIG. 6 shows a method for correcting the proximity effect using 16 kV electron beam as auxiliary exposure using EPL exposure with 100 kV electron beam as the main exposure when the input pattern data has a large pattern more than twice the backscattering range b1. It is shown. When there is a large pattern, the maximum effective area density is close to 1.0, so that the auxiliary exposure amount increases and the exposure contrast deteriorates.
[0028]
In this embodiment, the input pattern data 601 is first divided into 5 μm square segmented areas (602). Next, a region in which 3 × 3 or more patterns on the entire surface of the 5 μm square section region were continuous was defined as a large pattern. At least one segmented area with the entire surface pattern was left along the outline of the large pattern, and the inside was extracted as the large pattern central portion 603. A pattern obtained by removing the large pattern central portion 603 from the input pattern 601 was defined as a main exposure pattern 604. The main exposure pattern includes a pattern that needs to be divided into complementary masks such as a donut-shaped pattern, but the division method is a technique known in Non-Patent Document 4, for example, and is omitted here. Pattern area density in the segmented area 1 a1 i, j Was calculated and stored as an area density map (605). A beam blur distribution 607 due to the Coulomb effect is predicted with reference to the area density map, and a dimensional error caused by forward scattering in the beam blur and the resist is corrected by changing the pattern shape (606). If the area density in the segmented region due to the pattern deformation changes by more than a specified value, the area density map is recreated and the pattern deformation is reprocessed. Next, when divided into complementary masks, an effective area density map is obtained in the same manner as in the first embodiment, including the complementary data 608. 1 a1 i, j Was created (609). Next, the maximum effective area density 1 a2 max The first auxiliary exposure dose 1Di, j for the segmented area is calculated by the following equation and stored as a two-dimensional auxiliary exposure dose map 1 (610).
[0029]
[Expression 4]
Figure 2005019426
In this embodiment, r = 1 shown in (Equation 3) is used for easy understanding of the following description. However, in actual auxiliary exposure, it is necessary to consider the resist sensitivity at the acceleration voltage of the second electron beam.
[0030]
On the other hand, for the pattern 603 consisting of the central portion of the large pattern, the area density of the same segmented region 2 a1 i, j And a two-dimensional map 611 was created. The two-dimensional map 611 has a simple area density of 1.0 at the center of the large pattern and 0 in other areas. Next, the amount of auxiliary exposure to the center of the large area
[0031]
[Equation 5]
Figure 2005019426
And stored as auxiliary exposure amount map 2 (612). Here, c2 is a coefficient for independently setting the exposure amount at the central portion of the large pattern, and usually 1.0 or more is selected. The final auxiliary exposure map is
[0032]
[Formula 6]
Figure 2005019426
As calculated (613).
[0033]
An EPL reticle 614 was created from the main exposure pattern 606 whose pattern deformation was completed, and EPL exposure 615 was executed. Further, auxiliary exposure 616 using an electron beam of 16 kV was performed with reference to the auxiliary exposure amount map 613.
[0034]
In this example, the acceleration voltage for main exposure was selected to be 100 kV and the acceleration voltage for auxiliary exposure was selected to be 16 kV, so that the respective backscattering ranges were b1 of about 30 μm and b2 of about 1.5 μm. FIG. 7 shows the effective area density distribution and auxiliary exposure amount distribution of a typical pattern.
[0035]
FIG. 7A shows a case where a pattern 701 including a large 80 μm square pattern 702, a line / space pattern 703, and an isolated line pattern 704 is exposed as it is as a main exposure pattern. At this time, the maximum effective area density 1 a2 max = 0.85, so the maximum value of auxiliary exposure is η · 1 a2 max = 0.7 x 0.85 = 0.595. For this reason, 0.7 × (0.85-0.4) = 0.315 auxiliary exposure is also performed on the region of the line / space pattern 703 having an effective area density of about 0.4. Contrast degradation was a problem.
[0036]
On the other hand, as shown in FIG. 7B, the maximum effective area density is determined in the region of the line / space pattern 707 in this embodiment in which the main exposure pattern 706 has a large pattern outline of 5 μm. 1 a2 max = 0.4. As a result, the auxiliary exposure to the center of the line / space pattern 707 becomes zero, and the auxiliary exposure amount to the isolated line pattern 708 is also reduced, so that the exposure contrast is not deteriorated.
[0037]
<Example 3>
FIG. 8 is a diagram showing a third embodiment in which the method of the present invention is applied to the gate layer of a system LSI. The function of the circuit is different for each area of the LSI chip 801. The area A of the logic circuit has a relatively small area density of 0.15, and the area B of the memory has an area density of about 0.4. Since the intermediate region C is a wiring region in another layer, the area density is close to zero. This LSI chip was divided into 5 μm square divided areas to create an area density map. A line 802 in FIG. 8B represents the area density along the line BB-AA in the area density map. The result of calculating the effective area density taking into account the effect of backscattering is indicated by the line 803 in FIG. When the auxiliary exposure amount is obtained from the effective area density, it is as shown in FIG. As is clear from the figure, the amount of auxiliary exposure to the region C having no pattern is the maximum, and therefore the auxiliary exposure time is determined in the region C when the region C is large. When the acceleration voltage of the auxiliary exposure is selected to be the same as the main exposure, for example, 100 kV, there is no pattern because the defocused electron beam and the backscattering affect the region having a radius of about 90 μm (= 3 · b1). Auxiliary exposure to the area cannot be omitted.
[0038]
In this embodiment, main exposure was performed with a 100 kV electron beam, and auxiliary exposure was performed with a 10 kV electron beam. Since the backscattering range b2 at 10 kV is about 0.8 μm, even if the influence of auxiliary exposure is estimated to be 3 · b2, it is a range of 2.4 μm. Therefore, it is sufficient that the auxiliary exposure to the area C is performed up to one section area (5 μm) outside the boundary of the main exposure pattern. This will be described with reference to FIG. FIG. 9 shows a part of the pattern boundary of the main exposure pattern, and the thick line 902 is the pattern boundary line. The entire pattern is divided by a segmented region 901 whose one side is p. When a line obtained by shifting the boundary 902 of the main exposure pattern by p in the direction without the main exposure pattern is 903, auxiliary exposure is performed on the inner side (main exposure pattern side) of the divided area where the main exposure pattern and the line 903 exist. Shall be implemented. In FIG. 9, auxiliary exposure is performed on the hatched divided areas, and auxiliary exposure is not performed on the other divided areas. FIG. 8D shows the auxiliary exposure amount as a result of applying such a method with the pattern 801 in FIG. Since there is no auxiliary exposure to the area C where the auxiliary exposure amount is maximum, the auxiliary exposure time can be greatly shortened.
[0039]
When the central portion of the large area pattern is exposed with the second electron beam, the central portion of the large pattern is separately set with the exposure amount.
[0040]
<Example 4>
FIG. 10 shows a further different fourth embodiment. When auxiliary exposure is performed with a large area electron beam when the effective area density has a large local change, an error from the optimal auxiliary exposure amount may occur at the shot boundary of the electron beam of the auxiliary exposure. An example shows the solution.
[0041]
In this embodiment, the acceleration voltage of the electron beam for main exposure was set to 100 kV and the acceleration voltage of the electron beam for auxiliary exposure was set to 10 kV, as in the first embodiment. The exposure interval of auxiliary exposure is 5 μm. The positional change of the effective area density is large when a large area fill pattern exists in isolation. At this time, the effective area density changes from 0 to a maximum of 1. The exposure pattern used was a pad-shaped pattern of 80 μm square, and the effective area density was calculated while leaving the central portion of the large pattern as the main exposure pattern, and the maximum effective area density was 0.85. The maximum point of the change rate of the effective area density at intervals of 5 μm was the boundary of the pad pattern, and the change rate was 0.088 / 5 μm. As described above, when the auxiliary exposure using the rectangular beam is performed in the region where the change in the effective area density is large, an error from the optimum auxiliary exposure amount may occur in the vicinity of the auxiliary exposure shot boundary. FIG. 10A shows the calculation of the deposition energy distribution when the auxiliary exposure is performed with an optimal auxiliary exposure amount and a rectangular beam having a beam blur of 0.52 μm. There is a maximum error of 2.8% compared to the ideal auxiliary exposure amount. To solve this problem, it is effective to perform the auxiliary exposure by defocusing the rectangular beam. FIG. 10B shows a case where auxiliary exposure is performed with defocusing so that the beam blur of the rectangular beam is 1.5 μm. In this case, the maximum error is reduced to 1.0%. FIG. 5C shows the case where the beam blur of the auxiliary exposure electron beam is 1.5 μm and the central portion of the large pattern is exposed with an electron beam with a low acceleration voltage. At this time, the maximum value of the effective area density is 0.44 of the line / space pattern portion, and the rate of change is 0.044 / 5 μm. As a result, the maximum auxiliary exposure error was 0.5%. By defocusing the rectangular beam in this way, auxiliary exposure can be performed with a correct exposure amount even in a region where the change in effective area density is large. However, if the size of the beam blur is set to the auxiliary exposure pitch p (in this case, 5 μm) or more, the influence of the auxiliary exposure extends over a wide range, and the effect of reducing the auxiliary exposure shot as shown in the fourth embodiment is reduced. Therefore, attention is required.
[0042]
<Example 5>
Next, a specific example of manufacturing a semiconductor integrated circuit device will be described with reference to FIG. Here, for example, a semiconductor integrated circuit device manufactured by a semi-custom method such as a gate array or a standard cell, a custom I / O (Input / Output) circuit, a custom logic circuit or an I / F (interface) on a semiconductor substrate A case where the present invention is applied to a method of manufacturing a semiconductor integrated circuit device having a control circuit will be described. FIG. 11A is a plan view showing a part of the logic element in the semiconductor integrated circuit device. Here, it is composed of two nMISQn and two pMISQp. nMISQn is formed on the n-type semiconductor region 11n on the surface of the p-type well region PW formed on the semiconductor substrate, and pMISQp is formed on the p-type semiconductor region 11p on the surface of the n-type well region NW. The gate electrode 12A is shared by nMISQn and pMISQp. The gate electrode 12A is, for example, a single film of low resistance polycrystalline silicon, a polycide structure in which a silicide layer is provided on the low resistance polycrystalline silicon film, and a barrier film such as tungsten nitride on the low resistance polycrystalline silicon film. A barrier film such as titanium nitride is deposited in a groove formed in a polymetal structure or an insulating film formed by depositing a metal film such as tungsten, and further a metal film such as copper is embedded thereon. The damascene gate electrode structure is formed. The semiconductor substrate portion below the gate electrode 12A becomes a channel region.
The wiring 13A is, for example, a power supply wiring on the high potential (for example, about 3.3V or 1.8V) side, and is electrically connected to the two pMISQp p-type semiconductor regions 11p through the contact holes CNT. The wiring 13B is, for example, a power supply wiring on the low potential (eg, about 0 V) side, and is electrically connected to the n-type semiconductor region 11n of one nMISQn through the contact hole CNT. The wiring 13C is an input wiring of the 2-input NAND gate circuit, and is in contact with and electrically connected to the wide portion of the gate electrode 12A through the contact hole CNT. The wiring 13D is electrically connected to both the n-type semiconductor region 11n and the p-type semiconductor region 11p through the contact hole CNT. The wiring 14A is electrically connected to the wiring 13D through the contact hole CNT.
Here, an example in which the present invention is applied to the formation of the gate layer and the contact hole layer in the steps up to the circuit fabrication shown in FIG. First, mask data used for the gate layer is shown in FIGS. Two masks 1101 and 1102 are used for the gate layer to divide into complementary data for exposure. On the other hand, since the contact layer does not use a complementary mask, a single mask data 1103 in FIG. 11D is used.
[0043]
In the lithography process before the gate layer processing process, pattern formation was performed using a conventional optical lithography method using an argon fluorine (ArF) laser or the like.
The complementary mask data of the gate layer was divided into 10 μm square segmented regions, and the area density for each segmented region was calculated. Next, the area density of the two complementary masks was added for each corresponding segment area. Next, FIGS. 12 (a) and 12 (b) illustrate the results of taking in the influence of the backscattering of the proximity segment area. 11A shows the logic circuit portion shown in FIG. 11, and FIG. 11B shows the DRAM portion in the same chip. One mesh corresponds to 10 μm. Since these maximum area densities were 0.39, the results of obtaining the auxiliary exposure amount from these are shown in FIGS. 12 (c) and 12 (d).
[0044]
In the exposure of the gate process, a negative chemical amplification resist was applied to a thickness of 0.35 μm, and first, exposure was performed with the complementary mask 1 by EPL exposure, and then exposure with the complementary mask 2 was performed. The acceleration voltage of the electron beam at this time is 100 kV, and the electron beam exposure amount is 10 μC / cm. 2 Met. Immediately after the exposure, the film was transferred to an auxiliary exposure apparatus having an acceleration voltage of 10 kV, and auxiliary exposure was performed using the exposure dose distribution shown in FIGS. The maximum electron beam exposure at this time is 0.3 μC / cm 2 It was. Immediately after the completion of the auxiliary exposure, post-exposure baking was performed at 100 ° C. for 2 minutes, followed by development. The etching process was performed by the same dry etching process as photolithography.
In the contact layer process, a positive chemically amplified electron beam resist was applied to a thickness of 0.5 μm on the silicon oxide film, and then exposure was performed by EPL exposure using a mask including FIG. The electron beam exposure at this time is 8 μC / cm 2 Met. Next, it was transported to an auxiliary exposure apparatus and subjected to auxiliary exposure. Since the maximum area density of the contact layer was 0.2, the electron beam exposure amount of auxiliary exposure was 0.1 μC / cm. 2 It was. The post-exposure bake development process is the same as the gate process.
[0045]
Subsequent steps may be performed using the above pattern transfer method or a conventional pattern transfer method. Note that processes other than the lithography process may be performed as usual.
The above is a manufacturing example of nMISQn and pMISQp. The above description relates to a method for manufacturing a semiconductor device, but is not particularly limited thereto. For example, if a pattern transfer is performed on a sensitive substrate by irradiating energy rays, such as a liquid crystal display, it can be applied by using a similar method.
[0046]
【The invention's effect】
As described above, according to the pattern forming method of the present invention, when the main pattern is exposed with the first electron beam and the auxiliary exposure for correcting the proximity effect is performed with the second electron beam, the auxiliary exposure is fixed. When the backscattering range of the first electron beam is b1 and the backscattering range of the second electron beam is b2 at the pitch p, p is selected to be smaller than b1, and the acceleration voltage is such that b2 is smaller than p. By selecting the second electron beam, the range of influence by the exposure of the second electron beam can be minimized. As a result, it is possible to correct the proximity effect while minimizing the reduction in exposure contrast due to auxiliary exposure. Further, by using a low-acceleration electron beam for auxiliary exposure, auxiliary exposure with high resist sensitivity is performed, so that the throughput of auxiliary exposure can be improved.
[0047]
Further, by using an effective area density map that incorporates the influence of the backscattering of the peripheral pattern in the determination of the auxiliary exposure amount, it is possible to further prevent a reduction in exposure contrast. The adoption of the effective area density map eliminates the need for large defocusing of the auxiliary exposure electron beam. The auxiliary exposure time can be shortened because it is no longer necessary to perform the auxiliary exposure to the area without the main exposure pattern, which is necessary in the conventional method.
[0048]
Furthermore, when there is a large pattern in the main exposure pattern, the energy level due to backscattering can be greatly reduced by exposing the central part of the large pattern with a low acceleration electron beam, so resolution cannot be achieved with the conventional electron beam transfer method. It becomes possible to resolve the fine space pattern.
[Brief description of the drawings]
FIG. 1 shows an embodiment in which a pattern forming method according to the present invention is applied to electron beam transfer lithography, and shows a main exposure pattern reticle and auxiliary exposure pattern data forming method and a wafer exposure process.
FIG. 2 is a view showing a relationship between an acceleration voltage of an electron beam obtained by simulation and a backscattering range in an exposure substrate.
FIG. 3 is a diagram showing how to set segmented areas and determine the area density for determining the area density according to the present invention.
FIG. 4 is a view showing a method for determining an auxiliary exposure amount from an area density and an effective area density for each divided region according to the present invention.
FIG. 5 is a diagram showing coefficients for calculating an effective area density map from the area density map of the present invention.
FIG. 6 is a diagram illustrating a second embodiment of the present invention, and is a diagram for explaining auxiliary exposure and a data processing method for exposing a central portion of the large pattern with an auxiliary exposure electron beam when there is a large area pattern;
FIG. 7 is a diagram showing an effective area density distribution and auxiliary exposure amount distribution of a typical pattern in the second embodiment of the present invention.
FIG. 8 is a diagram for explaining a method of deleting auxiliary exposure to an area without a main exposure pattern in a third embodiment in which the present invention is applied to a gate layer of a system LSI.
FIG. 9 is a diagram illustrating a procedure for determining a region from which auxiliary exposure is deleted in the third embodiment of the present invention.
FIG. 10 shows a fourth embodiment in which the present invention is applied to a pattern having a large positional change in area density, and shows the relationship between the beam blur of the electron beam for auxiliary exposure and the error of the optimum auxiliary exposure amount.
FIG. 11 is a diagram showing a fifth embodiment in which the present invention is applied to a semiconductor integrated circuit manufactured by a semi-custom method.
FIG. 12 is a diagram for explaining an area density map and an auxiliary exposure amount map used in the fifth embodiment.
[Explanation of symbols]
101... LSI pattern data for exposure,
102 ... correction of dimensional errors due to electron beam beam blur, forward scattering,
103 ... dividing the LSI data into a plurality of complementary pattern data,
104 ... main exposure pattern data,
105 ... reticle for main exposure pattern (two reticles if there are complementary patterns),
106 ... a step of dividing the LSI data into certain divided areas;
107: calculating the pattern area in the divided region and creating an area density map
108: calculating an effective area density map that takes in the influence of backscattering of neighboring patterns from the area density map (smoothing process);
109 ... calculating the auxiliary exposure map from the effective area density map,
110 ... Auxiliary exposure amount map installed in the auxiliary exposure apparatus,
111 ... Electron beam exposure apparatus for auxiliary exposure,
112 ... Wafer before exposure,
113 ... Electron beam exposure apparatus for main exposure,
114... Electron beam transfer reticle (two reticles in the case of complementary division),
115 ... Wafer that has been exposed,
601 ... LSI pattern data for exposure,
602... The step of dividing the pattern data into divided areas;
603 ... the central part of the large pattern,
604 ... Pattern data for main exposure obtained by deleting the central portion of the large pattern from the LSI pattern data,
605 ... A step of creating an area density map of main exposure pattern data;
606 ... Beam blur / correction of dimensional error due to forward scattering,
607 ... Distribution data of beam blur,
608: One data when the complementary pattern is divided,
609 ... a step of creating an effective area density map,
610 ... A step of creating the auxiliary exposure amount map 1,
611 ... a step of creating an area density map from the central data of the large pattern,
612 ... the step of creating the auxiliary exposure amount map 2;
613 ... a step of combining two auxiliary exposure dose maps,
614 ... a step of creating a main exposure reticle (two in the case of complementary division),
615 ... Electron beam transfer (EPL) process,
616 ... Auxiliary exposure process,
701 ... LSI pattern data,
702 ... 80 μm square large pattern,
703: Line / space pattern,
704 ... isolated line pattern,
705 ... LSI pattern data,
706... 5 μm area of large pattern outline
707 ... line / space pattern,
708 ... isolated line pattern,
801 ... System LSI gate layer pattern data,
802... Area density distribution at AA-BB positions when divided into 5 μm square segmented regions
803 ... Distribution of effective area density at the BB-AA position incorporating the influence of backscattering,
Area A: Area with CPU gate pattern,
Region B: Region with DRAM gate pattern,
Region C ... region without pattern,
BB-AA: Start point indicating a place where a one-dimensional display of the area density map is performed,
901... A divided area divided by a rectangle having one side of p,
902 ... Pattern boundary of main exposure pattern,
903: A line obtained by shifting the boundary of the main exposure pattern by a distance p in the direction without the main exposure pattern,
11n ... n-type semiconductor region,
11p ... p-type semiconductor region,
12A ... Gate electrode pattern,
13A, 13B, 13C, 13D ... wiring pattern,
CNT ... contact hole pattern,
14A ... wiring pattern,
NW: n-type well region,
PW: p-type well region.

Claims (5)

所定のパターンを有するマスクに電子線を照射することにより、基板表面に形成されたレジスト薄膜にパターンを形成する方法において、
第1の加速電圧を有する第1の電子線を用いて前記マスクを露光する第1の露光工程と、
第2の加速電圧を有する第2の電子線を用いて、所定のピッチpで2次元的に露光する第2の露光工程とを有し、
前記第2の加速電圧は、前記第1の加速電圧より小さく設定されていることを特徴とするパターン形成方法。
In a method of forming a pattern on a resist thin film formed on a substrate surface by irradiating an electron beam onto a mask having a predetermined pattern,
A first exposure step of exposing the mask using a first electron beam having a first acceleration voltage;
Using a second electron beam having a second acceleration voltage, and a second exposure step for two-dimensional exposure at a predetermined pitch p,
The pattern forming method, wherein the second acceleration voltage is set smaller than the first acceleration voltage.
所定のパターンを有するマスクに電子線を露光することにより、基板表面に形成されたレジスト薄膜にパターンを形成する方法において、
第1の加速電圧を有する第1の電子線を用いて前記マスクを露光する主露光工程と、
前記第1の加速電圧より低い加速電圧を有する第2の電子線を用いて、所定のピッチpで2次元的に露光する補助露光工程とを有し、
前記第1の電子線および前記第2の電子線が前記基板内に進入し発生する後方散乱の内、前記基板表面と平行な方向への広がり範囲をそれぞれ後方散乱範囲b1および後方散乱範囲b2(b1、b2は点入射の電子ビームによる後方散乱の分布がガウス分布の和で表されると仮定した時、後方散乱による堆積エネルギー強度が入射点の1/e(eは約2.71828)となる点までの距離)とするとき、
前記第2の電子線の加速電圧を、前記所定のピッチpがb1より小さく、かつb2より大きくなるように選定することを特徴とするパターン形成方法。
In a method of forming a pattern on a resist thin film formed on a substrate surface by exposing an electron beam to a mask having a predetermined pattern,
A main exposure step of exposing the mask using a first electron beam having a first acceleration voltage;
Using a second electron beam having an accelerating voltage lower than the first accelerating voltage, and an auxiliary exposure step for two-dimensional exposure at a predetermined pitch p,
Of the backscattering generated when the first electron beam and the second electron beam enter the substrate, the spreading ranges in the direction parallel to the substrate surface are respectively the backscattering range b1 and the backscattering range b2 ( When b1 and b2 are assumed that the distribution of the backscattering by the electron beam incident on the point is expressed by the sum of the Gaussian distribution, the deposition energy intensity by the backscattering is 1 / e (e is about 2.71828) of the incident point. Distance to the point)
A pattern forming method, wherein an acceleration voltage of the second electron beam is selected so that the predetermined pitch p is smaller than b1 and larger than b2.
前記所定のピッチpの3倍より大きな短辺を有する塗りつぶし矩形パターンを含むマスクにおいて、
幅pまたはそれより小さな幅を有する帯状の輪郭部を有し該輪郭部に囲まれた中央領域が開口された第1パターンと、前記中央領域の開口部を補完する形状を有する第2パターンとに前記塗りつぶし矩形パターンを分割し、
前記第1パターンおよび前記塗りつぶし矩形パターン以外の前記所定のパターンに対して、前記第1の電子線を露光し前記第2パターンに対しては前記第2の電子線で露光することを特徴とする請求項1または2に記載のパターン形成方法。
In a mask including a filled rectangular pattern having a short side larger than three times the predetermined pitch p,
A first pattern having a strip-shaped contour portion having a width p or smaller and having a central region surrounded by the contour portion opened; and a second pattern having a shape that complements the opening portion of the central region; Divide the filled rectangular pattern into
The predetermined pattern other than the first pattern and the filled rectangular pattern is exposed to the first electron beam, and the second pattern is exposed to the second electron beam. The pattern formation method of Claim 1 or 2.
前記第2の電子線が点電子ビームの集合と仮定した時のビームブラーを前記後方散乱範囲b2より大きく、かつ前記所定のピッチpより小さくなるように制御して露光することを特徴とする請求項2に記載のパターン形成方法。The exposure is performed by controlling the beam blur when the second electron beam is assumed to be a set of point electron beams to be larger than the backscattering range b2 and smaller than the predetermined pitch p. Item 3. The pattern forming method according to Item 2. 前記第1の電子線のビームブラーおよび前方散乱に起因するそれぞれの寸法誤差を、前記所定のパターン形状を変形することで補正する工程と、
前記所定のパターンを1辺が前記所定のピッチpとなるような正方形の区分領域に分割する工程と、
前記区分領域の面積をS1とし、前記区分領域内にあるパターンの面積総和をS2と置くことにより、前記S1およびS2を用いて前記区分領域の面積密度a1(a1=S2/S1)を計算する第1の処理工程と、
前記第1の電子線を前記区分領域およびその近傍に対して露光した時に発生する後方散乱の影響を取り込んだ実効的面積密度a2を計算する第2の処理工程と、
補助露光係数d(d=1−c−a2、cは全区分領域に対してまたは指定した区分領域内に対して一定の値で0≦c<1)を計算する第3の処理工程と、
前記補助露光係数dの2次元マップを作成する第4の処理工程とを有し、
前記第2の電子線は前記2次元マップを参照することにより、前記第2の電子線の露光量を決定し、前記区分領域毎に露光を行なうことを特徴とする請求項2に記載のパターン形成方法。
Correcting each dimensional error caused by beam blur and forward scattering of the first electron beam by deforming the predetermined pattern shape;
Dividing the predetermined pattern into square segmented regions such that one side has the predetermined pitch p;
The area density a1 (a1 = S2 / S1) of the segmented area is calculated using the S1 and S2 by setting the area of the segmented area as S1 and the total area of the patterns in the segmented area as S2. A first processing step;
A second processing step of calculating an effective area density a2 taking into account the influence of backscattering generated when the first electron beam is exposed to the segmented region and the vicinity thereof;
A third processing step of calculating an auxiliary exposure coefficient d (d = 1−c−a2, c is a constant value of 0 ≦ c <1) for all divided areas or in a designated divided area;
A fourth processing step of creating a two-dimensional map of the auxiliary exposure coefficient d,
3. The pattern according to claim 2, wherein the second electron beam determines an exposure amount of the second electron beam by referring to the two-dimensional map, and performs exposure for each of the divided regions. Forming method.
JP2003177691A 2003-06-23 2003-06-23 Patterning method Pending JP2005019426A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003177691A JP2005019426A (en) 2003-06-23 2003-06-23 Patterning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003177691A JP2005019426A (en) 2003-06-23 2003-06-23 Patterning method

Publications (1)

Publication Number Publication Date
JP2005019426A true JP2005019426A (en) 2005-01-20

Family

ID=34179541

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003177691A Pending JP2005019426A (en) 2003-06-23 2003-06-23 Patterning method

Country Status (1)

Country Link
JP (1) JP2005019426A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7629596B2 (en) 2005-02-21 2009-12-08 Tokyo University Of Science Educational Foundation Administrative Organization Method of producing 3-D mold, method of producing finely processed product, method of producing fine-pattern molded product, 3-D mold, finely processed product, fine-pattern molded product and optical component
WO2013073694A1 (en) * 2011-11-16 2013-05-23 日本コントロールシステム株式会社 Method and device for drawing patterns
US11886166B2 (en) 2018-12-22 2024-01-30 D2S, Inc. Method and system of reducing charged particle beam write time

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7629596B2 (en) 2005-02-21 2009-12-08 Tokyo University Of Science Educational Foundation Administrative Organization Method of producing 3-D mold, method of producing finely processed product, method of producing fine-pattern molded product, 3-D mold, finely processed product, fine-pattern molded product and optical component
WO2013073694A1 (en) * 2011-11-16 2013-05-23 日本コントロールシステム株式会社 Method and device for drawing patterns
US11886166B2 (en) 2018-12-22 2024-01-30 D2S, Inc. Method and system of reducing charged particle beam write time

Similar Documents

Publication Publication Date Title
US5994009A (en) Interlayer method utilizing CAD for process-induced proximity effect correction
JP3686367B2 (en) Pattern forming method and semiconductor device manufacturing method
US7662522B2 (en) Method for manufacturing semiconductor devices, and method for forming a pattern onto an exposure mask
US6964832B2 (en) Semiconductor device and manufacturing method thereof
US6835942B2 (en) Method for correcting a proximity effect, an exposure method, a manufacturing method of a semiconductor device and a proximity correction module
US20050221204A1 (en) Electron beam writing method and lithography mask manufacturing method
JP3350416B2 (en) Pattern formation method
US6806941B2 (en) Pattern forming method and pattern forming apparatus
JP2000098584A (en) Correcting method of mask pattern and recording medium recording mask pattern correction program
JP3680425B2 (en) Photomask manufacturing method and method for determining electron beam irradiation correction amount for resist material
JP2001312045A (en) Method for forming mask
JP2005019426A (en) Patterning method
US6376132B1 (en) Mask for electron beam exposure, manufacturing method for the same, and manufacturing method for semiconductor device
US7026078B2 (en) Method of manufacturing photomask
US7897308B2 (en) Method for transferring a predetermined pattern reducing proximity effects
JPH09213599A (en) Method for correcting nominal size of characteristic object of x-ray mask according to position of the object
JP2002353101A (en) Charged particle beam exposing method
JP2003303768A (en) Pattern formation method and drawing method
WO2013073694A1 (en) Method and device for drawing patterns
JP3375945B2 (en) Electron beam writing method and electron beam writing system
US7811727B2 (en) Method for determining an exposure dose and exposure apparatus
JP2781941B2 (en) Electron beam writing method
JPH11307426A (en) Method and system for correcting mask pattern and mask for exposure using them and semiconductor device
JP2003057805A (en) Eb transfer mask and method for manufacturing the same
JP2002008970A (en) Method of correcting proximity effects in electron beam exposure, and method of manufacturing semiconductor device