JP2004186705A - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
JP2004186705A
JP2004186705A JP2004042510A JP2004042510A JP2004186705A JP 2004186705 A JP2004186705 A JP 2004186705A JP 2004042510 A JP2004042510 A JP 2004042510A JP 2004042510 A JP2004042510 A JP 2004042510A JP 2004186705 A JP2004186705 A JP 2004186705A
Authority
JP
Japan
Prior art keywords
substrate
gas
processing apparatus
substrate processing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004042510A
Other languages
Japanese (ja)
Inventor
Shusaku Kido
秀作 城戸
Yoshihide Iio
善秀 飯尾
Masaki Ikeda
雅樹 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Electric Kagoshima Ltd
NEC Kagoshima Ltd
Original Assignee
Nippon Electric Kagoshima Ltd
NEC Kagoshima Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Kagoshima Ltd, NEC Kagoshima Ltd filed Critical Nippon Electric Kagoshima Ltd
Priority to JP2004042510A priority Critical patent/JP2004186705A/en
Publication of JP2004186705A publication Critical patent/JP2004186705A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a substrate processing apparatus which can accurately control a reflow distance L to a desired value. <P>SOLUTION: The substrate processing apparatus 500 blows gas 33 for exposure processing to a plurality of substrates 1 in the state that the plurality of the substrates 1 are arrayed at an interval from each other in a horizontal attitude in a vertical direction in one chamber 501. The substrate processing apparatus 500 includes the chamber 501 having at least one gas inlet and at least one gas outlet 501a. The substrate processing apparatus 500 further includes a gas introducing means 520 for introducing the gas 33 for the exposure processing into the chamber 501 via the gas inlet, and gas distributing means 21 provided corresponding to the plurality of the substrates 1. A plurality of openings 211 are formed in the gas distributing means 21. The gas for the exposure processing introduced via the gas introducing means 520 is blown to the corresponding substrates 1 via the openings 211. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

本発明は、半導体素子の形成に用いられる基板に対して行う各種ガス雰囲気による暴露処理を行う基板処理装置に関する。特に、本発明は、基板表面に形成された有機膜に対して、その有機膜を溶解し、リフローさせる有機溶媒溶液を気化させたガス雰囲気の下において行う暴露処理を行う基板処理装置に関する。   The present invention relates to a substrate processing apparatus that performs exposure processing on a substrate used for forming a semiconductor element in various gas atmospheres. In particular, the present invention relates to a substrate processing apparatus that performs an exposure process on an organic film formed on a substrate surface under a gas atmosphere in which an organic solvent solution to be dissolved and reflowed is vaporized.

半導体素子の形成に用いられる基板に対して各種処理を行う従来の処理装置の一例として特許文献1に記載された装置がある。この装置は、有機材料からなる塗布膜を利用して、半導体素子の形成された基板表面の凹凸を平坦化する装置であり、平坦性が良く、熱処理による耐クラック性も良い平坦膜を形成することができる。   As an example of a conventional processing apparatus that performs various kinds of processing on a substrate used for forming a semiconductor element, there is an apparatus described in Patent Literature 1. This device uses a coating film made of an organic material to flatten unevenness on the surface of a substrate on which a semiconductor element is formed, and forms a flat film having good flatness and good crack resistance due to heat treatment. be able to.

以下、この処理装置を図11を参照して説明する。   Hereinafter, this processing apparatus will be described with reference to FIG.

この処理装置は、密閉容器501と、密閉容器501の底面に配置されたホットプレート502と、密閉容器501の上部を覆う蓋503と、密閉容器501内の温度をホットプレート502と同じ温度に保つために、密閉容器501を囲んで設けられたヒータ504と、を備えている。   This processing apparatus includes an airtight container 501, a hot plate 502 disposed on the bottom surface of the airtight container 501, a lid 503 that covers an upper portion of the airtight container 501, and maintains the temperature inside the airtight container 501 at the same temperature as the hot plate 502. For this purpose, a heater 504 provided around the closed container 501 is provided.

密閉容器501の上部には、密閉容器501と蓋503との間にガス導入口505とガス排出口506とが設けられている。   A gas inlet 505 and a gas outlet 506 are provided between the closed container 501 and the lid 503 at the upper part of the closed container 501.

この密閉容器501内のホットプレート502上に、ポリシロキサン塗布液が塗布されたウェハを搬入する。このとき、ホットプレート502は150℃とし、ガス導入口505からは150℃に加熱されたジプロピレングリコールモノエチルエーテルを溶媒ガスとして導入する。ウェハを60秒間溶媒ガスに晒した後、溶媒ガスの導入を中止し、次いで、窒素を導入して120秒間保持し、ウェハを密閉容器501から搬出する。   The wafer coated with the polysiloxane coating solution is loaded onto the hot plate 502 in the closed container 501. At this time, the hot plate 502 is set at 150 ° C., and dipropylene glycol monoethyl ether heated to 150 ° C. is introduced as a solvent gas from the gas inlet 505. After exposing the wafer to the solvent gas for 60 seconds, the introduction of the solvent gas is stopped. Then, nitrogen is introduced and held for 120 seconds, and the wafer is unloaded from the sealed container 501.

この処理装置によれば、ポリシロキサン塗布液からなる塗布膜中に含まれる溶媒を急激に蒸発させるという従来の単純なホットプレートによる加熱処理に代えて、ポリシロキサン塗布液の溶媒と同じ溶媒を密閉容器501中に導入して、塗布膜中の溶媒の蒸発を遅らせ、塗布膜の流動性を保ちながら塗布膜を平坦化させ、徐々に溶媒を蒸発させる。従って、従来のような塗布膜の急激な収縮によるクラックの発生がなく、平坦性の良い平坦化膜が得られるというものである。
特開平11−74261号公報
According to this processing apparatus, the same solvent as that of the polysiloxane coating liquid is sealed instead of the conventional heat treatment using a simple hot plate in which the solvent contained in the coating film composed of the polysiloxane coating liquid is rapidly evaporated. After being introduced into the container 501, evaporation of the solvent in the coating film is delayed, the coating film is flattened while maintaining the fluidity of the coating film, and the solvent is gradually evaporated. Therefore, there is no occurrence of cracks due to rapid shrinkage of the coating film as in the prior art, and a flattened film having good flatness can be obtained.
JP-A-11-74261

以上のように、図11に示した処理装置によれば、単なる平坦化膜の形成は可能である。   As described above, according to the processing apparatus shown in FIG. 11, it is possible to simply form a flattening film.

しかしながら、後述するように、本願発明者らが先に出願した特願2000−175138号に記載のレジストパターンリフローには図11に示した処理装置を使用することはできない。   However, as will be described later, the processing apparatus shown in FIG. 11 cannot be used for the resist pattern reflow described in Japanese Patent Application No. 2000-175138 previously filed by the present inventors.

ここで、上述のレジストパターンリフローについて概略を説明する。   Here, the above-described resist pattern reflow will be briefly described.

図12は、レジストパターンリフローを用いた半導体装置の製造プロセスの各過程を示す断面図である。   FIG. 12 is a cross-sectional view showing each step of a semiconductor device manufacturing process using resist pattern reflow.

先ず、図12(a)に示すように、透明性絶縁基板511の上にゲート電極512を形成し、ゲート絶縁膜513で透明性絶縁基板511及びゲート電極512を覆う。   First, as shown in FIG. 12A, a gate electrode 512 is formed on a transparent insulating substrate 511, and the transparent insulating substrate 511 and the gate electrode 512 are covered with a gate insulating film 513.

次いで、ゲート絶縁膜513上に半導体膜514、クロム515を堆積させる。この後、スピンコート法により塗布膜を塗布し、露光及び現像を行って、図12(a)に示すように、レジストパターン516を形成する。   Next, a semiconductor film 514 and chromium 515 are deposited over the gate insulating film 513. Thereafter, a coating film is applied by a spin coating method, and exposure and development are performed to form a resist pattern 516 as shown in FIG.

次に、レジストパターン516をマスクとしてクロム515のみをエッチングし、図12(b)に示すように、ソース・ドレイン電極517を形成する。   Next, only the chromium 515 is etched using the resist pattern 516 as a mask to form source / drain electrodes 517 as shown in FIG.

続いて、図12(c)に示すように、レジストパターン516をリフローさせ、少なくともエッチングされてはならない領域、この場合はTFTのバックチャネル領域518(図13(a)参照)を覆うようなレジストパターン536を形成する。   Subsequently, as shown in FIG. 12C, the resist pattern 516 is reflowed so as to cover at least a region that should not be etched, in this case, a TFT back channel region 518 (see FIG. 13A). A pattern 536 is formed.

次いで、図13(a)に示すように、このレジストパターン536をマスクとして半導体膜514をエッチングして、半導体膜パターン518を形成する。   Next, as shown in FIG. 13A, the semiconductor film 514 is etched using the resist pattern 536 as a mask to form a semiconductor film pattern 518.

このように、レジストパターン516をリフローさせると、図13(b)の平面図に示されるように、ソース・ドレイン電極517の直下の領域以外の領域で形成される半導体膜パターン518が横方向に距離L(図13(a)及び(b)参照)だけ広くなる。この距離Lをレジストパターン536のリフロー距離と呼ぶ。   As described above, when the resist pattern 516 is reflowed, as shown in the plan view of FIG. 13B, the semiconductor film pattern 518 formed in a region other than the region immediately below the source / drain electrode 517 is moved in the horizontal direction. It becomes wider by the distance L (see FIGS. 13A and 13B). This distance L is called the reflow distance of the resist pattern 536.

このようにして広げられたレジストパターン536がその下層にある半導体層514のエッチング加工寸法を決めることになるので、基板全面に渡ってリフロー距離Lの制御性は重要なポイントになる。   Since the resist pattern 536 spread in this manner determines the etching processing size of the semiconductor layer 514 under the resist pattern 536, the controllability of the reflow distance L over the entire surface of the substrate is an important point.

しかしながら、図11に示した特許文献1に記載の装置では、単にガスをウェハ502表面に流すのみであり、ガスがウェハ502の全面に渡って均一にはならないので、リフロー距離Lを所望の値に正確に制御することは困難であることが分かった。   However, in the apparatus described in Patent Literature 1 shown in FIG. 11, the gas is merely flowed over the surface of the wafer 502, and the gas is not uniform over the entire surface of the wafer 502. It turned out to be difficult to control precisely.

本発明はこのような問題点に鑑みてなされたものであり、リフロー距離Lを所望の値に正確に制御することができる基板処理装置を提供することを目的とする。   The present invention has been made in view of such a problem, and an object of the present invention is to provide a substrate processing apparatus capable of accurately controlling a reflow distance L to a desired value.

上記課題を解決するため、本発明の基板処理装置は、一つのチャンバー内に、複数の基板を各々水平姿勢で鉛直方向において相互に間隔を空けて配列した状態で、前記複数の基板の各々に暴露処理用ガスを吹き付ける基板処理装置であって、少なくとも一つのガス導入口と少なくとも一つのガス排気口とを有するチャンバーと、前記ガス導入口を介して前記チャンバー内に暴露処理用ガスを導入するガス導入手段と、前記複数の基板の各々に対応して設けられているガス分配手段と、を備え、前記ガス分配手段には複数個の開口が形成されており、前記ガス導入手段を介して導入された前記暴露処理用ガスは前記開口を介して対応する基板に吹き付けられるものであることを特徴としている。   In order to solve the above problems, the substrate processing apparatus according to the present invention is configured such that, in one chamber, a plurality of substrates are arranged in a horizontal posture at an interval from each other in a vertical direction, and each of the plurality of substrates is A substrate processing apparatus for blowing an exposure processing gas, wherein a chamber having at least one gas inlet and at least one gas exhaust port, and introducing the exposure processing gas into the chamber through the gas inlet. Gas introducing means, gas distribution means provided corresponding to each of the plurality of substrates, comprising a plurality of openings in the gas distribution means, via the gas introduction means The introduced exposure processing gas is blown onto a corresponding substrate through the opening.

本発明の基板処理装置においては、前記ガス分配手段は、それぞれ対応する基板と対向する位置に配されていることが好ましい。   In the substrate processing apparatus of the present invention, it is preferable that the gas distribution means is disposed at a position facing a corresponding substrate.

本発明の基板処理装置においては、前記チャンバーは複数個の前記ガス導入口を備えていることが好ましく、特に、前記複数個のガス導入口の各々は、前記ガス分配手段の各々に対応して設けられていることが好ましい。   In the substrate processing apparatus of the present invention, the chamber preferably includes a plurality of the gas inlets, and in particular, each of the plurality of gas inlets corresponds to each of the gas distribution units. Preferably, it is provided.

本発明の基板処理装置においては、前記ガス導入口毎にガス流量制御機構を備えているが好ましい。   In the substrate processing apparatus of the present invention, it is preferable that a gas flow control mechanism is provided for each of the gas inlets.

本発明の基板処理装置においては、前記ガス分配手段は、板状に構成されていることが好ましい。   In the substrate processing apparatus of the present invention, it is preferable that the gas distribution means is formed in a plate shape.

この場合、前記ガス分配手段は、対応する基板に向かって凸又は凹となる曲面状に形成されていることも好ましい。   In this case, it is also preferable that the gas distribution means is formed in a curved shape that is convex or concave toward the corresponding substrate.

また、前記ガス分配手段は、その中心を回転中心として回転可能となっていることも好ましい。   Further, it is preferable that the gas distribution means is rotatable around its center as a rotation center.

本発明の基板処理装置においては、前記ガス分配手段と重なり合って配置され、前記ガス分配手段に形成されている開口のうちの任意の個数の開口を塞ぐことにより、前記暴露処理用ガスの吹き出し範囲を規定するガス吹き出し範囲規定手段をさらに備えることが好ましい。   In the substrate processing apparatus of the present invention, the blowout range of the exposure processing gas is disposed by overlapping with the gas distribution unit and closing an arbitrary number of openings formed in the gas distribution unit. It is preferable to further comprise a gas blowing range defining means for defining the following.

本発明の基板処理装置においては、前記基板が載置されるステージが、上下動可能に形成されていることも好ましい。   In the substrate processing apparatus of the present invention, it is also preferable that the stage on which the substrate is placed is formed to be vertically movable.

本発明の基板処理装置においては、前記基板が載置されるステージがその軸心の周りに回転可能に形成されていることも好ましい。   In the substrate processing apparatus of the present invention, it is also preferable that the stage on which the substrate is mounted is formed so as to be rotatable around its axis.

本発明の基板処理装置においては、前記基板の温度を調整する基板温度調整手段をさらに備えていることも好ましい。   It is preferable that the substrate processing apparatus of the present invention further includes a substrate temperature adjusting means for adjusting the temperature of the substrate.

この場合、前記基板温度調整手段は、前記基板が載置されるステージの温度を制御することにより、前記基板の温度を制御するものであることを好ましい例とする。   In this case, it is preferable that the substrate temperature adjusting means controls the temperature of the substrate by controlling the temperature of a stage on which the substrate is mounted.

本発明の基板処理装置においては、前記暴露処理用ガスの温度を調整するガス温度調整手段をさらに備えていることが好ましい。   The substrate processing apparatus of the present invention preferably further comprises a gas temperature adjusting means for adjusting the temperature of the exposure processing gas.

本発明の基板処理装置においては、前記チャンバー内に配置された基板と前記ガス分配手段との間隔が5乃至15mmに設定されていることが好ましい。   In the substrate processing apparatus of the present invention, it is preferable that a distance between the substrate disposed in the chamber and the gas distribution means is set to 5 to 15 mm.

本発明の基板処理装置においては、前記チャンバー内にプラズマを発生させるプラズマ発生機構をさらに備えることも好ましい。   It is preferable that the substrate processing apparatus of the present invention further includes a plasma generation mechanism for generating plasma in the chamber.

この場合、前記プラズマ発生機構は、前記基板の上方に配置された上部電極部と、前記基板の下方に配置された下部電極部とからなり、前記上部電極部及び前記下部電極部のうちの何れか一方は接地され、他方は高周波電源を介して接地されていることを好ましい例とする。   In this case, the plasma generation mechanism includes an upper electrode portion disposed above the substrate and a lower electrode portion disposed below the substrate, and includes any one of the upper electrode portion and the lower electrode portion. Preferably, one is grounded and the other is grounded via a high frequency power supply.

本発明の基板処理装置においては、更に、前記チャンバーと連結し、減圧状態の下において前記基板を前記チャンバーに搬入し、あるいは、減圧状態の下において前記基板を前記チャンバーから搬出するため減圧搬送チャンバーと、前記減圧搬送チャンバーと連結し、大気圧の下に前記基板を外部から搬入し、減圧状態の下において前記基板を前記減圧搬送チャンバーに搬入するとともに、減圧状態の下において前記基板を前記減圧搬送チャンバーから搬出し、大気圧の下に前記基板を外部に搬出する圧力調整搬送チャンバーと、を備えることが好ましい。   In the substrate processing apparatus of the present invention, further, the reduced-pressure transfer chamber is connected to the chamber, and the substrate is loaded into the chamber under a reduced pressure state, or the substrate is removed from the chamber under a reduced pressure state. Connected to the reduced-pressure transfer chamber, loading the substrate from the outside under atmospheric pressure, loading the substrate into the reduced-pressure transfer chamber under reduced pressure, and reducing the pressure of the substrate under reduced pressure. It is preferable to include a pressure-adjusting transfer chamber for transferring the substrate out of the transfer chamber under atmospheric pressure.

前記暴露処理用ガスの流量は2乃至10リットル/分であることが好ましい。ただし、前記暴露処理用ガスの流量を1乃至100リットル/分とすることも可能である。   The flow rate of the exposure gas is preferably 2 to 10 liters / minute. However, the flow rate of the exposure processing gas may be set to 1 to 100 liter / minute.

また、前記暴露処理用ガスの温度は摂氏20乃至25度であることが好ましい。ただし、前記暴露処理用ガスの温度は摂氏18乃至40度の範囲内にすることが可能である。   Further, the temperature of the exposure processing gas is preferably 20 to 25 degrees Celsius. However, the temperature of the exposure processing gas can be in the range of 18 to 40 degrees Celsius.

前記基板と前記ガス分配手段との間の間隔は5乃至15mmであることが好ましい。ただし、前記基板と前記ガス分配手段との間の間隔は2乃至100mmの範囲内に設定することが可能である。   Preferably, the distance between the substrate and the gas distribution means is 5 to 15 mm. However, the distance between the substrate and the gas distribution means can be set within a range of 2 to 100 mm.

前記ステージの温度は摂氏24乃至26度に設定されていることが好ましい。ただし、前記ステージの温度は摂氏18乃至40度の範囲に設定することが可能である。   Preferably, the temperature of the stage is set at 24 to 26 degrees Celsius. However, the temperature of the stage can be set in the range of 18 to 40 degrees Celsius.

前記チャンバー内の圧力は−20乃至+2KPaであることが好ましい。ただし、前記チャンバー内の圧力は−50乃至+50KPaの範囲に設定することが可能である。   The pressure in the chamber is preferably -20 to +2 KPa. However, the pressure in the chamber can be set in the range of -50 to +50 KPa.

本発明によれば、暴露処理用ガスはガス分配手段によって基板表面の全面に渡ってほぼ均一に吹き付けられることになるので、基板全面に渡ってリフロー距離Lを精度良く制御することができる。   According to the present invention, the gas for exposure processing is sprayed substantially uniformly over the entire surface of the substrate by the gas distribution means, so that the reflow distance L can be accurately controlled over the entire surface of the substrate.

しかも、一度に複数枚の基板を同時に処理することができ、基板の処理効率を大幅に高めることが可能である。   In addition, a plurality of substrates can be simultaneously processed at one time, and the processing efficiency of the substrates can be greatly increased.

さらには、その暴露処理の前後あるいは暴露処理と同時に、基板に対してドライエッチング又はアッシング処理を行うことも可能である。   Further, it is also possible to perform dry etching or ashing processing on the substrate before or after the exposure processing or simultaneously with the exposure processing.

以下、図面を参照して、本発明に係る実施形態について説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(第一の実施形態)
図1は、本発明の第一の実施形態に係る基板処理装置の構成を示す断面図である。本実施形態に係る基板処理装置は、、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付ける装置として構成することができ、あるいは、暴露処理とドライエッチング又はアッシング処理との双方を行う装置としても構成することができる。
(First embodiment)
FIG. 1 is a sectional view showing a configuration of the substrate processing apparatus according to the first embodiment of the present invention. The substrate processing apparatus according to the present embodiment can be configured as an apparatus that uniformly blows an exposure processing gas to a substrate disposed in a chamber, or both an exposure processing and a dry etching or ashing processing. May be configured as a device for performing the above.

図1に示すように、本実施形態に係る基板処理装置500は、ガス排気口501aを有する1個のチャンバー501と、7段の基板処理ユニット502a、502b、502c、502d、502e、502f、502gと、ガス導入機構520と、を備えている。   As shown in FIG. 1, a substrate processing apparatus 500 according to the present embodiment includes one chamber 501 having a gas exhaust port 501a, and seven stages of substrate processing units 502a, 502b, 502c, 502d, 502e, 502f, and 502g. And a gas introduction mechanism 520.

7段の基板処理ユニット502a−502gはチャンバー501の内部において縦方向に配置されている。   The seven stages of substrate processing units 502a to 502g are arranged in a vertical direction inside the chamber 501.

各基板処理ユニット502a−502gは、後述する基板処理装置100(図2)において暴露処理チャンバー101とガス導入機構120とを除いた構成とほぼ等しい構成を有している。   Each of the substrate processing units 502a to 502g has a configuration substantially the same as the configuration of the substrate processing apparatus 100 (FIG. 2) described later except for exposing the exposure processing chamber 101 and the gas introduction mechanism 120.

また、ガス導入機構520は、後述する基板処理装置100におけるガス導入機構120と同様に構成され、7段の基板処理ユニット502a−502gの各々に暴露処理用ガス33を共通に供給する。   The gas introduction mechanism 520 is configured similarly to the gas introduction mechanism 120 in the substrate processing apparatus 100 described later, and supplies the exposure processing gas 33 to each of the seven stages of substrate processing units 502a to 502g.

ここで、図2を参照して、基板処理装置100について説明する。   Here, the substrate processing apparatus 100 will be described with reference to FIG.

図2に示すように、基板処理装置100は、暴露処理チャンバー101と、暴露処理チャンバー101の内部に暴露処理用ガスを導入するガス導入機構120と、基板に暴露処理用ガスを吹き付けるガス吹き付け機構110と、を備えている。   As shown in FIG. 2, the substrate processing apparatus 100 includes an exposure processing chamber 101, a gas introduction mechanism 120 for introducing an exposure processing gas into the exposure processing chamber 101, and a gas blowing mechanism for blowing the exposure processing gas onto the substrate. 110.

暴露処理チャンバー101は、下部チャンバー10と上部チャンバー20とからなり、下部チャンバー10及び上部チャンバー20は下部チャンバー10に取り付けられたO−リング121を介して接合され、内部に気密空間を形成している。   The exposure processing chamber 101 includes a lower chamber 10 and an upper chamber 20, and the lower chamber 10 and the upper chamber 20 are joined via an O-ring 121 attached to the lower chamber 10 to form an airtight space inside. I have.

暴露処理チャンバー101には複数個のガス導入口101aと2個のガス排気口101bとが形成されている。図示していないが、各ガス排気口101bには開度調節機構が設けられており、各ガス排気口101bの開口の割合を自在に調節することができるようになっている。   The exposure processing chamber 101 has a plurality of gas inlets 101a and two gas outlets 101b. Although not shown, each gas exhaust port 101b is provided with an opening adjustment mechanism, so that the ratio of the opening of each gas exhaust port 101b can be freely adjusted.

暴露処理チャンバー101の内部には、鉛直方向に上下動可能な昇降ステージ11が設けられており、基板1は、昇降ステージ11の上面に水平姿勢で載置される。昇降ステージ11は1乃至50mmの範囲内で上下動することができるように構成されている。   An elevating stage 11 that can move up and down in the vertical direction is provided inside the exposure processing chamber 101, and the substrate 1 is placed on the upper surface of the elevating stage 11 in a horizontal posture. The elevating stage 11 is configured to be able to move up and down within a range of 1 to 50 mm.

ガス吹き付け機構110は、上部チャンバー20に形成された複数個のガス導入口101aの各々に挿入されたガス導入管24と、ガス導入管24の先端に取りつけられたガス拡散部材23と、ガス吹き出し板21と、ガス吹き出し板21を固定し、ガスの吹き出し範囲を規定するガス吹き出し板枠212と、を備えている。   The gas blowing mechanism 110 includes a gas introduction pipe 24 inserted into each of a plurality of gas introduction ports 101a formed in the upper chamber 20, a gas diffusion member 23 attached to a tip of the gas introduction pipe 24, and a gas A plate 21 and a gas blowing plate frame 212 for fixing the gas blowing plate 21 and defining a gas blowing range are provided.

図3は、ガス吹き出し板21とガス吹き出し板枠212とを示す斜視図である。   FIG. 3 is a perspective view showing the gas blowing plate 21 and the gas blowing plate frame 212.

図3に示すように、ガス吹き出し板21は平板からなり、ガス吹き出し板21にはマトリクス状に複数個の開口211が形成されている。開口211は、ガス吹き出し板21の下方に位置する基板1の全域を覆うように、設けられている。   As shown in FIG. 3, the gas blowing plate 21 is formed of a flat plate, and the gas blowing plate 21 has a plurality of openings 211 formed in a matrix. The opening 211 is provided so as to cover the entire area of the substrate 1 located below the gas blowing plate 21.

本実施形態においては、開口211の直径は0.5乃至3mmであり、隣接する開口211間の間隔は1乃至5mmである。   In the present embodiment, the diameter of the opening 211 is 0.5 to 3 mm, and the interval between the adjacent openings 211 is 1 to 5 mm.

図2に示すように、ガス吹き出し板21はガス拡散部材23と基板1との間に位置するように水平に取りつけられ、暴露処理チャンバー101の内部空間を、暴露処理用ガスがガス導入管24を介して導入される第一の空間102aと、基板1が配置されている第二の空間102bとに分離している。開口211は、この第一の空間102aと第二の空間102bとを連通させており、第一の空間102aに導入された暴露処理用ガスは開口211を介して第二の空間102bに導入される。   As shown in FIG. 2, the gas blowing plate 21 is horizontally mounted so as to be located between the gas diffusion member 23 and the substrate 1. And a second space 102b where the substrate 1 is disposed. The opening 211 communicates the first space 102a with the second space 102b, and the exposure processing gas introduced into the first space 102a is introduced into the second space 102b through the opening 211. You.

図3に示すように、ガス吹き出し板枠212は、フレーム状の側壁212aと、側壁212aの下端から内側に向かって延びるフレーム状の延長部212bと、からなっている。   As shown in FIG. 3, the gas blowing plate frame 212 includes a frame-like side wall 212a and a frame-like extension 212b extending inward from a lower end of the side wall 212a.

ガス吹き出し板21はシール材214を介して延長部212b上に接着されている。これにより、ガス吹き出し板21とガス吹き出し板枠212との間には隙間がなくなり、ガス吹き出し板21の周囲から処理ガスが漏れ出すことがない。   The gas blowing plate 21 is adhered to the extension 212b via the sealing member 214. Accordingly, there is no gap between the gas blowing plate 21 and the gas blowing plate frame 212, and the processing gas does not leak from around the gas blowing plate 21.

延長部212bの長さを適当な長さに設定することにより、ガス吹き出し板21に形成された開口211のいくつかが塞がれ、ガス吹き出し板21による暴露処理用ガスの吹き出し範囲が規定される。   By setting the length of the extension portion 212b to an appropriate length, some of the openings 211 formed in the gas blowing plate 21 are closed, and the blowing range of the exposure processing gas by the gas blowing plate 21 is defined. You.

本実施形態においては、側壁212aの高さは5mm、延長部212bの長さは10mmであり、ガス吹き出し板枠212は基板1の上方10mmの位置に配置されている。   In this embodiment, the height of the side wall 212a is 5 mm, the length of the extension 212b is 10 mm, and the gas blowing plate frame 212 is arranged at a position 10 mm above the substrate 1.

第一の空間102aに位置するガス拡散部材23は箱状の部材からなり、その外壁には複数個の孔が設けられている。   The gas diffusion member 23 located in the first space 102a is formed of a box-shaped member, and has a plurality of holes formed in an outer wall thereof.

ガス導入管24を介して吹き出した暴露処理用ガスは、ガス拡散部材23の内部にあたって、一旦、ガス拡散部材23の内部に貯留されることによって、均一に拡散される。この結果、ガス拡散部材23の内部において暴露処理用ガスの濃度が均一になる。この後、暴露処理用ガスはガス拡散部材23の外部に放出される。   The exposure processing gas blown out through the gas introduction pipe 24 hits the inside of the gas diffusion member 23, and is temporarily diffused by being temporarily stored inside the gas diffusion member 23. As a result, the concentration of the gas for exposure processing becomes uniform inside the gas diffusion member 23. Thereafter, the exposure processing gas is released to the outside of the gas diffusion member 23.

ガス導入機構120は、蒸気発生装置31と、蒸気発生装置31から発生した暴露処理用ガスを各ガス導入管24に供給するガス配管32と、を備えている。   The gas introduction mechanism 120 includes a steam generator 31 and a gas pipe 32 that supplies an exposure processing gas generated from the steam generator 31 to each gas introduction pipe 24.

蒸気発生装置31には、暴露処理用ガスを発生させる液体が貯留されている。この液体に対して、窒素(N2)ガスをバブリングすることにより、ガスが発生し、窒素ガスとともに暴露処理用ガス33として暴露処理チャンバー101に供給される。 The vapor generating device 31 stores a liquid for generating an exposure processing gas. A nitrogen (N 2 ) gas is bubbled through the liquid to generate a gas, which is supplied to the exposure processing chamber 101 together with the nitrogen gas as the exposure processing gas 33.

また、ガス導入機構120は、蒸気発生装置31を取り囲む貯蔵容器301を備えており、この貯蔵容器301には温度調整液が貯留されている。この温度調整液からの熱伝導によって蒸気発生装置31内の暴露処理用ガスを発生させる液体の温度を制御し、ひいては、暴露処理用ガス33の温度を制御する。   Further, the gas introduction mechanism 120 includes a storage container 301 surrounding the steam generator 31, and the storage container 301 stores a temperature adjusting liquid. The temperature of the liquid for generating the exposure processing gas in the steam generator 31 is controlled by the heat conduction from the temperature adjusting liquid, and the temperature of the exposure processing gas 33 is controlled.

温度調整液としては、例えば、エチレングリコールと純水とを混合した液体を用いる。なお、温度調整液としては、熱伝導性があり、凝固点が摂氏0度よりも低い液体であれば、いかなる液体をも用いることができる。温度調整液の温度調整は、ヒーターを用いた加熱、冷媒を用いた電子的な冷却、工場内の諸々の製造装置を冷却するための工場冷却水による冷却などにより行うことができる。   As the temperature adjusting liquid, for example, a liquid obtained by mixing ethylene glycol and pure water is used. Note that any liquid having thermal conductivity and a freezing point lower than 0 degrees Celsius can be used as the temperature adjusting liquid. The temperature adjustment of the temperature adjustment liquid can be performed by heating using a heater, electronic cooling using a refrigerant, cooling with factory cooling water for cooling various manufacturing apparatuses in the factory, and the like.

本実施形態においては、暴露処理チャンバー101に供給される暴露処理用ガス33の流量は1乃至50L/minの範囲に設定されている。   In the present embodiment, the flow rate of the exposure processing gas 33 supplied to the exposure processing chamber 101 is set in a range of 1 to 50 L / min.

暴露処理チャンバー101において基板1に吹き付けられた暴露処理用ガスは、下部チャンバー10の周辺に形成されたガス排気口101bを介して、真空ポンプ(図示せず)により、排気される。ガス排気口101bには、複数個の孔が設けられた排気孔板131がかぶせられており、この排気孔板131により、処理後の暴露処理用ガスは均等に排気される。   The exposure processing gas blown to the substrate 1 in the exposure processing chamber 101 is exhausted by a vacuum pump (not shown) through a gas exhaust port 101b formed around the lower chamber 10. The gas exhaust port 101b is covered with an exhaust hole plate 131 provided with a plurality of holes. The exhaust hole plate 131 uniformly exhausts the exposure processing gas after the treatment.

なお、本実施形態においては、排気孔板131に設けられた孔の直径は2乃至10mm、隣接する孔の間の間隔は2乃至50mmの範囲に設定されている。   In the present embodiment, the diameter of the holes provided in the exhaust hole plate 131 is set in a range of 2 to 10 mm, and the interval between adjacent holes is set in a range of 2 to 50 mm.

また、暴露処理チャンバー101内のガス雰囲気をより高純度にするためと、処理時間を秒単位で厳密に制御するためには、暴露処理チャンバー101内のガスの置換を短時間に行う必要がある。   Further, in order to make the gas atmosphere in the exposure processing chamber 101 higher in purity and to precisely control the processing time in seconds, it is necessary to replace the gas in the exposure processing chamber 101 in a short time. .

このような要求を満たすため、本願発明者の実験結果によれば、暴露処理チャンバー101の排気に用いる真空ポンプは、少なくとも50L/min以上の排気速度を有し、かつ、排気開始から1分経過後の暴露処理チャンバー101内の圧力が−100KPa以下になるような排気能力を有していることが必要であることが判明した。   In order to satisfy such requirements, according to the experimental results of the inventor of the present application, the vacuum pump used for exhausting the exposure processing chamber 101 has an exhaust speed of at least 50 L / min, and one minute has elapsed since the start of evacuation. It has been found that it is necessary to have an evacuation capacity so that the pressure in the subsequent exposure processing chamber 101 becomes -100 KPa or less.

本実施形態に係る基板処理装置500の各基板処理ユニット502a−502gは、以上のような基板処理装置100のステージ11に相当するステージ503と、基板処理装置100のガス吹き出し板21と、を備えている。   Each of the substrate processing units 502a to 502g of the substrate processing apparatus 500 according to the present embodiment includes the stage 503 corresponding to the stage 11 of the substrate processing apparatus 100 as described above, and the gas blowing plate 21 of the substrate processing apparatus 100. ing.

従って、基板処理装置500を用いることにより、一つのチャンバー501内に、複数の基板1を各々水平姿勢で鉛直方向において相互に間隔を空けて配列した状態で、ガス導入機構520によりチャンバー501内に供給される暴露処理用ガスを、ガス吹き出し板21の開口211を介してそれぞれ対応する基板1に吹き付けて、各基板1に対し暴露処理を行うことができる。   Therefore, by using the substrate processing apparatus 500, the plurality of substrates 1 are arranged in a single chamber 501 in a horizontal posture at an interval from each other in the vertical direction. The supplied exposure processing gas can be blown onto the corresponding substrate 1 through the opening 211 of the gas blowing plate 21 to perform the exposure processing on each substrate 1.

次いで、本実施形態に係る基板処理装置500を用いた基板1の処理方法を以下に説明する。   Next, a method for processing the substrate 1 using the substrate processing apparatus 500 according to the present embodiment will be described below.

先ず、暴露処理チャンバー501内のガス雰囲気をより高純度にするため、暴露処理用ガスの導入前に暴露処理チャンバー501内を強制的に排気し、約−70KPa以下(大気圧を0KPaとする)になるようにする。   First, in order to make the gas atmosphere in the exposure processing chamber 501 higher in purity, the inside of the exposure processing chamber 501 is forcibly evacuated before introducing the exposure processing gas to about -70 KPa or less (atmospheric pressure is 0 KPa). So that

次いで、蒸気発生装置31に送り込む窒素ガスのガス圧を0.5kg/cm、流量を5.0L/minに設定し、窒素ガスを蒸気発生装置31に貯留されている処理液に流し込み、処理液(薬液)から気化したガスをバブル状に発生させる。   Next, the gas pressure of the nitrogen gas fed into the steam generator 31 is set to 0.5 kg / cm, the flow rate is set to 5.0 L / min, and the nitrogen gas is poured into the processing liquid stored in the steam generator 31 to process the processing liquid. The vaporized gas is generated in a bubble form from the (chemical solution).

処理液から気化したガスと窒素ガスとを含んだ暴露処理用ガス33を5.0L/minのガス流量でガス配管32に流す。   An exposure treatment gas 33 containing a gas vaporized from the treatment liquid and a nitrogen gas is supplied to the gas pipe 32 at a gas flow rate of 5.0 L / min.

暴露処理用ガス33はガス配管32を経てチャンバー501内に導入され、各基板処理ユニット502a−502gのガス吹き出し板21の開口211を介してそれぞれ対応する基板1に吹き付けられる。   The exposure processing gas 33 is introduced into the chamber 501 through the gas pipe 32, and is blown to the corresponding substrate 1 through the opening 211 of the gas blowing plate 21 of each of the substrate processing units 502a to 502g.

これにより、暴露処理用ガス33は、ステージ503上に載置されている基板1に対して、均一に吹き付けられる。   Thus, the exposure processing gas 33 is uniformly sprayed on the substrate 1 mounted on the stage 503.

この結果、基板1において、レジストパターン516のリフローが起きる(図13(a)参照)。   As a result, reflow of the resist pattern 516 occurs on the substrate 1 (see FIG. 13A).

暴露処理用ガス33をガス配管32を介して暴露処理チャンバー101の内部に流し続け、暴露処理チャンバー501内の圧力が陽圧(+0KPa以上)になったときに、ガス排気口501bを開放する。   The exposure processing gas 33 is continuously flown into the exposure processing chamber 101 via the gas pipe 32, and when the pressure in the exposure processing chamber 501 becomes positive pressure (+0 KPa or more), the gas exhaust port 501b is opened.

処理プロセス条件として暴露処理チャンバー101内の圧力を、例えば、+0.2KPaに設定した場合には、ガス排気口501bの開度を調整し、暴露処理チャンバー501内の圧力が+0.2KPaに維持されるようにする。   When the pressure in the exposure processing chamber 101 is set to, for example, +0.2 KPa as the processing process condition, the opening degree of the gas exhaust port 501b is adjusted, and the pressure in the exposure processing chamber 501 is maintained at +0.2 KPa. So that

ただし、処理圧力としては−50Kpaから50KPaの範囲内の圧力を選ぶことが可能である。最適な圧力範囲は−20KPaから20KPaであり、特に望ましい圧力範囲は−5KPaから5KPaである。処理圧力は、その誤差が±0.1KPa以内になるように、制御される。   However, it is possible to select a pressure in the range of -50 Kpa to 50 KPa as the processing pressure. The optimal pressure range is from -20 KPa to 20 KPa, and a particularly desirable pressure range is from -5 KPa to 5 KPa. The processing pressure is controlled so that the error is within ± 0.1 KPa.

一定の処理時間が経過したら、ガスの置換をすみやかに行うために、暴露処理用ガスを排出し、N2ガスで置換する方法をとる。 After a certain processing time has elapsed, in order to promptly replace the gas, a method of discharging the exposure processing gas and replacing it with N 2 gas is adopted.

そのために、先ず、暴露処理用ガス33の導入を停止した後、真空排気を行い、暴露処理チャンバー501内の圧力を約−70KPa以下にする。さらに、チャンバー置換用ガスとして暴露処理チャンバー501内に窒素ガスその他の不活性ガスを20L/min以上の流量で流入させながら、真空ポンプを用いて、真空排気を少なくとも10秒以上行なう。この時の暴露処理チャンバー501の圧力としては、少なくとも−30KPaを維持するようにする。   For this purpose, first, after the introduction of the exposure processing gas 33 is stopped, vacuum evacuation is performed, and the pressure in the exposure processing chamber 501 is reduced to about −70 KPa or less. Further, while a nitrogen gas or another inert gas is introduced into the exposure processing chamber 501 as a chamber replacement gas at a flow rate of 20 L / min or more, evacuation is performed using a vacuum pump for at least 10 seconds. At this time, the pressure of the exposure processing chamber 501 is maintained at least at -30 KPa.

真空排気を止め、暴露処理チャンバー501の圧力が陽圧になるまで窒素ガスを導入し、暴露処理チャンバー501の圧力が約+2KPaになった時点で置換用の窒素ガスの導入を止める。   The evacuation is stopped, and nitrogen gas is introduced until the pressure in the exposure processing chamber 501 becomes positive. When the pressure in the exposure processing chamber 501 becomes about +2 KPa, the introduction of nitrogen gas for replacement is stopped.

そして、処理した基板1を取り出す。   Then, the processed substrate 1 is taken out.

本実施形態において使用する有機膜パターンとしてのレジストの材料例を以下に説明する。レジストの材料には、有機溶剤に溶解するレジストと水溶性のレジストとがある。   An example of a material of a resist as an organic film pattern used in the present embodiment will be described below. The resist material includes a resist soluble in an organic solvent and a water-soluble resist.

有機溶剤に溶解するレジストの例としては、高分子化合物に感光剤及び添加剤を加えた材料から構成されるレジストがある。   An example of a resist soluble in an organic solvent is a resist composed of a material obtained by adding a photosensitizer and an additive to a polymer compound.

高分子化合物としては種々のものがあり、ポリビニル系ではポリビニルケイ皮酸エステルがある。ゴム系では、環化ポリイソプレンや環化ポリブタジエンにビスアジド化合物を混合したものがある。ノボラック樹脂系では、クレゾールノボラック樹脂とナフトキノンジアジド−5−スルフォン酸エステルを混合したものがある。アクリル酸の共重合樹脂系では、ポリアクリルアミドやポリアミド酸がある。   There are various polymer compounds, and polyvinyl compounds include polyvinyl cinnamate. Among rubbers, there are cyclized polyisoprene and cyclized polybutadiene mixed with a bisazide compound. In the novolak resin system, there is a mixture of cresol novolak resin and naphthoquinonediazide-5-sulfonic acid ester. Among acrylic acid copolymer resin systems, there are polyacrylamide and polyamic acid.

また、水溶性のレジストの例としては、高分子化合物に感光剤及び添加剤を加えた材料から構成されるレジストがある。高分子化合物としては種々のものがあり、ポリアクリル酸、ポリビニルアセタール、ポリビニルピロリドン、ポリビニルアルコール、ポリエチレンイミン、ポリエチレンオキシド、スチレン−無水マレイン酸共重合体、ポリビニルアミン、ポリアリルアミン、オキサゾリン基含有水溶性樹脂、水溶性メラミン樹脂、水溶性尿素樹脂、アルキッド樹脂、スルホンアミドのうちのいずれか、あるいは、これらの2種類以上の混合物を用いたものが考えられる。   As an example of the water-soluble resist, there is a resist composed of a material obtained by adding a photosensitizer and an additive to a polymer compound. There are various high molecular compounds, such as polyacrylic acid, polyvinyl acetal, polyvinyl pyrrolidone, polyvinyl alcohol, polyethylene imine, polyethylene oxide, styrene-maleic anhydride copolymer, polyvinylamine, polyallylamine, and oxazoline group-containing water-soluble. A resin, a water-soluble melamine resin, a water-soluble urea resin, an alkyd resin, a sulfonamide, or a mixture of two or more of these resins can be considered.

次に、レジスト膜を溶解させる溶剤に用いられる薬液の例を挙げる。
1.レジストが有機溶剤に溶解する場合
(a)有機溶剤
具体例として、有機溶剤を上位概念としての有機溶剤と、それを具体化した下位概念の有機溶剤とに分けて示す。(Rはアルキル基又は置換アルキル基、Arはフェニル基又はフェニル基以外の芳香環を示す)
・アルコール類(R−OH)
・アルコキシアルコール類
・エーテル類(R−O−R、Ar−O−R、Ar−O−Ar)
・エステル類
・ケトン類
・グリコール類
・アルキレングリコール類
・グリコールエーテル類
上記有機溶剤の具体例としては、次のようなものがある。
・CH3OH、C2H5OH、CH3(CH2)XOH
・イソプロピルアルコール(IPA)
・エトキシエタノール
・メトキシアルコール
・長鎖アルキルエステル
・モノエタノールアミン(MEA)
・アセトン
・アセチルアセトン
・ジオキサン
・酢酸エチル
・酢酸ブチル
・トルエン
・メチルエチルケトン(MEK)
・ジエチルケトン
・ジメチルスルホキシド(DMSO)
・メチルイソブチルケトン(MIBK)
・ブチルカルビトール
・n−ブチルアセテート(nBA)
・ガンマーブチロラクトン
・エチルセロソルブアセテート(ECA)
・乳酸エチル
・ピルビン酸エチル
・2−ヘプタノン(MAK)
・3−メトキシブチルアセテート
・エチレングリコール
・プロピレングリコール
・ブチレングリコール
・エチレングリコールモノエチルエーテル
・ジエチレングリコールモノエチルエーテル
・エチレングリコールモノエチルエーテルアセテート
・エチレングリコールモノメチルエーテル
・エチレングリコールモノメチルエーテルアセテート
・エチレングリコールモノ−n−ブチルエーテル
・ポリエチレングリコール
・ポリプロレングリコール
・ポリブチレングリコール
・ポリエチレングリコールモノエチルエーテル
・ポリジエチレングリコールモノエチルエーテル
・ポリエチレングリコールモノエチルエーテルアセテート
・ポリエチレングリコールモノメチルエーテル
・ポリエチレングリコールモノメチルエーテルアセテート
・ポリエチレングリコールモノ−n−ブチルエーテル
・メチル−3−メトキシプロピオネート(MMP)
・プロピレングリコールモノメチルエーテル(PGME)
・プロピレングリコールモノメチルエーテルアセテート(PGMEA)
・プロピレングリコールモノプロピルエーテル(PGP)
・プロピレングリコールモノエチルエーテル(PGEE)
・エチル−3−エトキシプロピオネート(FEP)
・ジプロピレングリコールモノエチルエーテル
・トリプロピレングリコールモノエチルエーテル
・ポリプロピレングリコールモノエチルエーテル
・プロピレングリコールモノメチルエーテルプロピオネート
・3−メトキシプロピオン酸メチル
・3−エトキシプロピオン酸エチル
・N−メチル−2−ピロリドン(NMP)
2.レジストが水溶性の場合
(a)水
(b)水を主成分とする水溶液
本願発明者は、上記の基板処理装置100及び暴露処理用ガス33を用いて、以下のように、実際に基板上にパターニングされた塗布膜をリフローさせた。
Next, examples of chemicals used as a solvent for dissolving the resist film will be described.
1. When the resist is dissolved in an organic solvent (a) As specific examples of the organic solvent, the organic solvent is divided into an organic solvent as an upper concept and an organic solvent as a lower concept that embodies the organic solvent. (R represents an alkyl group or a substituted alkyl group, and Ar represents a phenyl group or an aromatic ring other than a phenyl group.)
・ Alcohols (R-OH)
-Alkoxy alcohols-Ethers (R-O-R, Ar-O-R, Ar-O-Ar)
-Esters, ketones, glycols, alkylene glycols, glycol ethers Specific examples of the organic solvent include the following.
CH3OH, C2H5OH, CH3 (CH2) XOH
・ Isopropyl alcohol (IPA)
・ Ethoxyethanol ・ Methoxy alcohol ・ Long chain alkyl ester ・ Monoethanolamine (MEA)
・ Acetone / Acetylacetone / Dioxane / Ethyl acetate / Butyl acetate / Toluene / Methyl ethyl ketone (MEK)
・ Diethyl ketone ・ Dimethyl sulfoxide (DMSO)
・ Methyl isobutyl ketone (MIBK)
-Butyl carbitol-n-butyl acetate (nBA)
・ Gammabutyrolactone ・ Ethyl cellosolve acetate (ECA)
・ Ethyl lactate ・ Ethyl pyruvate ・ 2-Heptanone (MAK)
・ 3-methoxybutyl acetate ・ ethylene glycol ・ propylene glycol ・ butylene glycol ・ ethylene glycol monoethyl ether ・ diethylene glycol monoethyl ether ・ ethylene glycol monoethyl ether acetate ・ ethylene glycol monomethyl ether ・ ethylene glycol monomethyl ether acetate ・ ethylene glycol mono-n -Butyl ether, polyethylene glycol, polypropylene glycol, polybutylene glycol, polyethylene glycol monoethyl ether, polydiethylene glycol monoethyl ether, polyethylene glycol monoethyl ether acetate, polyethylene glycol monomethyl ether, polyethylene glycol monomethyl ether acetate, polyethylene Recall mono -n- butyl-methyl-3-methoxypropionate (MMP)
・ Propylene glycol monomethyl ether (PGME)
・ Propylene glycol monomethyl ether acetate (PGMEA)
・ Propylene glycol monopropyl ether (PGP)
・ Propylene glycol monoethyl ether (PGEE)
・ Ethyl-3-ethoxypropionate (FEP)
・ Dipropylene glycol monoethyl ether ・ Tripropylene glycol monoethyl ether ・ Polypropylene glycol monoethyl ether ・ Propylene glycol monomethyl ether propionate ・ Methyl 3-methoxypropionate ・ Ethyl 3-ethoxypropionate ・ N-methyl-2-pyrrolidone (NMP)
2. In the case where the resist is water-soluble (a) water (b) an aqueous solution containing water as a main component The present inventor uses the substrate processing apparatus 100 and the exposure processing gas 33 to actually coat the substrate on the substrate as follows. Was reflowed.

まず、基板上にノボラック樹脂を主成分とするレジストからなる塗布膜を2.0μmの厚さに塗布し、幅10.0μm及び長さ20.0μmの塗布膜パターンを形成した。   First, a coating film made of a resist containing a novolak resin as a main component was applied to a thickness of 2.0 μm on a substrate to form a coating film pattern having a width of 10.0 μm and a length of 20.0 μm.

この塗布膜パターンを本実施形態に係る基板処理装置100において、暴露処理用ガス33としてNMPを用いて、リフローさせた。暴露処理用ガス33に含まれるN2ガスその他の条件は上記の第一の実施形態に記載した条件を用いた。 This coating film pattern was reflowed in the substrate processing apparatus 100 according to the present embodiment using NMP as the exposure processing gas 33. The conditions described in the first embodiment were used for the N 2 gas and other conditions contained in the exposure processing gas 33.

図4は、塗布膜パターンの横方向へのリフロー距離のリフロー時間依存性を示したものである。この時に用いた上記の条件以外のリフローの主要条件は下記の通りである。
(1)暴露処理用ガス及び流量:処理液蒸気5L/min、N2ガス5L/min
(2)暴露処理用ガス温度:22℃
(3)昇降ステージ11とガス吹き出し板21との間隔:10mm
(4)昇降ステージ11の温度:26℃
(5)暴露処理チャンバー101内の処理圧力:+0.2KPa図4からわかるように、塗布膜パターンのリフロー距離はリフロー時間に対してほぼリニアな関係で変化する。従って、リフロー距離はリフロー時間で制御することが可能である。
FIG. 4 shows the reflow time dependency of the reflow distance in the horizontal direction of the coating film pattern. The main conditions of the reflow other than the above conditions used at this time are as follows.
(1) Exposure treatment gas and flow rate: treatment liquid vapor 5 L / min, N 2 gas 5 L / min
(2) Exposure gas temperature: 22 ° C
(3) Distance between the lifting stage 11 and the gas blowing plate 21: 10 mm
(4) Temperature of the lifting stage 11: 26 ° C.
(5) Processing pressure in the exposure processing chamber 101: +0.2 KPa As can be seen from FIG. 4, the reflow distance of the coating film pattern changes in a substantially linear relationship with the reflow time. Therefore, the reflow distance can be controlled by the reflow time.

図5は、塗布膜パターンのリフロー後のリフロー距離の基板内での均一性を示すグラフである。   FIG. 5 is a graph showing the uniformity of the reflow distance in the substrate after the reflow of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、昇降ステージ11とガス吹き出し板21との間隔、昇降ステージ11の温度、暴露処理チャンバー101内の処理圧力を固定し、処理ガス流量を変化させた。これら以外の条件は図4の条件と同じ条件を用いた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the distance between the elevating stage 11 and the gas blowing plate 21, the temperature of the elevating stage 11, the processing pressure in the exposure processing chamber 101 are fixed, and the processing gas flow rate is adjusted. Changed. Other conditions were the same as the conditions in FIG.

この測定では、塗布膜パターンのリフロー時間を5分とし、リフローさせた後の塗布膜パターンのリフロー距離を測定した。測定点は基板1の10箇所をほぼ平面的に均等に渡って測定した。10箇所の測定値における最大値をTmax、最小値をTmin、それらの平均値をTmeanとすると、測定点でのリフロー距離TxのばらつきTxsは次式で表される。
Txs=|(Tmean―Tx)/Tmean|
図5からわかるように、暴露処理用ガス33の流量が2乃至10L/minの間では、基板1内におけるリフロー距離のばらつきが約5%と極めて良い結果が得られた。
In this measurement, the reflow time of the coating film pattern was set to 5 minutes, and the reflow distance of the coating film pattern after the reflow was measured. The measurement points were measured at 10 locations on the substrate 1 almost uniformly in a plane. Assuming that the maximum value of the ten measured values is Tmax, the minimum value is Tmin, and the average value is Tmean, the variation Txs of the reflow distance Tx at the measurement point is expressed by the following equation.
Txs = | (Tmean-Tx) / Tmean |
As can be seen from FIG. 5, when the flow rate of the exposure processing gas 33 was between 2 and 10 L / min, the reflow distance variation in the substrate 1 was about 5%, which was an extremely good result.

発明者の実験によれば、リフロー処理の制御因子としては、レジストパターンへの暴露処理用ガス33の供給量が最も重要である。ガス吹き出し板21を設け、基板1の各部分ごとに暴露処理用ガス33の供給量を制御することにより、リフロー距離を自在に制御することが可能である。   According to the experiment of the inventor, the supply amount of the exposure processing gas 33 to the resist pattern is most important as a control factor of the reflow processing. By providing the gas blowing plate 21 and controlling the supply amount of the exposure processing gas 33 for each part of the substrate 1, the reflow distance can be freely controlled.

図6は、塗布膜パターンのリフロー後のリフロー距離の基板内での均一性を示すグラフである。   FIG. 6 is a graph showing the uniformity in the substrate of the reflow distance after the reflow of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、処理ガス流量、昇降ステージ11の温度、暴露処理チャンバー101内の処理圧力を固定し、昇降ステージ11とガス吹き出し板21との間隔を変化させた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the processing gas flow rate, the temperature of the elevating stage 11, the processing pressure in the exposure processing chamber 101 are fixed, and the distance between the elevating stage 11 and the gas blowing plate 21 is set. Changed.

図6から明らかであるように、昇降ステージ11とガス吹き出し板21との間隔を5乃至15mmの範囲に設定すれば、リフロー距離は基板1内で約10%以内のばらつきに抑えることができることが分かった。   As is clear from FIG. 6, if the distance between the lifting stage 11 and the gas blowing plate 21 is set in a range of 5 to 15 mm, the reflow distance can be suppressed to about 10% or less within the substrate 1. Do you get it.

図7は、塗布膜パターンのリフロー速度を示すグラフである。   FIG. 7 is a graph showing the reflow speed of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、処理ガス流量、昇降ステージ11とガス吹き出し板21との間隔、暴露処理チャンバー101内の処理圧力を固定し、昇降ステージ11の温度を変化させた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the processing gas flow rate, the distance between the elevating stage 11 and the gas blowing plate 21, the processing pressure in the exposure processing chamber 101 are fixed, and the temperature of the elevating stage 11 is reduced. Changed.

図7から明らかであるように、昇降ステージ11の温度を24乃至26℃に設定することにより、塗布膜パターンのリフロー速度が10μm/分近傍で安定することがわかる。   As is clear from FIG. 7, it is found that the reflow speed of the coating film pattern is stabilized at around 10 μm / min by setting the temperature of the elevating stage 11 to 24 to 26 ° C.

以上の測定結果から、基板処理装置100において、以下の条件の下に、基板1に対する暴露処理用ガス33の暴露処理を行うことにより、マスクとしての機能を保持しつつ、塗布膜パターンのリフロー距離を基板1内で10%以内に抑えることができる。
(1)暴露処理用ガス及び流量:処理液蒸気2乃至10L/min、窒素ガス2乃至10L/min
(2)暴露処理用ガス温度:20乃至26℃
(3)昇降ステージ11とガス吹き出し板21との間隔:5乃至15mm
(4)昇降ステージ11の温度:24乃至26℃
(5)暴露処理チャンバー101内の処理圧力−1乃至+2KPa
なお、本実施形態に係る基板処理装置500の各基板処理ユニット502a−502gは、上記のように、基板処理装置100(図2)において暴露処理チャンバー101とガス導入機構120とを除いた構成とほぼ等しい構成を有しているため、本実施形態に係る基板処理装置500を用いて上記のリフローを行うことにより、上記の基板処理装置100を用いてリフローを行った場合と同様の効果が期待できる。
From the above measurement results, in the substrate processing apparatus 100, by performing the exposure processing of the exposure processing gas 33 to the substrate 1 under the following conditions, the reflow distance of the coating film pattern is maintained while maintaining the function as a mask. In the substrate 1 can be suppressed within 10%.
(1) Exposure treatment gas and flow rate: treatment liquid vapor 2 to 10 L / min, nitrogen gas 2 to 10 L / min
(2) Exposure gas temperature: 20 to 26 ° C
(3) Distance between the lifting stage 11 and the gas blowing plate 21: 5 to 15 mm
(4) Temperature of the lifting stage 11: 24 to 26 ° C.
(5) Processing pressure in exposure processing chamber 101 -1 to +2 KPa
Each of the substrate processing units 502a to 502g of the substrate processing apparatus 500 according to the present embodiment has the same configuration as that of the substrate processing apparatus 100 (FIG. 2) except for the exposure processing chamber 101 and the gas introduction mechanism 120. Since the reflow is performed using the substrate processing apparatus 500 according to the present embodiment, the same effect as in the case where the reflow is performed using the substrate processing apparatus 100 is expected because they have substantially the same configuration. it can.

本実施形態に係る基板処理装置500はレジストのリフローを行うための装置として説明したが、基板処理装置500は、レジストのリフロー以外の使用目的に用いることも可能である。例えば、半導体基板の表面を酸洗浄したり、あるいは、基板に対するレジストの密着性向上のために使用することも可能である。このような場合には、次のような薬液が使用される。
(A)酸を主成分とする溶液(表面洗浄用)
・塩酸・弗化水素・その他酸溶液
(B)無機−有機混合溶剤(有機膜の密着力強化に用いる場合)
・ヘキサメチルジシラザン等のシランカップリング剤
以上のような第一の実施形態によれば、暴露処理用ガスがガス吹き出し板21によって基板1表面の全面に渡ってほぼ均一に吹き付けられることになるので、基板1の全面に渡ってリフロー距離Lを精度良く制御することができる。
Although the substrate processing apparatus 500 according to the present embodiment has been described as an apparatus for reflowing a resist, the substrate processing apparatus 500 can be used for a purpose other than reflowing a resist. For example, the surface of a semiconductor substrate can be washed with an acid or used for improving the adhesion of a resist to the substrate. In such a case, the following chemicals are used.
(A) Solution mainly composed of acid (for surface cleaning)
・ Hydrochloric acid / hydrogen fluoride / other acid solution (B) Inorganic-organic mixed solvent (when used to enhance adhesion of organic film)
-Silane coupling agent such as hexamethyldisilazane According to the above-described first embodiment, the gas for exposure treatment is sprayed almost uniformly over the entire surface of the substrate 1 by the gas blowing plate 21. Therefore, the reflow distance L can be accurately controlled over the entire surface of the substrate 1.

しかも、一度に複数枚の基板を同時に処理することができ、基板の処理効率を大幅に高めることが可能である。   In addition, a plurality of substrates can be simultaneously processed at one time, and the processing efficiency of the substrates can be greatly increased.

なお、本実施形態に係る基板処理装置500は7段の基板処理ユニット502a−502gを有するものとして構成したが、基板処理ユニットの個数は7に限定されるものではなく、2以上の任意の数を選択することができる。   Although the substrate processing apparatus 500 according to the present embodiment is configured as having seven stages of substrate processing units 502a to 502g, the number of substrate processing units is not limited to seven, and is an arbitrary number of two or more. Can be selected.

(第二の実施形態)
本実施形態に係る基板処理装置500の各基板処理ユニット502a−502gのステージ503は、以下に説明する基板処理装置200(図8)のステージ11のように、温度調整機構を備えるものとしても良く、ガス導入機構520は蒸気発生装置31を取り囲む貯蔵容器301(図8)を備えるものとしても良い。
(Second embodiment)
The stage 503 of each of the substrate processing units 502a to 502g of the substrate processing apparatus 500 according to the present embodiment may be provided with a temperature adjusting mechanism like the stage 11 of the substrate processing apparatus 200 (FIG. 8) described below. The gas introduction mechanism 520 may include a storage container 301 (FIG. 8) surrounding the steam generator 31.

図8は、基板処理装置200の構成を示す断面図である。基板処理装置200において、基板処理装置100の構成要素と同一の構造及び機能を有する構成要素には同一の符号を付してある。   FIG. 8 is a cross-sectional view illustrating a configuration of the substrate processing apparatus 200. In the substrate processing apparatus 200, components having the same structure and function as the components of the substrate processing apparatus 100 are denoted by the same reference numerals.

本願発明者の実験によれば、基板1に対する処理プロセスの安定化及び均一化を高め、さらに、反応速度を制御するためには、各機構の温度調整をする必要があることが分かった。   According to experiments performed by the inventor of the present application, it has been found that it is necessary to adjust the temperature of each mechanism in order to enhance the stabilization and uniformity of the treatment process for the substrate 1 and to control the reaction rate.

このため、基板処理装置200においては、以下のように、温度調整機構が設けられている。   For this reason, the substrate processing apparatus 200 is provided with a temperature adjustment mechanism as described below.

下部チャンバー10においては、基板1の温度を調整するため、昇降ステージ11の内部を中空とし、昇降ステージ11の内部に温度調節液112を流し、循環させることにより、昇降ステージ11全体の温度調節を行う。   In the lower chamber 10, in order to adjust the temperature of the substrate 1, the inside of the elevating stage 11 is made hollow, and a temperature control liquid 112 is flowed and circulated inside the elevating stage 11, thereby controlling the temperature of the entire elevating stage 11. Do.

また、上部チャンバー20の内部を中空とし、上部チャンバー20の内部に温度調節液221を流し、循環させることにより、上部チャンバー20のみならず、熱伝導を利用して、上部チャンバー20に接しているガス導入管24、ガス拡散部材23及びガス吹き出し板21の温度調節を行う。   In addition, the inside of the upper chamber 20 is made hollow, and the temperature control liquid 221 is flowed and circulated inside the upper chamber 20, so that it is in contact with the upper chamber 20 using not only the upper chamber 20 but also heat conduction. The temperature of the gas introduction pipe 24, the gas diffusion member 23, and the gas blowing plate 21 is adjusted.

次に、ガス導入機構120においては、供給される暴露処理用ガス33の温度を調整するため、貯留容器301の内部を中空とし、貯留容器301の内部に温度調節液を流し、循環させることにより、暴露処理用ガス33の温度調節を行う。   Next, in the gas introduction mechanism 120, in order to adjust the temperature of the supplied exposure processing gas 33, the inside of the storage container 301 is made hollow, and a temperature control liquid is flowed and circulated inside the storage container 301. The temperature of the exposure processing gas 33 is adjusted.

制御が必要な温度範囲としては10乃至80℃、特に、20乃至50℃の範囲で制御可能であることが必要であり、さらに、少なくとも±3℃以内、特に±0.5℃以内で制御できるようにすることが必要であることが判明した。   It is necessary to control the temperature in a range of 10 to 80 ° C., particularly 20 to 50 ° C., and it is possible to control the temperature at least within ± 3 ° C., particularly within ± 0.5 ° C. It turned out to be necessary.

本実施形態の場合の基板処理方法においては、例えば、温度調節液112を24℃に設定し、昇降ステージ11及び基板1の温度が同温度になるようにする。   In the substrate processing method according to the present embodiment, for example, the temperature control liquid 112 is set to 24 ° C. so that the temperature of the elevating stage 11 and the substrate 1 are the same.

また、貯留容器301に流し込む温度調節液は26℃に設定し、ガス導入機構120からの暴露処理用ガス33の温度が同温度になるようにする。   Further, the temperature control liquid poured into the storage container 301 is set at 26 ° C. so that the temperature of the exposure processing gas 33 from the gas introduction mechanism 120 becomes the same.

更に、温度調節液221も26℃に設定し、ガス吹き出し板21、上部チャンバー20及びガス拡散器23の温度が同温度になるようにする。   Further, the temperature control liquid 221 is also set at 26 ° C. so that the temperatures of the gas blow-out plate 21, the upper chamber 20 and the gas diffuser 23 are the same.

この後、上記の第一の実施形態と同様の過程を実施する。   Thereafter, the same process as in the first embodiment is performed.

(第一及び第二の実施形態の変形例)
上述の第一及び第二の実施形態に係る基板処理装置500の構造は上記の構造に限定されるものではなく、以下に述べるように、種々の変更が可能である。
(Modification of First and Second Embodiments)
The structure of the substrate processing apparatus 500 according to the above-described first and second embodiments is not limited to the above structure, and various modifications are possible as described below.

先ず、ガス吹き付け機構において、次のような変更が可能である。   First, the following changes are possible in the gas blowing mechanism.

第一及び第二の実施形態においては、各ガス導入口の上流側に1個のガス流量制御機構を設け、このガス流量制御機構から各ガス導入口に暴露処理用ガス33を分配することを想定したが、ガス導入口の各々に暴露処理用ガス33の流量を調節するガス流量制御機構を設けることも可能である。このガス流量制御機構は、マスフロー制御、流量計を用いた制御、単なるバルブの開角度の制御などを行うことにより、暴露処理用ガス33の流量を制御することができる。   In the first and second embodiments, one gas flow control mechanism is provided on the upstream side of each gas inlet, and distribution of the exposure processing gas 33 from the gas flow control mechanism to each gas inlet is performed. Although it is assumed that a gas flow rate control mechanism for adjusting the flow rate of the exposure processing gas 33 can be provided at each of the gas introduction ports. This gas flow control mechanism can control the flow rate of the exposure processing gas 33 by performing mass flow control, control using a flow meter, simple control of the opening angle of a valve, and the like.

第一の実施形態に係る基板処理装置500においては、ガス吹き出し板21は平板として形成されているが、基板1に向かって凸状の、あるいは、凹状の円弧状の曲面を有する板から構成することも可能である。   In the substrate processing apparatus 500 according to the first embodiment, the gas blowing plate 21 is formed as a flat plate, but is formed of a plate having a curved surface that is convex toward the substrate 1 or concave. It is also possible.

また、第一の実施形態に係る基板処理装置500においては、ガス吹き出し板21は上部チャンバー20に対して固定されているが、ガス吹き出し板21をその中心を回転中心として回転可能に形成することも可能である。例えば、モーターその他の動力源を用いて、暴露処理用ガス33が基板1に対して吹き付けられている間にガス吹き出し板21を回転させることにより、より均一に暴露処理用ガス33を基板1に対して吹き付けることができる。   In the substrate processing apparatus 500 according to the first embodiment, the gas blowing plate 21 is fixed to the upper chamber 20, but the gas blowing plate 21 is formed to be rotatable around its center as a rotation center. Is also possible. For example, by rotating the gas blowing plate 21 while the exposure processing gas 33 is being blown against the substrate 1 using a motor or other power source, the exposure processing gas 33 is more uniformly applied to the substrate 1. Can be sprayed against.

さらに、ガス吹き出し板21のみならず、昇降ステージ503もその軸心を回転中心として回転可能に形成することが可能である。   Further, not only the gas blowing plate 21 but also the elevating stage 503 can be formed so as to be rotatable about its axis as a center of rotation.

例えば、ガス吹き出し板21と昇降ステージ503の双方を相互に逆方向に回転させることにより、より均一に暴露処理用ガス33を基板1に対して吹き付けることができる。   For example, by rotating both the gas blowing plate 21 and the elevating stage 503 in opposite directions, the exposure processing gas 33 can be more uniformly blown to the substrate 1.

また、暴露処理チャンバー501の内部に暴露処理チャンバー501の内圧を測定するための圧力測定素子を設け、暴露処理チャンバー501の内部を真空排気する真空排気装置を圧力測定素子が測定した圧力に応じて作動させることにより、暴露処理チャンバー501の内圧を自動調整することが可能である。   Further, a pressure measuring element for measuring the internal pressure of the exposure processing chamber 501 is provided inside the exposure processing chamber 501, and a vacuum exhaust device for evacuating the inside of the exposure processing chamber 501 is provided according to the pressure measured by the pressure measuring element. By operating, the internal pressure of the exposure processing chamber 501 can be automatically adjusted.

(第三の実施形態)
本実施形態に係る基板処理装置500の各基板処理ユニット502a−502gは、以下に説明する基板処理装置400(図9)のように、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付けるとともに、基板に対してドライエッチング又はアッシング処理をも行うことが可能に構成しても良い。
(Third embodiment)
Each of the substrate processing units 502a to 502g of the substrate processing apparatus 500 according to the present embodiment supplies an exposure processing gas to a substrate disposed in a chamber as in a substrate processing apparatus 400 (FIG. 9) described below. In addition to the uniform spraying, the substrate may be subjected to dry etching or ashing.

図9に示す基板処理装置400は、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付けるとともに、基板に対してドライエッチング又はアッシング処理をも行う装置である。   A substrate processing apparatus 400 illustrated in FIG. 9 is an apparatus that uniformly blows an exposure processing gas to a substrate disposed in a chamber and performs dry etching or ashing processing on the substrate.

なお、ドライエッチング又はアッシング処理は暴露処理の前または後に行うことができ、あるいは、暴露処理と同時に行うことも可能である。   Note that the dry etching or ashing treatment can be performed before or after the exposure treatment, or can be performed simultaneously with the exposure treatment.

基板処理装置400において、上記の基板処理装置100の構成要素と同一の構造及び機能を有する構成要素には同一の符号を付してある。   In the substrate processing apparatus 400, components having the same structure and function as those of the above-described substrate processing apparatus 100 are denoted by the same reference numerals.

基板処理装置400は、基板処理装置100の構成に加えて、プラズマ発生機構を備えており、このプラズマ発生機構は、上部チャンバー20とガス吹き出し板21との間に配置された上部電極410と、昇降ステージ11の内部に配置された下部電極420と、コンデンサ422と、RF高周波電源423と、から構成されている。   The substrate processing apparatus 400 includes, in addition to the configuration of the substrate processing apparatus 100, a plasma generation mechanism. The plasma generation mechanism includes an upper electrode 410 disposed between the upper chamber 20 and the gas blowing plate 21; It comprises a lower electrode 420, a capacitor 422, and an RF high-frequency power supply 423 disposed inside the elevating stage 11.

上部電極410は上部電極配線411を介してアース412に接続されている。   The upper electrode 410 is connected to the ground 412 via the upper electrode wiring 411.

また、下部電極420は、下部電極配線421を介してコンデンサ422及びRF高周波電源423に接続されており、最終的には、アース424に接続されている。   The lower electrode 420 is connected to the capacitor 422 and the RF high-frequency power supply 423 via the lower electrode wiring 421, and is finally connected to the ground 424.

本実施形態の場合、基板処理装置500は、基板処理装置400におけるのと同様のプラズマ発生機構を備えている。   In the case of the present embodiment, the substrate processing apparatus 500 includes the same plasma generation mechanism as in the substrate processing apparatus 400.

このように構成した基板処理装置500を用いることにより、以下のようにして、基板1に対する暴露処理及びドライエッチング又はアッシング処理を施すことができる。   By using the substrate processing apparatus 500 configured as described above, exposure processing and dry etching or ashing processing for the substrate 1 can be performed as described below.

まず、基板1上に被エッチング膜のパターンを形成する。その上に更に形成するレジスト膜のマスクパターン(以後「レジストマスク」と呼ぶ)を前述の第一の実施形態の場合と同様にして変形する。すなわち、基板1を暴露処理用ガス33に対して暴露させることにより、レジストマスクを溶解リフローさせ、そのパターンを変形させる。   First, a pattern of a film to be etched is formed on the substrate 1. The mask pattern of the resist film to be further formed thereon (hereinafter referred to as “resist mask”) is deformed in the same manner as in the above-described first embodiment. That is, by exposing the substrate 1 to the exposure processing gas 33, the resist mask is dissolved and reflowed, and its pattern is deformed.

ここで、そのレジストマスクが溶解リフロー変形を起こす前後において、基板1上に形成されている被エッチング膜のパターンに対して、異なるパターン状態のレジストマスクでエッチング加工を行う。   Here, before and after the resist mask undergoes the reflow deformation, the pattern of the film to be etched formed on the substrate 1 is etched with a resist mask having a different pattern state.

これにより、基板1上の被エッチング膜のパターンとしては、2種類のエッチングパターンを形成することができる。   Thereby, as the pattern of the film to be etched on the substrate 1, two types of etching patterns can be formed.

ただし、このレジストマスクに対しては、O2プラズマを用いたアッシング処理と呼ばれる処理も併せて行われる。 However, a process called an ashing process using O 2 plasma is also performed on this resist mask.

本実施形態の場合の基板処理装置500におけるドライエッチング又はアッシング処理は以下のようにして行われる。ただし、本実施形態の場合の基板処理装置500により行われるドライエッチング又はアッシング処理は通常のドライエッチング又はアッシング処理と同様のものである。   Dry etching or ashing processing in the substrate processing apparatus 500 in the case of the present embodiment is performed as follows. However, the dry etching or ashing process performed by the substrate processing apparatus 500 in the case of the present embodiment is the same as the normal dry etching or ashing process.

まず、暴露処理チャンバー501内に基板1を搭載し、真空引きして、暴露処理チャンバー501内の残留ガスを除去する。この場合の暴露処理チャンバー501内の圧力は約1Pa以下である。   First, the substrate 1 is mounted in the exposure processing chamber 501, and the substrate 1 is evacuated to remove the residual gas in the exposure processing chamber 501. In this case, the pressure in the exposure processing chamber 501 is about 1 Pa or less.

次に、ドライエッチング処理の場合には、エッチングガスとして、例えば、Cl2/O2/He(Cr等のメタルのエッチングの場合)、アッシング処理の場合には、ガスとして、O2のみ又はO2/CF4等の混合ガスを暴露処理チャンバー101内に導入する。 Next, in the case of dry etching, for example, Cl 2 / O 2 / He (in the case of etching metal such as Cr) as an etching gas, and in the case of ashing, only O 2 or O 2 is used as a gas. A mixed gas such as 2 / CF 4 is introduced into the exposure processing chamber 101.

その場合の暴露処理チャンバー501内の圧力は10Pa乃至120Paの範囲で一定に保つ。   In that case, the pressure in the exposure processing chamber 501 is kept constant in the range of 10 Pa to 120 Pa.

次に、上部電極410と下部電極420の間に、RF高周波電源623とコンデンサ622を用いてプラズマ放電をさせることにより、基板1に対してドライエッチング又はアッシング処理を行う。   Next, dry etching or ashing is performed on the substrate 1 by performing plasma discharge between the upper electrode 410 and the lower electrode 420 using the RF high-frequency power supply 623 and the capacitor 622.

本実施形態においては、下部電極420はコンデンサ622及びRF高周波電源623を介して接地されているが、下部電極420はRF高周波電源623のみを介して接地するように構成することも可能である。   In the present embodiment, the lower electrode 420 is grounded via the capacitor 622 and the RF high-frequency power supply 623. However, the lower electrode 420 may be configured to be grounded only via the RF high-frequency power supply 623.

また、本実施形態においては、上部電極410が直接接地され、下部電極420がコンデンサ622及びRF高周波電源623を介して接地されているが、これとは逆に、下部電極420が直接接地され、上部電極410がコンデンサ622及びRF高周波電源623を介して、あるいは、RF高周波電源623のみを介して接地されているように構成することも可能である。   In the present embodiment, the upper electrode 410 is directly grounded, and the lower electrode 420 is grounded via the capacitor 622 and the RF high frequency power supply 623. On the contrary, the lower electrode 420 is directly grounded, The upper electrode 410 may be configured to be grounded via the capacitor 622 and the RF high-frequency power supply 623 or only via the RF high-frequency power supply 623.

さらに、暴露処理チャンバー501内にプラズマを発生させるためのプラズマ発生機構は本実施形態におけるプラズマ発生機構に限定されるものではなく、他のプラズマ発生機構を用いることが可能である。   Further, the plasma generation mechanism for generating plasma in the exposure processing chamber 501 is not limited to the plasma generation mechanism in the present embodiment, and another plasma generation mechanism can be used.

以上のように、本実施形態の場合の基板処理装置500によれば、基板1に体する暴露処理と、ドライエッチング又はアッシング処理とを一つのチャンバーで行うことが可能である。   As described above, according to the substrate processing apparatus 500 of the present embodiment, it is possible to perform the exposure processing on the substrate 1 and the dry etching or ashing processing in one chamber.

なお、暴露処理で使用する暴露処理用ガス33とドライエッチング又はアッシング処理で使用する各種ガスとはそれぞれ別個のガス導入機構を介して暴露処理チャンバー501内に導入してもよく、あるいは、単一のガス導入機構を共用して、暴露処理チャンバー501内に導入することも可能である。ただし、暴露処理とドライエッチング又はアッシング処理とを同時に行う必要がある場合には、別個のガス導入機構を設けることが必要である。   Note that the exposure processing gas 33 used in the exposure processing and the various gases used in the dry etching or ashing processing may be introduced into the exposure processing chamber 501 through separate gas introduction mechanisms. It is also possible to introduce the gas into the exposure processing chamber 501 using the same gas introduction mechanism. However, when it is necessary to perform the exposure process and the dry etching or ashing process at the same time, it is necessary to provide a separate gas introduction mechanism.

また、本実施形態に係る基板処理装置500においても、第二の実施形態の場合と同様に、上部電極410及び下部電極420の温度を一定に維持するための温度調節機構を設けることができる。   Further, in the substrate processing apparatus 500 according to the present embodiment, similarly to the case of the second embodiment, a temperature adjusting mechanism for maintaining the temperature of the upper electrode 410 and the lower electrode 420 constant can be provided.

(第四の実施形態)
図10は、本発明の第四の実施形態に係る基板処理装置の構成を示す概略図である。本実施形態に係る基板処理装置600は、処理対象の基板を大気中から暴露処理チャンバーに移送し、処理終了後に、基板を暴露処理チャンバーから再び大気中に戻すまでのプロセスを連続して行うことを可能にする装置である。
(Fourth embodiment)
FIG. 10 is a schematic diagram illustrating a configuration of a substrate processing apparatus according to a fourth embodiment of the present invention. The substrate processing apparatus 600 according to the present embodiment transfers a substrate to be processed from the atmosphere to the exposure processing chamber, and continuously performs a process until the substrate is returned to the atmosphere from the exposure processing chamber after the processing is completed. Is a device that enables

本実施形態に係る基板処理装置600は、3個の処理チャンバー601と、3個の処理チャンバー601のそれぞれと連結し、処理前の基板を減圧状態の下において処理チャンバー601に搬入し、あるいは、処理後の基板を減圧状態の下において処理チャンバー601から搬出するため減圧搬送チャンバー602と、減圧搬送チャンバー602と連結し、処理前の基板を大気圧の下に外部から搬入し、減圧状態の下において基板を減圧搬送チャンバー602に搬入するとともに、処理後の基板を減圧状態の下において減圧搬送チャンバー602から搬出し、大気圧の下にその基板を外部に搬出する圧力調整搬送チャンバー603と、基板を圧力調整搬送チャンバー603内に移送し、あるいは、基板を圧力調整搬送チャンバー603から搬出するための基板搬入搬出用移載機構604と、から構成されている。   The substrate processing apparatus 600 according to the present embodiment is connected to the three processing chambers 601 and the three processing chambers 601, respectively, and loads the substrate before processing into the processing chamber 601 under reduced pressure. The decompressed transfer chamber 602 and the depressurized transfer chamber 602 are connected to carry out the processed substrate from the processing chamber 601 under the depressurized state. And a pressure-adjusted transfer chamber 603 for transferring the substrate into the reduced-pressure transfer chamber 602, unloading the processed substrate from the reduced-pressure transfer chamber 602 under reduced pressure, and transferring the substrate to the outside under atmospheric pressure. Is transferred into the pressure adjustment transfer chamber 603, or the substrate is transferred from the pressure adjustment transfer chamber 603. A substrate loading and unloading a transfer mechanism 604 for, and a.

3個の処理チャンバー601のそれぞれには上述の第一乃至第三の何れかの実施形態で説明した基板処理装置500が搭載されている。   The substrate processing apparatus 500 described in any of the first to third embodiments is mounted in each of the three processing chambers 601.

以下、本実施形態に係る基板処理装置600の動作を説明する。   Hereinafter, the operation of the substrate processing apparatus 600 according to the present embodiment will be described.

先ず、処理対象の基板は大気圧の下で基板搬入搬出用移載機構604により圧力調整搬送チャンバー603内に移送される。   First, the substrate to be processed is transferred into the pressure-adjusting transfer chamber 603 by the transfer mechanism 604 for loading and unloading the substrate under the atmospheric pressure.

基板が圧力調整搬送チャンバー603内に移送された後、圧力調整搬送チャンバー603は基板搬入搬出用移載機構604と遮断状態になり、圧力調整搬送チャンバー603の内部は減圧され、真空状態になる。この状態の下で、基板は圧力調整搬送チャンバー603から減圧搬送チャンバー602に搬送される。減圧搬送チャンバー602は常に真空状態にされている。   After the substrate is transferred into the pressure adjustment transfer chamber 603, the pressure adjustment transfer chamber 603 is cut off from the substrate loading / unloading transfer mechanism 604, and the inside of the pressure adjustment transfer chamber 603 is depressurized to a vacuum state. Under this condition, the substrate is transferred from the pressure adjustment transfer chamber 603 to the reduced pressure transfer chamber 602. The reduced-pressure transfer chamber 602 is always in a vacuum state.

次いで、基板は減圧搬送チャンバー602から何れかの処理チャンバー601に搬送され、その処理チャンバー601において処理(例えば、暴露処理あるいはアッシング処理)が施される。   Next, the substrate is transferred from the reduced-pressure transfer chamber 602 to any one of the processing chambers 601, and is subjected to processing (for example, exposure processing or ashing processing) in the processing chamber 601.

処理終了後、基板は処理チャンバー601から減圧搬送チャンバー602に搬送される。必要な場合には、基板は、再度、他の処理チャンバー601に搬送され、他の種類の処理が施される。   After the processing, the substrate is transferred from the processing chamber 601 to the reduced-pressure transfer chamber 602. If necessary, the substrate is transferred to another processing chamber 601 again, where another type of processing is performed.

次いで、基板は減圧搬送チャンバー602から真空状態にある圧力調整搬送チャンバー603に搬送される。基板が圧力調整搬送チャンバー603内に搬送された後、圧力調整搬送チャンバー603は内圧を上げ、真空状態から大気圧状態に移行する。   Next, the substrate is transferred from the reduced-pressure transfer chamber 602 to the pressure-adjusted transfer chamber 603 in a vacuum state. After the substrate is transferred into the pressure-adjusting transfer chamber 603, the internal pressure of the pressure-adjusting transfer chamber 603 is increased, and the state is shifted from a vacuum state to an atmospheric pressure state.

その後、圧力調整搬送チャンバー603は基板搬入搬出用移載機構604との遮断状態を解除し、処理後の基板を基板搬入搬出用移載機構604に搬出する。   Thereafter, the pressure-adjustment transfer chamber 603 releases the cut-off state from the substrate loading / unloading transfer mechanism 604 and unloads the processed substrate to the substrate loading / unloading transfer mechanism 604.

次いで、基板搬入搬出用移載機構604は基板を外部に搬出する。   Next, the substrate loading / unloading transfer mechanism 604 transports the substrate to the outside.

以上のように、本実施形態に係る基板処理装置600によれば、基板を連続的に処理することができる。   As described above, according to the substrate processing apparatus 600 according to the present embodiment, the substrate can be continuously processed.

本発明の第一の実施形態に係る基板処理装置の構成を示す断面図である。It is a sectional view showing the composition of the substrate processing device concerning a first embodiment of the present invention. 図1の基板処理装置の基板処理ユニットと同様の構成を備える基板処理装置を示す断面図である。FIG. 2 is a cross-sectional view illustrating a substrate processing apparatus having a configuration similar to that of the substrate processing unit of the substrate processing apparatus of FIG. 1. 基板処理装置におけるガス吹き出し板及びガス吹き出し板枠を示す斜視図である。FIG. 3 is a perspective view showing a gas blowing plate and a gas blowing plate frame in the substrate processing apparatus. 塗布膜のリフロー距離のリフロー時間依存性を示すグラフである。4 is a graph showing the reflow time dependency of the reflow distance of a coating film. リフロー後の塗布膜の膜厚の基板内均一性の蒸気流量依存性を示すグラフである。4 is a graph showing the vapor flow rate dependency of the uniformity of the thickness of the coating film after reflow in the substrate. リフロー後の塗布膜の膜厚の基板内均一性を昇降ステージとガス吹き出し板との間隔を変化させたときについて測定したグラフである。4 is a graph showing the uniformity of the thickness of a coating film after reflow in a substrate measured when the distance between a lifting stage and a gas blowing plate is changed. 塗布膜のリフロー速度の昇降ステージの温度に対する依存性を示すグラフである。4 is a graph showing the dependence of the reflow speed of a coating film on the temperature of an elevating stage. 本発明の第二の実施形態の場合の基板処理装置の基板処理ユニットと同様の構成を備える基板処理装置を示す断面図である。It is a sectional view showing the substrate processing unit provided with the same composition as the substrate processing unit of the substrate processing unit in the case of a second embodiment of the present invention. 本発明の第三の実施形態の場合の基板処理装置の基板処理ユニットと同様の構成を備える基板処理装置を示す断面図である。It is a sectional view showing the substrate processing unit provided with the same composition as the substrate processing unit of the substrate processing unit in the case of a third embodiment of the present invention. 本発明の第四の実施形態に係る基板処理装置の構成を示す断面図である。It is a sectional view showing the composition of the substrate processing device concerning a 4th embodiment of the present invention. 従来の塗布膜の平坦化装置を示す模式的な断面図である。FIG. 9 is a schematic cross-sectional view illustrating a conventional coating film flattening apparatus. 従来の塗布膜の平坦化装置を薄膜トランジスタの製造工程に適用した場合の薄膜トランジスタの製造工程の一部を示す断面図である。It is sectional drawing which shows a part of manufacturing process of a thin-film transistor when the conventional planarization apparatus of a coating film is applied to the manufacturing process of a thin-film transistor. 図12に続く製造工程を示す断面図及び平面図である。FIG. 13 is a cross-sectional view and a plan view illustrating a manufacturing process following FIG. 12.

符号の説明Explanation of reference numerals

1 基板
500 基板処理装置
501 チャンバー
503 ステージ
33 暴露処理用ガス
21 ガス吹き出し板
600 第四の実施形態に係る基板処理装置
Reference Signs List 1 substrate 500 substrate processing apparatus 501 chamber 503 stage 33 exposure processing gas 21 gas blowing plate 600 substrate processing apparatus according to fourth embodiment

Claims (18)

一つのチャンバー内に、複数の基板を各々水平姿勢で鉛直方向において相互に間隔を空けて配列した状態で、前記複数の基板の各々に暴露処理用ガスを吹き付ける基板処理装置であって、
少なくとも一つのガス導入口と少なくとも一つのガス排気口とを有するチャンバーと、
前記ガス導入口を介して前記チャンバー内に暴露処理用ガスを導入するガス導入手段と、
前記複数の基板の各々に対応して設けられているガス分配手段と、
を備え、
前記ガス分配手段には複数個の開口が形成されており、前記ガス導入手段を介して導入された前記暴露処理用ガスは前記開口を介して対応する基板に吹き付けられるものであることを特徴とする基板処理装置。
In one chamber, in a state where a plurality of substrates are arranged at intervals in the vertical direction in a horizontal posture, a substrate processing apparatus that blows exposure processing gas to each of the plurality of substrates,
A chamber having at least one gas inlet and at least one gas outlet,
Gas introduction means for introducing an exposure processing gas into the chamber through the gas introduction port,
Gas distribution means provided corresponding to each of the plurality of substrates,
With
A plurality of openings are formed in the gas distribution means, and the exposure processing gas introduced through the gas introduction means is sprayed onto a corresponding substrate through the openings. Substrate processing equipment.
前記ガス分配手段は、それぞれ対応する基板と対向する位置に配されていることを特徴とする請求項1に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the gas distribution units are arranged at positions facing the corresponding substrates. 前記チャンバーは複数個の前記ガス導入口を備えていることを特徴とする請求項1又は2に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the chamber includes a plurality of the gas introduction ports. 前記複数個のガス導入口の各々は、前記ガス分配手段の各々に対応して設けられていることを特徴とする請求項3に記載の基板処理装置。   4. The substrate processing apparatus according to claim 3, wherein each of the plurality of gas inlets is provided corresponding to each of the gas distribution units. 前記ガス導入口毎にガス流量制御機構を備えていることを特徴とする請求項3又は4に記載の基板処理装置。   The substrate processing apparatus according to claim 3, wherein a gas flow control mechanism is provided for each of the gas introduction ports. 前記ガス分配手段は、板状に構成されていることを特徴とする請求項1乃至5のいずれか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the gas distribution unit has a plate shape. 前記ガス分配手段は、対応する基板に向かって凸又は凹となる曲面状に形成されていることを特徴とする請求項6に記載の基板処理装置。   7. The substrate processing apparatus according to claim 6, wherein the gas distribution unit is formed in a curved shape that is convex or concave toward a corresponding substrate. 前記ガス分配手段は、その中心を回転中心として回転可能となっていることを特徴とする請求項1乃至7の何れか一項に記載の基板処理装置。   8. The substrate processing apparatus according to claim 1, wherein the gas distribution unit is rotatable around a center thereof. 前記ガス分配手段と重なり合って配置され、前記ガス分配手段に形成されている開口のうちの任意の個数の開口を塞ぐことにより、前記暴露処理用ガスの吹き出し範囲を規定するガス吹き出し範囲規定手段をさらに備えることを特徴とする請求項1乃至8の何れか一項に記載の基板処理装置。   The gas blowout range defining means for defining the blowout range of the exposure processing gas is disposed so as to overlap with the gas distribution means, and closes an arbitrary number of openings among the openings formed in the gas distribution means. The substrate processing apparatus according to claim 1, further comprising: 前記基板が載置されるステージが、上下動可能に形成されていることを特徴とする請求項1乃至9の何れか一項に記載の基板処理装置。   10. The substrate processing apparatus according to claim 1, wherein the stage on which the substrate is placed is formed to be vertically movable. 前記基板が載置されるステージがその軸心の周りに回転可能に形成されていることを特徴とする請求項1乃至10の何れか一項に記載の基板処理装置。   The substrate processing apparatus according to any one of claims 1 to 10, wherein the stage on which the substrate is mounted is formed so as to be rotatable around its axis. 前記基板の温度を調整する基板温度調整手段をさらに備えていることを特徴とする請求項1乃至11の何れか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, further comprising a substrate temperature adjusting unit that adjusts a temperature of the substrate. 前記基板温度調整手段は、前記基板が載置されるステージの温度を制御することにより、前記基板の温度を制御するものであることを特徴とする請求項12に記載の基板処理装置。   13. The substrate processing apparatus according to claim 12, wherein the substrate temperature adjusting unit controls the temperature of the substrate by controlling a temperature of a stage on which the substrate is mounted. 前記暴露処理用ガスの温度を調整するガス温度調整手段をさらに備えていることを特徴とする請求項1乃至13の何れか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, further comprising a gas temperature adjusting unit configured to adjust a temperature of the exposure processing gas. 前記チャンバー内に配置された基板と前記ガス分配手段との間隔が5乃至15mmに設定されていることを特徴とする請求項1乃至14のいずれか一項に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein a distance between the substrate disposed in the chamber and the gas distribution unit is set to 5 to 15 mm. 前記チャンバー内にプラズマを発生させるプラズマ発生機構をさらに備えることを特徴とする請求項1乃至15の何れか一項に記載の基板処理装置。   16. The substrate processing apparatus according to claim 1, further comprising a plasma generating mechanism for generating plasma in the chamber. 前記プラズマ発生機構は、前記基板の上方に配置された上部電極部と、前記基板の下方に配置された下部電極部とからなり、
前記上部電極部及び前記下部電極部のうちの何れか一方は接地され、他方は高周波電源を介して接地されていることを特徴とする請求項16に記載の基板処理装置。
The plasma generation mechanism includes an upper electrode portion disposed above the substrate, and a lower electrode portion disposed below the substrate,
17. The substrate processing apparatus according to claim 16, wherein one of the upper electrode unit and the lower electrode unit is grounded, and the other is grounded via a high frequency power supply.
前記チャンバーと連結し、減圧状態の下において前記基板を前記チャンバーに搬入し、あるいは、減圧状態の下において前記基板を前記チャンバーから搬出するため減圧搬送チャンバーと、
前記減圧搬送チャンバーと連結し、大気圧の下に前記基板を外部から搬入し、減圧状態の下において前記基板を前記減圧搬送チャンバーに搬入するとともに、減圧状態の下において前記基板を前記減圧搬送チャンバーから搬出し、大気圧の下に前記基板を外部に搬出する圧力調整搬送チャンバーと、
を備える請求項1乃至17の何れか一項に記載の基板処理装置。

Connected to the chamber, the substrate is loaded into the chamber under reduced pressure, or a reduced-pressure transfer chamber for transferring the substrate from the chamber under reduced pressure;
The substrate is connected to the reduced-pressure transfer chamber, the substrate is loaded from the outside under atmospheric pressure, the substrate is loaded into the reduced-pressure transfer chamber under reduced pressure, and the substrate is transferred into the reduced-pressure transfer chamber under reduced pressure. And a pressure-adjusted transfer chamber for transferring the substrate to the outside under atmospheric pressure,
The substrate processing apparatus according to any one of claims 1 to 17, further comprising:

JP2004042510A 2001-08-28 2004-02-19 Substrate processing apparatus Pending JP2004186705A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004042510A JP2004186705A (en) 2001-08-28 2004-02-19 Substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001258187 2001-08-28
JP2004042510A JP2004186705A (en) 2001-08-28 2004-02-19 Substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002216877A Division JP3886424B2 (en) 2001-08-28 2002-07-25 Substrate processing apparatus and method

Publications (1)

Publication Number Publication Date
JP2004186705A true JP2004186705A (en) 2004-07-02

Family

ID=32774233

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004042510A Pending JP2004186705A (en) 2001-08-28 2004-02-19 Substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP2004186705A (en)

Similar Documents

Publication Publication Date Title
JP3886424B2 (en) Substrate processing apparatus and method
US6514347B2 (en) Apparatus and method for plasma treatment
TWI480949B (en) Substrate handling device and sprinkler
US20060228889A1 (en) Methods of removing resist from substrates in resist stripping chambers
US20070175393A1 (en) Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
KR100887271B1 (en) Plasma processing apparatus
US7771701B2 (en) Hydrogen atom generation source in vacuum treatment apparatus, and hydrogen atom transportation method
KR20180133007A (en) Heat treatment apparatus and method of manufacturing film using the same
JP4513985B2 (en) Substrate processing equipment
JP3808472B2 (en) Substrate processing equipment
JP3808473B2 (en) Substrate processing equipment
JP2004207751A (en) Apparatus and method for processing substrate
JP2004186705A (en) Substrate processing apparatus
JP2006261683A (en) Substrate treatment system
JP3808474B2 (en) Substrate processing equipment
WO2017149739A1 (en) Plasma treatment device and structure of reaction vessel for plasma treatment
WO2020008831A1 (en) Substrate heat processing device and substrate heat processing method
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
JP2012195595A (en) Substrate processing apparatus and showerhead

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060120

A02 Decision of refusal

Effective date: 20060522

Free format text: JAPANESE INTERMEDIATE CODE: A02