JP2004063995A - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method Download PDF

Info

Publication number
JP2004063995A
JP2004063995A JP2002223475A JP2002223475A JP2004063995A JP 2004063995 A JP2004063995 A JP 2004063995A JP 2002223475 A JP2002223475 A JP 2002223475A JP 2002223475 A JP2002223475 A JP 2002223475A JP 2004063995 A JP2004063995 A JP 2004063995A
Authority
JP
Japan
Prior art keywords
wiring
semiconductor device
trench
insulating film
conductive film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002223475A
Other languages
Japanese (ja)
Other versions
JP2004063995A5 (en
Inventor
Muneyuki Matsumoto
松本 宗之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2002223475A priority Critical patent/JP2004063995A/en
Publication of JP2004063995A publication Critical patent/JP2004063995A/en
Publication of JP2004063995A5 publication Critical patent/JP2004063995A5/ja
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To realize designed embedded wiring even in a scale-downed integrated circuit by devising a means which prevents the occurrence of dishing. <P>SOLUTION: A method of manufacturing semiconductor device includes a step of forming a first trench having a width which is equal to or narrower than a prescribed width by using a first mask, a step of forming first embedded wiring 35 filling the first trench, and a step of forming a second trench 37 having a width broader than the prescribed width by using a second mask. The method also includes a step of forming second embedded wiring 39 which fills the second trench 37 in the same wiring layer as that of the first embedded wiring 35. Consequently, the occurrence of dishing can be suppressed, because the plating steps and polishing steps performed at the time of forming the embedded wiring 35 and 39 can be performed under the conditions respectively optimized to the wiring 35 and 39. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置および半導体装置の製造方法に関し、特に、埋め込み配線を形成する技術に関する。
【0002】
【従来の技術】
半導体装置の微細化が進むにつれ、配線の抵抗や、エレクトロマイグレーションなどの問題が表面化してきている。エレクトロマイグレーションとは、配線材料の金属原子が電子との衝突により振動し、断線などを起こす現象であり、配線抵抗と同様に、配線の断面積が小さくなるほど顕著になる。
【0003】
このため、微細化した半導体装置では、従来のアルミニウム(Al)に代えてより低抵抗で、マイグレーション耐性のある銅(Cu)が、配線材料として用いられるようになっている。
【0004】
CuはAlに比べてエッチングが難しい材料であるので、Cuを配線材料とする場合、あらかじめ形成したトレンチを埋めることによって配線を形成する。従来の埋め込み配線を形成する技術を、図を用いて以下に説明する。
【0005】
図7(a)〜(c)及び図8(a)〜(c)は、従来の埋め込み配線の形成工程を示す断面図である。
【0006】
まず、図7(a)に示す工程で、半導体または絶縁体からなる基板111上に例えばSiO からなる絶縁膜112を堆積し、次に絶縁膜112にリソグラフィー処理及びエッチングを施し、トレンチ113を形成する。
【0007】
次に、図7(b)に示す工程で、トレンチ113を含む絶縁膜112上にタンタルまたは窒化タンタルからなる金属膜102を堆積し、続いて、基板上にシード銅を堆積する。このシード銅を設けることにより、次に銅めっきを行なうことができる。
【0008】
そして、シード銅上に電解めっき法によりCu膜114を堆積する。
【0009】
次に、図7(c)に示す工程で、化学的機械的研磨(CMP)によってトレンチ113以外の部分の絶縁膜112が露出するまでCu膜114及び金属膜102を研磨し、埋め込み配線115を形成する。ここで、埋め込み配線115と同時に形成されるバリアメタル103は、Cuの拡散防止膜として働く。
【0010】
なお、Cuを埋め込み配線材料に用いる場合、CMPは通常2段階に分けて行われる。
【0011】
第1の研磨では、バリアメタルとなる金属膜102が露出するまでCu膜114の研磨を行なう。この際には、Cuに対して金属膜102を構成する窒化タンタルの研磨速度が小さくなるようにするのが一般的である。絶縁膜112の一部が露出することもあるので、絶縁膜の研磨速度も小さくなる条件を用いる。次に、第2の研磨では、トレンチ113を除く領域上の金属膜102を除去する。この際の研磨速度は、Cu、窒化タンタル、絶縁膜に差がない選択比の条件を使用することが多い。
【0012】
以上の工程によって、Cuを材料とする埋め込み配線が形成される。この方法により形成された配線は、一般にシングルダマシン配線と呼ばれ、タングステンなどで下層配線や半導体基板とのコンタクトホールを形成する場合に、この下層配線またはコンタクトホールに接続する形で設けられる。また、微細化大規模ロジックデバイスやメモリーデバイス、あるいはそれらを混載したデバイスではCu配線が第1配線層として用いられることが多い。また、例えばパワーデバイスなど、大電流を流す必要のある配線には、最上層の電源ラインなどにCuの埋め込み配線が適用されることもある。
【0013】
次に、埋め込みCu配線上に、Cuプラグと埋め込みCu配線とを一度に形成して多層配線化する従来技術について示す。
【0014】
図8(a)に示す工程は、図7(c)に示す工程の続きを示している。本工程において、基板上に例えばSiO を約1000nm堆積し、絶縁膜116を形成する。
【0015】
次に、図8(b)に示す工程で、絶縁膜116にリソグラフィー処理及びドライエッチングを施し、トレンチ117およびスルーホール118を形成する。続いて、絶縁膜116上に順にタンタルまたは窒化タンタルからなる金属膜104を形成した後、厚さ700nmのCu膜119を電解めっき法により形成する。
【0016】
次に、図8(c)に示す工程で、化学的機械的研磨(CMP)によって絶縁膜116が露出するまでCu膜119及び金属膜104を研磨し、トレンチ117を埋める埋め込み配線120とスルーホール118を埋めるプラグ121とを形成する。
【0017】
この方法で形成された配線は、一般にデュアルダマシン配線と呼ばれる。上述したシングルダマシン工程に比べ本工程は、スルーホールとトレンチの両方同時に導電膜を堆積し、埋め込み配線を形成することが特徴であり、最先端の多層集積回路に用いられることが多い。また、上述の例では上層配線をデュアルダマシン配線としたが、基板上に設けられた半導体素子に直接接続される第1層配線をデュアルダマシン配線としてもよい。
【0018】
なお、従来の埋め込み配線の形成方法によれば、シングルダマシン工程、デュアルダマシン工程のいずれを用いても、同層配線は同一の材料から構成され、且つ厚みや層構造も同一となっている。仮に、配線の使用用途において互いに異なる配線材料をもちいる必要がある場合は、配線層を分けて形成する方法が一般に用いられてきた。
【0019】
【発明が解決しようとする課題】
従来用いられてきた埋め込み配線の形成技術では、配線を形成する際にいずれもCMPを用いる。上述のように、Cu膜や金属膜をCMPで研磨する際には、目的とする層だけを選択的に研磨する条件を用いて不必要な研磨が行われないようにしている。
【0020】
しかしながら、実際のCMPにおいては、図7(c)に示す表面段差Bのように、トレンチ部でディッシングと呼ばれる段差が見られることが多い。この段差は、上述の2段階の研磨のうち、第1の研磨時にすでに生じることが分かっている。第2の研磨の選択比を調節することによって、段差は多少平坦化することができるが、完全に平坦化することはできない。
【0021】
一般に、ディッシングは配線幅や配線密度に依存して形成されることが知られている。特に、配線幅が10μmを越える場合には、ディッシングは顕著になり、20μm以上の幅のトレンチで100nm程度以上となる。また、配線幅が狭い場合でも、配線密度が高くなると各トレンチを埋めるCuが目減りし、トレンチ間の絶縁膜に応力が集中することによって絶縁膜が削られ、配線及び絶縁膜が共に凹状になるエロージョンという現象を起こす。
【0022】
多層配線の形成時にディッシングが生じた場合、主に次のような不具合が生じる。
【0023】
まず、図8(c)に示すように、ディッシングが生じた配線上に絶縁膜を堆積し、上層の配線を形成する場合、段差が上層にも引き継がれてしまうので、CMPの際に凹状部分に研磨残りが生じてしまう。このため、例えばトレンチに隣接してプラグが設けられる場合、配線材料が残っているために図8(c)に示すような配線間の短絡Dが起こり、装置全体が正常に動作しなくなってしまう。
【0024】
また、ディッシングの大きさが上層の埋め込み配線を形成するためのリソグラフィー処理やエッチングの許容範囲を越える場合には、トレンチの寸法不良や開口不良も起こしやすくなる。
【0025】
次に、ディッシングが生じると、配線の断面積が期待される大きさよりも小さくなるので、配線抵抗が大きくなる。微細化した集積回路においては、配線抵抗の増大は信号遅延を起こし、大きな問題となる。
【0026】
また、配線の断面積が小さくなると、マイグレーションの増大も顕著になる。一定の電流が通過する場合、配線の断面積が小さいと、電流密度が大きくなるためである。このため、断線などの不具合のリスクが増大する。
【0027】
以上のような不具合を生じるディッシングは、研磨前の段差の存在や、研磨時の配線材料に対する化学的エッチング作用、研磨パッドの物理的な弾性、研磨液中の研磨粒子などの機械的作用との複合作用により生じる。
【0028】
研磨パッドは配線材料に比べて柔らかく、弾性を有するため、ある程度以上の幅を有する配線を形成する場合、研磨パッドが下方へたわんでしまう。このたわみにより、トレンチを埋める金属の中央部がへこんだ形で研磨してしまう。
【0029】
また、従来の配線形成方法では、幅の狭いトレンチに空孔が残存するのを防ぐため、埋め込み性能を重視した条件で絶縁膜上に金属膜を形成する。そのため、図7(b)に示すように、幅の狭いトレンチ上の金属膜の膜厚が広いトレンチ上の金属膜の膜厚よりも大きくなり、表面段差Aが生じる。この現象は、一般にオーバーフィルと呼ばれる。
【0030】
この表面段差Aを平坦化するため、金属膜の厚みを一定以上とする他、CMPにおいて絶縁膜が露出してからも余分に研磨を続けるオーバー研磨を行なうことが行われている。このオーバー研磨は、初期段差を緩和するためにも用いられるが、研磨速度のばらつきによる影響を抑えるためにも用いられる。
【0031】
しかし、このオーバー研磨は、研磨残りを防ぐ反面、ディッシングの原因ともなっている。そのため、めっき工程で生じた研磨前の表面段差が大きいほど研磨時間が長くなるとともにオーバー研磨が必要となり、ディッシングが生じやすくなる。また、金属膜の膜厚が十分でない場合には、研磨前の表面段差がそのままディッシングとして残ってしまう。
【0032】
従来技術では、めっきにより形成される金属膜厚を増加させ、且つ研磨量を増やすことで平坦化性能を補償する方法での対策も検討されてきた。しかし、研磨量が増加するため、研磨時の絶対バラツキ量が増加し結果としてオーバー研磨量を増やさなくてはならず、かえって幅広の配線部でディッシングと呼ばれる凹形状が大きくなってしまっていた。
【0033】
また、ディッシングを防ぐためには、配線を形成した後に絶縁膜を堆積し、上層配線を形成する前に再度CMPを行って基板上面を平坦化することも可能である。しかし、この方法では工程数が増えるので、製造コストの増加につながり、好ましくない。
【0034】
また、従来は絶縁膜に配線機能を果たさないダミー配線を設けたり、設計ルールで、配線幅や配線密度の上限を設けるなどの対応でディッシングの発生を避けていた。しかし、これらは根本的な解決ではなく、余分な工程が必要になったり、設計に余分な制約を加えることになるので、やはり好ましくない。
【0035】
本発明の目的は、ディッシングの発生を防ぐ手段を講じ、微細化した集積回路においても設計通りの埋め込み配線を実現することにある。
【0036】
【課題を解決するための手段】
本発明の半導体装置は、半導体基板と、上記半導体基板上に設けられた複数の半導体素子と、上記半導体基板及び上記半導体素子の上方に設けられた第1絶縁膜と、複数の配線層とを備える半導体装置であって、上記配線層は、上記第1絶縁膜に設けられた第1トレンチを埋める導電体からなる第1の配線と、上記第1絶縁膜に設けられた第2トレンチを埋める導電体からなり、上記第1の配線とは別工程により設けられた第2の配線とを有している。
【0037】
これにより、第1の配線と第2の配線とが、例えば配線幅、配線密度などの基準によって別工程で形成されるので、ディッシングやエロージョンの発生を抑えることができる。このため、配線抵抗の予期せぬ増大、マイグレーション耐性の低下、配線不良などを効果的に防くことができる。また、第1の配線と第2の配線の深さを変えたり、材料を変更することも可能になる。
【0038】
上記第1絶縁膜及び上記第1の配線の上に設けられた第2絶縁膜をさらに備え、上記第2トレンチは上記第1絶縁膜から上記第2絶縁膜に亘って設けられていることにより、第1の配線と第2の配線の深さを変えることができる。また、第1の配線と第2の配線の材料が異なる場合には、製造工程中の研磨条件をそれぞれの金属に適した条件に設定することで、ディッシングの発生を抑えることができる。さらに、第1の配線と第2の配線が接触している場合、第1の配線が腐食するのを防ぐことができる。
【0039】
上記第1の配線と上記第2の配線とは互いに異なる材料から構成されていることにより、例えばコスト重視の部分と抵抗の低減や断線の防止を重視する部分で配線材料を分けるなど、必要に応じた配線の構成をとることができる。
【0040】
上記第1の配線の膜厚と上記第2の配線の膜厚とは互いに異なっていることにより、例えば抵抗値を下げたい配線では膜厚を厚くするなど、配線幅を変えなくても、必要に応じた配線設計が可能になる。
【0041】
本発明の半導体装置は、上記第1絶縁膜を貫通して設けられ、上記第1トレンチ及び上記第2トレンチの少なくとも1つと上記半導体素子とを結ぶ第1のスルーホールと、上記第1のスルーホールを埋めるプラグとをさらに備えていてもよい。
【0042】
上記半導体素子に接続された下層配線と、上記第1絶縁膜を貫通して設けられ、上記第1トレンチまたは上記第2トレンチと上記下層配線とを結ぶ第2のスルーホールと、上記第2のスルーホールを埋めるプラグとをさらに備えていてもよい。
【0043】
上記第1の配線と上記第2の配線とは少なくとも一部が直接接触していてもよい。
【0044】
上記第1の配線及び上記第2の配線は、タンタル、窒化タンタル、チタン、窒化チタン、タングステン、窒化タングステン、アルミニウム、銅、銀、金、白金のうち少なくとも1つを含む金属から構成されていることが、電気抵抗やマイグレーション耐性の面から見て好ましい。
【0045】
本発明の半導体装置の製造方法は、半導体基板の上方に第1絶縁膜を堆積する工程(a)と、上記第1絶縁膜に第1トレンチを形成する工程(b)と、上記第1トレンチを含む上記第1絶縁膜上に第1導電体を堆積する工程(c)と、化学的機械的研磨により上記第1導電体を研磨し、少なくとも上記第1トレンチを埋め、且つ配線として機能する第1の導電膜を形成する工程(d)と、上記第1絶縁膜に第2トレンチを形成する工程(e)と、上記第2トレンチを含む上記第1絶縁膜上に第2導電体を堆積する工程(f)と、化学的機械的研磨により上記第2導電体を研磨し、少なくとも上記第2トレンチを埋め、且つ上記第1の導電膜と同じ配線層内の配線として機能する第2の導電膜を形成する工程(g)とを含んでいる。
【0046】
この方法により、第1導電膜と第2導電膜とを形成する工程が分離されているので、それぞれの材料を堆積する条件を最適化することで、工程(c)または工程(f)において生じる表面段差を小さくすることができる。その結果、オーバー研磨を行なう必要がなくなり、研磨後のディッシングの発生を抑えることができる。また、工程(d)及び工程(g)では、それぞれの条件に最適な研磨条件を選択することができるので、これによってもディッシングの発生を抑えることができる。また、第1導電膜と第2導電膜とを形成する工程が分離されていることで、第1の導電膜と第2の導電膜の厚さを互いに異なるものとすることができる他、第1の導電膜と第2の導電膜の材料も異なるものとすることができる。
【0047】
上記工程(d)の後、工程(e)の前に、上記第1絶縁膜及び上記第1の導電膜上に第2絶縁膜を形成する工程をさらに含み、上記工程(e)では、上記第2トレンチが上記第1絶縁膜から上記第2絶縁膜に亘って設けられることにより、第1の導電膜と第2の導電膜を互いに異なる膜厚とすることができ、配線幅を変えることなく抵抗値を必要に応じて変更することができる。また、第1の導電膜と第2の導電膜の構成材料が異なる場合、それぞれの材料に合わせた研磨条件を選択できるので、ディッシングの発生を抑えることができる。また、工程(g)において、第1の導電膜は研磨液に触れないので、第1の導電膜が第2の導電膜に接続される場合、第1の導電膜の腐食を防ぐことができる。
【0048】
上記半導体基板は下層配線をさらに有し、上記工程(b)では上記第1トレンチから上記下層配線に至る第1のスルーホールをさらに形成し、上記工程(d)は、上記第1の導電膜が上記第1のスルーホールをさらに埋めることにより、上記下層配線に接続される第1のプラグを形成する工程をさらに含むことで、第1の導電膜と第1のプラグを同時に形成することができるので、工程数を減らすことができる。
【0049】
上記半導体基板は下層配線をさらに有し、上記工程(e)では上記第2トレンチから上記下層配線に至る第2のスルーホールをさらに形成し、上記工程(g)は、上記第2の導電膜が上記第2のスルーホールをさらに埋めることにより、上記下層配線に接続される第1のプラグを形成する工程をさらに含むことで、第2の導電膜と第2のプラグを同時に形成することができるので、工程数を減らすことができる。
【0050】
上記工程(e)では、上記第2トレンチは上記第1トレンチの一部と重なって設けられ、上記工程(g)で形成される上記第2の導電膜は、上記第1の導電膜の一部と直接接触していてもよい。
【0051】
上記第1の導電膜と上記第2の導電膜とは、配線幅を基準として分離して形成されることにより、配線材料を堆積する条件を配線幅に応じて最適化することにより、表面段差を小さくすることができるので、第1の導電膜及び第2の導電膜にディッシングが生じるのを防ぐことができる。また、工程(d)または工程(g)において、研磨条件を最適化することもできるので、ディッシングの発生を効果的に抑制することができる。
【0052】
上記第1の導電膜と上記第2の導電膜とは、配線密度を基準として分離して形成されることにより、導電膜の材料を堆積する際の条件や、配線密度に応じて最適化することができるので、表面段差を小さくすることができ、オーバー研磨する必要がなくなり、配線密度の大きい範囲でエロージョンが発生するのを防ぐことができる。また、研磨条件を配線密度により最適化することもできるので、エロージョンが発生するのを防ぐことができる。
【0053】
上記第1の導電膜と上記第2の導電膜とは互いに膜厚が異なっていることにより、配線幅を変えることなく用途に応じて配線抵抗を変えることができる。
【0054】
上記第1の導電膜と上記第2の導電膜を構成する材料は互いに異なっていることにより、用途に応じた配線設計が可能になる。
【0055】
上記第1の導電膜及び上記第2の導電膜は、タンタル、窒化タンタル、チタン、窒化チタン、タングステン、窒化タングステン、アルミニウム、銅、銀、金、白金のうち少なくとも1つを含む金属から構成されていることが好ましい。
【0056】
【発明の実施の形態】
図1(a)〜(c)、図2(a)〜(c)、図3(a)〜(c)は、本発明の実施形態に係る半導体装置の配線形成工程を示す断面図である。
【0057】
本実施形態の配線形成方法は、同層配線の形成を2回以上に分けて行なうことを特徴としている。以下に、本実施形態に係る配線形成工程を説明する。
【0058】
まず、図1(a)に示す工程で、半導体素子が設けられた半導体基板またはSOI基板である基板31を準備する。この基板31は、半導体素子と上層配線との接続を目的として設けられたタングステンプラグを有している(図示せず)。
【0059】
次に、基板31上にSiO を堆積して第1絶縁膜32を形成する。次いで、第1絶縁膜32上にエッチングマスク(図示せず)を堆積し、リソグラフィー処理した後、第1絶縁膜32をエッチングする。これにより、第1絶縁膜32に深さ約350nmの第1トレンチ33が形成される。ここで、エッチングの際にマスクを設けるのは、幅が0.7μmを越えるトレンチを形成するための領域上のみである。すなわち、本工程では、幅が0.7μm以下のトレンチのみを形成する。
【0060】
次に、図1(b)に示す工程で、第1トレンチ33を含む第1絶縁膜32上にタンタルあるいは窒化タンタルからなる金属膜21を薄く堆積し、次いでシード銅を堆積する。その後、電解めっき法により基板上にCuを堆積し、例えば厚さが400nmのCu膜34を形成する。
【0061】
なお、図1(a)に示す工程で、形成するトレンチの幅を0.7μm以下の配線幅に設定するのは、本工程で電解めっき法によるCuのオーバーフィルを起こさないトレンチの条件が、深さ350nm、幅0.7μm以下であるためである。また、本工程においてCuを400nm堆積するのは、最大0.7μm幅のトレンチが完全に第1絶縁膜32の最上面よりも高い位置まで堆積可能である条件であるためである。ただし、オーバーフィルが起こる条件は印加電流密度、めっき液組成などによっても変わってくる。また、トレンチの深さを変えると、オーバーフィルが発生する配線幅も変わる。
【0062】
次に、図1(c)に示す工程で、CMPによって第1絶縁膜32が露出するまでCu膜34及び金属膜21を研磨し、バリアメタル22と、第1トレンチ33を埋める第1埋め込み配線35とをそれぞれ形成する。なお、この第1埋め込み配線35は、基板31上に設けられた半導体素子とプラグを介して接続されている。
【0063】
次に、図2(a)に示す工程で、基板上に例えばSiO を堆積し、厚さ100nmの第2絶縁膜36を形成する。その後、幅が0.7μmを越えるトレンチを設けるための領域を開口したエッチングマスク(図示せず)を設け、これをマスクとして第2絶縁膜36のドライエッチングを行なう。これにより、深さが500nmの第2トレンチ37を形成する。
【0064】
続いて、図2(b)に示す工程で、第2トレンチ37を含む基板上にタンタルまたは窒化タンタルからなる金属膜23と、シード銅を堆積する。そして、電解めっき法により基板上に銅を堆積し、厚さ700nmのCu膜38を形成する。
【0065】
次に、図2(c)に示す工程で、CMPを行い、第2絶縁膜26が露出するまでCu膜38及び金属膜23を研磨する。これにより、バリアメタル24と、第2トレンチ37を埋める第2埋め込み配線39とを形成する。配線幅の大きい第2埋め込み配線39は、実際の回路中では電源ラインや接地線、メモリと論理回路とのバスなどによく使用される。
【0066】
なお、第2埋め込み配線39は、第1埋め込み配線35や、半導体素子に接続されたプラグと接続される。また、CMPにおける加工圧力、研磨パッドとウエハとの相対速度、パッド硬度などの条件は、最大幅のトレンチでのディッシングが最小となるように調節する。このようにして形成された第2埋め込み配線39は、ディッシング(表面段差)を例えば30nm程度まで小さくすることが可能であり、従来よりもディッシングが大幅に小さくなっている。
【0067】
次いで、図3(a)に示す工程で、基板上にSiO などを堆積して厚さ1000nmの第3絶縁膜40を形成する。
【0068】
次に、図3(b)に示す工程で、第3絶縁膜にリソグラフィー処理及びドライエッチングを施し、第3トレンチ41と、第2埋め込み配線39に至るスルーホール42とをそれぞれ形成する。その後、第3トレンチ41とスルーホール42とを含む第3絶縁膜40上にタンタルまたは窒化タンタルからなる金属膜25とシード銅とを堆積する。続いて、シード銅上に厚さ700nm程度のCuを堆積し、Cu膜43を形成する。
【0069】
次に、図3(c)に示す工程で、CMPを行って第3絶縁膜40が露出するまで金属膜25及びCu膜43を研磨してバリアメタル26と、第3トレンチを埋める上層配線41と、スルーホール42を埋めるプラグ46とをそれぞれ形成する。従来と異なり、上層配線45とプラグ46とは短絡されずに形成される。
【0070】
以上のようにして、本実施形態の半導体装置において、埋め込み配線が形成される。
【0071】
本実施形態の製造方法によれば、第1埋め込み配線35と第2埋め込み配線39とを別々の工程で形成するため、それぞれの配線幅に最適な条件でめっき工程を行なうことができる。すなわち、第1トレンチを埋める際には埋め込み性能のよい条件でめっきを行い、第2トレンチを埋める際には平坦性の良好な条件でめっきを行なう。これにより、めっきにより生じる段差が小さくなるので、オーバー研磨を行なう必要がなくなり、ディッシングを小さくすることができる。このため、配線の抵抗を設計通りとすることができる。
【0072】
また、本実施形態では第1埋め込み配線35と第2埋め込み配線39の材料を共にCuとしたが、別個の工程で作製するため、互いに異なる材料で形成してもよい。例えば、回路の要請上、ある部分の配線材料がAlで、別の部分の配線材料がCuとしたい場合などに本実施形態の方法は好ましく用いられる。
【0073】
また、第1埋め込み配線35と第2埋め込み配線39とを互いに異なる材料から形成する場合には、めっき工程やCMP工程の条件をその材料に最適な条件にすることができるので、ディッシングを極めて小さくすることができる。
【0074】
また、配線ごとに深さを変えることもできるので、特に抵抗を低減したい配線の深さを深くすれば、より配線抵抗を低減することができる。また、所望の配線抵抗値が決まっている場合には、配線深さが深くなり、配線断面積が増加する分を逆に配線幅で縮小化し、半導体チップの微細化をあわせて実施することもできる。
【0075】
さらに、本実施形態の例では配線幅によって配線の形成工程を分けたが、配線密度によって形成工程を分けることによって、ディッシングを小さくすると共に、エロージョンの発生を抑えることもできる。なお、よりディッシングを小さくするためには、配線幅や配線密度に応じてさらに細かく配線の形成工程を分ければ良い。この場合、ディッシングの抑制と工程数の増加とがトレードオフの関係になるので、設計に応じて最適な工程を選択すればよい。
【0076】
また、第1埋め込み配線35と第2埋め込み配線39とが互いに接続されている場合、第2埋め込み配線39を形成するためのCMP時に第1埋め込み配線35が露出しないことにより、幅の小さい配線における腐食の発生を抑制できる。この場合には、第1埋め込み配線35と第2埋め込み配線39とを分ける基準を配線の腐食のしやすさに置けばよい。なお、配線の腐食のしやすさは、配線幅だけでなく配線長にも関係する。
【0077】
また、第1埋め込み配線35と第2埋め込み配線39の形成工程を分けることで、幅の違うトレンチ内にバリアメタルを確実に形成できるようになる。このため、Cuが基板中に拡散することによる特性劣化を防ぐことができる。
【0078】
このように、本実施形態の配線形成工程によれば、半導体装置が微細化する場合でも、埋め込み配線を良好に形成することができ、所望の設計を行なうことができる。本実施形態の方法は、通常の半導体装置だけでなく、大電流が流れるパワー半導体装置にも好ましく用いられる。
【0079】
次に、上記の方法で製造される半導体装置について説明する。
【0080】
本実施形態の半導体装置は、基板31と、基板31上に設けられた半導体素子と、半導体素子に接続されたCuからなる多層の埋め込み配線とを備えている。また、同一配線層内には、幅が0.7μm以下で、深さが350nmの第1埋め込み配線35と、幅が0.7μmを越え、深さが500μmの第2埋め込み配線39とが設けられている。言い換えれば、第1埋め込み配線35と第2埋め込み配線39とは互いに同層配線である。ここで、本明細書における「同層配線」の定義を説明しておく。
【0081】
図4(a)〜(c)は、同層配線について説明するための断面図である。同図(a)〜(c)に示す例において、第1埋め込み配線35と第2埋め込み配線39とは互いに同層配線である。
【0082】
図4(a)に示すように、第1埋め込み配線35の底面と第2埋め込み配線39の底面とが同じ高さであってもよいし、図4(b)に示すように、第1埋め込み配線35の底面が第2埋め込み配線39の底面より下方にあってもよい。また、図4(c)に示すように、第1埋め込み配線35の底面が第2埋め込み配線39の底面より上方にあってもよい。
【0083】
すなわち、同層配線とは、同一配線層内に設けられた配線であって、少なくとも配線の一部が互いに同じ高さに設けられている配線のことである。なお、本実施形態の方法を用いれば、図4(a)〜(c)に示すように、第1埋め込み配線35と第2埋め込み配線39の底面の高さを任意に変えることができる。
【0084】
なお、本実施形態の半導体装置の配線形成工程において、第2絶縁膜36は必ずしも設けなくてもよい。ただし、第1埋め込み配線35と第2埋め込み配線39を構成する材料が異なる場合、第2絶縁膜36を設けることで、それぞれの材料に適したCMPの条件が選択できるようになる。また、第1埋め込み配線35と第2埋め込み配線39を互いに異なる深さにすることができる。加えて、第2絶縁膜36を設けることで、第2埋め込み配線39を形成するためのCMP工程において、第1埋め込み配線35が研磨液に接触するのを防ぐことができるので、第1埋め込み配線35の腐食を防ぐこともできる。さらに、第2絶縁膜36を設けることで、第2埋め込み配線39をボンディングパッドなどパッケージとの接続配線として使用することができるので、カード用途に用いられる薄いLSIなどで使用する場合、チップの薄膜化が可能となる。
【0085】
また、トレンチを埋めるCuはめっき以外にもPVD(Physical Vapor Deposition)を用いてもよいし、トレンチ幅が0.1μm以下の場合には埋め込み性能の高いCVDによって堆積することが好ましい。
【0086】
また、配線材料としては、Cuの他に、Al、Au(金)、Ag(銀)、Pt(白金)、W(タングステン)及びこれらのうちいずれかを含む合金が好ましく用いられる。性能の面からは、抵抗値が低く、マイグレーション耐性が高い材料が好ましい。タングステンはマイグレーション耐性がCu以上に高いので、低抵抗が要求されない場合には好ましく用いられる。
【0087】
なお、これらの合金に少量のIn(インジウム)またはSn(スズ)を加えることによってマイグレーション耐性を向上させることができる。
【0088】
配線材料としてCuを用いる場合は、Si中に拡散しやすい性質があるため、バリアメタルを設けて使用したが、絶縁膜にCu拡散性がない場合など、必ずしもバリアメタルを設ける必要はない。なお、バリアメタルの材料としては、タンタル及び窒化タンタルの他に、チタン、窒化チタン、タングステン、窒化タングステンなどを用いることもできる。
【0089】
なお、本実施形態では、上層配線と第2埋め込み配線との間にCuからなるプラグを設ける例を示したが、半導体素子と第1埋め込み配線とを接続するプラグもデュアルダマシン工程によってCu配線としてもよい。この場合、Cuが素子に直接接しないように、チタン系のバリアメタルを用いることが好ましい。
【0090】
また、本実施形態の方法は、シングルダマシン配線及びデュアルダマシン配線のどちらにも適用できるが、トレンチとスルーホールを形成する場合にも互いに異なるマスクを用いて形成してよい。
【0091】
−本実施形態の変形例−
本実施形態の半導体装置において、第1埋め込み配線35と第2埋め込み配線39とはいずれかの部分で互いに接続されている。本変形例は、第1埋め込み配線35と第2埋め込み配線39とが互いに直接接触する例である。
【0092】
図5(a)は、本実施形態の変形例に係る半導体装置の一例を示す平面図、(b)は、図5(a)に示すVb−Vb線における断面図である。また、図6(a)〜(c)は、本実施形態の変形例に係る半導体装置の一例を示す断面図である。
【0093】
図5(a)に示すように、本変形例では、幅の広い第2埋め込み配線39が幅の狭い第1埋め込み配線35と直接接触している。この場合、図5(b)に示すように、両配線の交差部分において第1埋め込み配線35が第2埋め込み配線39を貫通するように形成されていてもよい。
【0094】
また、図6(a)〜(c)に示すように、第2埋め込み配線39の端部が第1埋め込み配線35の端部と接するように両配線を設けてもよい。また、図示されていないが、第2埋め込み配線39の底部が第1埋め込み配線の底部より上方にあってもよい。
【0095】
【発明の効果】
本発明の半導体装置の製造方法によれば、同層の埋め込み配線を複数のマスクを用いて配線幅や配線密度などに応じて分割して形成するので、めっき工程やCMP工程を各配線に最適な条件に調節することができる。そのため、ディッシングやエロージングの発生が抑制され、配線抵抗の予期せぬ増大や断線などの不具合を防ぐことができる。
【図面の簡単な説明】
【図1】(a)〜(c)は、本発明の実施形態に係る半導体装置の配線形成工程のうち、第1トレンチを形成するまでの工程を示す断面図である。
【図2】(a)〜(c)は、本発明の実施形態に係る半導体装置の配線形成工程のうち、第2トレンチを形成するまでの工程を示す断面図である。
【図3】(a)〜(c)は、本発明の実施形態に係る半導体装置の配線形成工程のうち、上層配線及びプラグを形成するまでの工程を示す断面図である。
【図4】(a)〜(c)は、同層配線について説明するための断面図である。
【図5】(a)は、本発明の実施形態の変形例に係る半導体装置の一例を示す平面図であり、(b)は、図5(a)に示すVb−Vb線における断面図である。
【図6】(a)〜(c)は、本発明の実施形態の変形例に係る半導体装置の一例を示す断面図である。
【図7】(a)〜(c)は、従来の埋め込み配線の形成工程のうち、埋め込み配線を形成するまでの工程を示す断面図である。
【図8】(a)〜(c)は、従来の埋め込み配線の形成工程のうち、上層の埋め込み配線及びプラグを形成するまでの工程を示す断面図である。
【符号の説明】
21,23,25       金属膜
22,24,26       バリアメタル
31             基板
32             第1絶縁膜
33             第1トレンチ
34,38,43       Cu膜
35             第1埋め込み配線
36             第2絶縁膜
37             第2トレンチ
39             第2埋め込み配線
40             第3絶縁膜
41             第3トレンチ
42             スルーホール
45             上層配線
46             プラグ
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device and a method for manufacturing a semiconductor device, and more particularly, to a technique for forming an embedded wiring.
[0002]
[Prior art]
As the miniaturization of semiconductor devices advances, problems such as wiring resistance and electromigration have surfaced. Electromigration is a phenomenon in which metal atoms of a wiring material vibrate due to collision with electrons to cause disconnection and the like, and, like wiring resistance, become more pronounced as the wiring cross-sectional area decreases.
[0003]
For this reason, in a miniaturized semiconductor device, copper (Cu) having lower resistance and migration resistance is used as a wiring material instead of conventional aluminum (Al).
[0004]
Since Cu is a material that is more difficult to etch than Al, when Cu is used as a wiring material, wiring is formed by filling a trench formed in advance. A conventional technique for forming an embedded wiring will be described below with reference to the drawings.
[0005]
7A to 7C and FIGS. 8A to 8C are cross-sectional views showing a conventional embedded wiring forming process.
[0006]
First, in a step shown in FIG. 7A, for example, SiO 2 is formed on a substrate 111 made of semiconductor or insulator. 2 Then, a lithography process and etching are performed on the insulating film 112 to form a trench 113.
[0007]
Next, in the step shown in FIG. 7B, a metal film 102 made of tantalum or tantalum nitride is deposited on the insulating film 112 including the trench 113, and subsequently, seed copper is deposited on the substrate. By providing the seed copper, copper plating can be performed next.
[0008]
Then, a Cu film 114 is deposited on the seed copper by an electrolytic plating method.
[0009]
Next, in the step shown in FIG. 7C, the Cu film 114 and the metal film 102 are polished by chemical mechanical polishing (CMP) until the insulating film 112 other than the trench 113 is exposed, and the buried wiring 115 is formed. Form. Here, the barrier metal 103 formed simultaneously with the buried wiring 115 functions as a Cu diffusion preventing film.
[0010]
When Cu is used for the embedded wiring material, CMP is usually performed in two stages.
[0011]
In the first polishing, the Cu film 114 is polished until the metal film 102 serving as a barrier metal is exposed. At this time, it is general that the polishing rate of tantalum nitride forming the metal film 102 is made lower than that of Cu. Since a part of the insulating film 112 may be exposed, a condition under which the polishing rate of the insulating film is reduced is used. Next, in the second polishing, the metal film 102 on the region excluding the trench 113 is removed. The polishing rate at this time often uses a condition of a selectivity with no difference between Cu, tantalum nitride and the insulating film.
[0012]
Through the above steps, a buried interconnect made of Cu is formed. The wiring formed by this method is generally called a single damascene wiring, and is provided in such a manner as to be connected to the lower wiring or the contact hole when a lower wiring or a contact hole with a semiconductor substrate is formed with tungsten or the like. Further, in a miniaturized large-scale logic device, a memory device, or a device in which these devices are mixed, a Cu wiring is often used as a first wiring layer. Further, for a wiring requiring a large current to flow, such as a power device, an embedded wiring of Cu may be applied to a power supply line of the uppermost layer or the like.
[0013]
Next, a conventional technique for forming a Cu plug and a buried Cu wiring at once on the buried Cu wiring to form a multilayer wiring will be described.
[0014]
The step shown in FIG. 8A is a continuation of the step shown in FIG. 7C. In this step, for example, SiO 2 Is deposited to a thickness of about 1000 nm to form an insulating film 116.
[0015]
Next, in the step shown in FIG. 8B, the insulating film 116 is subjected to lithography and dry etching to form a trench 117 and a through hole 118. Subsequently, after a metal film 104 made of tantalum or tantalum nitride is sequentially formed on the insulating film 116, a Cu film 119 having a thickness of 700 nm is formed by electrolytic plating.
[0016]
Next, in the step shown in FIG. 8C, the Cu film 119 and the metal film 104 are polished by chemical mechanical polishing (CMP) until the insulating film 116 is exposed, and the buried wiring 120 filling the trench 117 and the through hole are formed. A plug 121 that fills 118 is formed.
[0017]
The wiring formed by this method is generally called a dual damascene wiring. Compared to the single damascene process described above, this process is characterized in that a conductive film is simultaneously deposited in both a through hole and a trench to form a buried wiring, and is often used for a state-of-the-art multilayer integrated circuit. Further, in the above example, the upper layer wiring is a dual damascene wiring, but the first layer wiring directly connected to the semiconductor element provided on the substrate may be a dual damascene wiring.
[0018]
According to the conventional embedded wiring forming method, the same layer wiring is made of the same material, and has the same thickness and layer structure, regardless of whether the single damascene process or the dual damascene process is used. If it is necessary to use different wiring materials for wiring usage, a method of forming wiring layers separately has been generally used.
[0019]
[Problems to be solved by the invention]
In the buried wiring forming technology that has been used in the past, CMP is used when forming the wiring. As described above, when polishing a Cu film or a metal film by CMP, unnecessary polishing is prevented from being performed by using conditions for selectively polishing only a target layer.
[0020]
However, in actual CMP, a step called dishing is often observed in the trench portion, as in a surface step B shown in FIG. 7C. It has been found that this step is already generated at the time of the first polishing of the two-stage polishing described above. By adjusting the selectivity of the second polishing, the step can be somewhat flattened, but cannot be completely flattened.
[0021]
In general, it is known that dishing is formed depending on a wiring width and a wiring density. In particular, when the wiring width exceeds 10 μm, dishing becomes remarkable, and becomes about 100 nm or more in a trench having a width of 20 μm or more. Further, even when the wiring width is narrow, when the wiring density increases, Cu filling each trench is reduced, and stress concentrates on the insulating film between the trenches, whereby the insulating film is shaved, and both the wiring and the insulating film become concave. Causes the phenomenon of erosion.
[0022]
When dishing occurs during the formation of the multilayer wiring, the following problems mainly occur.
[0023]
First, as shown in FIG. 8 (c), when an insulating film is deposited on a wiring in which dishing has occurred and an upper wiring is formed, the step is carried over to the upper layer. Polishing residue occurs. For this reason, for example, when a plug is provided adjacent to the trench, a short circuit D between the wirings occurs as shown in FIG. 8C because the wiring material remains, and the entire device does not operate normally. .
[0024]
If the size of the dishing exceeds the permissible range of lithography or etching for forming an upper-layer buried wiring, a dimensional defect or an opening defect of the trench is likely to occur.
[0025]
Next, when dishing occurs, the cross-sectional area of the wiring becomes smaller than expected, so that the wiring resistance increases. In a miniaturized integrated circuit, an increase in wiring resistance causes a signal delay, which is a serious problem.
[0026]
In addition, when the cross-sectional area of the wiring is small, the increase in migration becomes remarkable. This is because, when a constant current passes, if the cross-sectional area of the wiring is small, the current density increases. For this reason, the risk of malfunctions such as disconnection increases.
[0027]
The dishing that causes the above problems is caused by the presence of steps before polishing, the chemical etching action on the wiring material during polishing, the physical elasticity of the polishing pad, and the mechanical action of abrasive particles in the polishing liquid. Produced by combined action.
[0028]
The polishing pad is softer than the wiring material and has elasticity. Therefore, when forming a wiring having a certain width or more, the polishing pad bends downward. Due to this deflection, the central portion of the metal filling the trench is polished in a concave shape.
[0029]
In addition, in the conventional wiring forming method, a metal film is formed on an insulating film under conditions that emphasize embedding performance in order to prevent holes from remaining in a narrow trench. Therefore, as shown in FIG. 7B, the thickness of the metal film on the narrow trench becomes larger than the thickness of the metal film on the wide trench, and a surface step A occurs. This phenomenon is generally called overfill.
[0030]
In order to flatten the surface step A, in addition to making the thickness of the metal film equal to or more than a certain value, overpolishing, which continues polishing excessively even after the insulating film is exposed in CMP, is performed. This overpolishing is used not only to reduce the initial step, but also to suppress the influence of variations in polishing rate.
[0031]
However, this over-polishing prevents dishing, but also causes dishing. Therefore, as the surface step before polishing generated in the plating step is larger, the polishing time is longer and overpolishing is required, and dishing is more likely to occur. If the thickness of the metal film is not sufficient, the surface step before polishing remains as it is as dishing.
[0032]
In the prior art, measures for compensating for the planarization performance by increasing the thickness of the metal formed by plating and increasing the polishing amount have been studied. However, since the polishing amount increases, the absolute variation amount at the time of polishing increases, and as a result, the overpolishing amount must be increased. On the contrary, the concave shape called dishing becomes large in a wide wiring portion.
[0033]
In order to prevent dishing, it is also possible to deposit an insulating film after forming a wiring and to perform CMP again before forming an upper wiring to flatten the upper surface of the substrate. However, this method is not preferable because the number of steps increases, which leads to an increase in manufacturing cost.
[0034]
Conventionally, dishing has been avoided by providing a dummy wiring which does not perform a wiring function in an insulating film, or by setting an upper limit of a wiring width or a wiring density by a design rule. However, these are not fundamental solutions and are not preferable because they require extra steps and add extra constraints to the design.
[0035]
An object of the present invention is to provide a buried wiring as designed even in a miniaturized integrated circuit by taking measures to prevent occurrence of dishing.
[0036]
[Means for Solving the Problems]
The semiconductor device of the present invention includes a semiconductor substrate, a plurality of semiconductor elements provided on the semiconductor substrate, a first insulating film provided above the semiconductor substrate and the semiconductor element, and a plurality of wiring layers. In the semiconductor device provided, the wiring layer fills a first wiring made of a conductor that fills the first trench provided in the first insulating film, and fills a second trench provided in the first insulating film. A second wiring formed of a conductor and provided in a step different from that of the first wiring;
[0037]
Thus, since the first wiring and the second wiring are formed in different processes based on, for example, the wiring width and the wiring density, the occurrence of dishing and erosion can be suppressed. Therefore, it is possible to effectively prevent an unexpected increase in wiring resistance, a decrease in migration resistance, a wiring failure, and the like. In addition, the depth of the first wiring and the second wiring can be changed, and the material can be changed.
[0038]
The semiconductor device further includes a second insulating film provided on the first insulating film and the first wiring, wherein the second trench is provided from the first insulating film to the second insulating film. The depths of the first wiring and the second wiring can be changed. Further, when the first wiring and the second wiring are made of different materials, dishing can be suppressed by setting the polishing conditions in the manufacturing process to conditions suitable for each metal. Further, when the first wiring and the second wiring are in contact with each other, the first wiring can be prevented from being corroded.
[0039]
Since the first wiring and the second wiring are made of different materials from each other, it is necessary to separate the wiring material between a part where importance is placed on cost and a part where reduction of resistance and prevention of disconnection are emphasized. An appropriate wiring configuration can be adopted.
[0040]
Since the film thickness of the first wiring and the film thickness of the second wiring are different from each other, it is necessary to change the wiring width without changing the wiring width, for example, by increasing the film thickness of the wiring whose resistance value is to be reduced. It is possible to design the wiring according to the requirements.
[0041]
The semiconductor device of the present invention is provided through the first insulating film, and includes a first through hole connecting at least one of the first trench and the second trench to the semiconductor element; A plug for filling the hole may be further provided.
[0042]
A lower through-hole connected to the semiconductor element, a second through-hole provided through the first insulating film and connecting the first trench or the second trench to the lower-level interconnect; A plug for filling the through hole may be further provided.
[0043]
At least a part of the first wiring and the second wiring may be in direct contact with each other.
[0044]
The first wiring and the second wiring are formed of a metal containing at least one of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, aluminum, copper, silver, gold, and platinum. Is preferable from the viewpoint of electric resistance and migration resistance.
[0045]
The method of manufacturing a semiconductor device according to the present invention includes the steps of: (a) depositing a first insulating film above a semiconductor substrate; (b) forming a first trench in the first insulating film; (C) depositing a first conductor on the first insulating film, and polishing the first conductor by chemical mechanical polishing to fill at least the first trench and function as a wiring A step (d) of forming a first conductive film, a step (e) of forming a second trench in the first insulating film, and a step of forming a second conductor on the first insulating film including the second trench. Depositing (f), polishing the second conductor by chemical mechanical polishing to fill at least the second trench and function as a wiring in the same wiring layer as the first conductive film; (G) of forming a conductive film.
[0046]
According to this method, the steps of forming the first conductive film and the second conductive film are separated from each other. Therefore, by optimizing the conditions for depositing the respective materials, the step occurs in step (c) or step (f). The surface step can be reduced. As a result, it is not necessary to perform overpolishing, and the occurrence of dishing after polishing can be suppressed. Further, in the steps (d) and (g), the most suitable polishing conditions can be selected for each condition, so that the occurrence of dishing can also be suppressed. Further, since the steps of forming the first conductive film and the second conductive film are separated, the thicknesses of the first conductive film and the second conductive film can be different from each other. The materials of the first conductive film and the second conductive film can also be different.
[0047]
After the step (d) and before the step (e), the method further includes a step of forming a second insulating film on the first insulating film and the first conductive film. Since the second trench is provided from the first insulating film to the second insulating film, the first conductive film and the second conductive film can have different thicknesses, and the wiring width can be changed. And the resistance can be changed as needed. Further, when the constituent materials of the first conductive film and the second conductive film are different from each other, polishing conditions can be selected according to each material, so that occurrence of dishing can be suppressed. In addition, in the step (g), the first conductive film does not come into contact with the polishing liquid, so that when the first conductive film is connected to the second conductive film, corrosion of the first conductive film can be prevented. .
[0048]
The semiconductor substrate further has a lower wiring, a first through hole from the first trench to the lower wiring is further formed in the step (b), and the first conductive film is formed in the step (d). Forming a first plug connected to the lower layer wiring by further filling the first through hole, thereby simultaneously forming the first conductive film and the first plug. Therefore, the number of steps can be reduced.
[0049]
The semiconductor substrate further has a lower wiring, and in the step (e), a second through hole from the second trench to the lower wiring is further formed. In the step (g), the second conductive film is formed. Further includes a step of forming a first plug connected to the lower wiring by further filling the second through hole, thereby simultaneously forming the second conductive film and the second plug. Therefore, the number of steps can be reduced.
[0050]
In the step (e), the second trench is provided so as to overlap with a part of the first trench, and the second conductive film formed in the step (g) is a part of the first conductive film. It may be in direct contact with the part.
[0051]
The first conductive film and the second conductive film are formed separately on the basis of the wiring width, so that the conditions for depositing the wiring material are optimized according to the wiring width, so that the surface step is improved. Can be reduced, so that dishing can be prevented from occurring in the first conductive film and the second conductive film. Further, in the step (d) or the step (g), the polishing conditions can be optimized, so that the occurrence of dishing can be effectively suppressed.
[0052]
The first conductive film and the second conductive film are formed separately on the basis of the wiring density, so that the first conductive film and the second conductive film are optimized according to the conditions for depositing the material of the conductive film and the wiring density. Therefore, the surface step can be reduced, the need for overpolishing is eliminated, and erosion can be prevented in a range where the wiring density is large. In addition, polishing conditions can be optimized according to the wiring density, so that erosion can be prevented.
[0053]
Since the first conductive film and the second conductive film have different film thicknesses, the wiring resistance can be changed according to the application without changing the wiring width.
[0054]
Since the materials constituting the first conductive film and the second conductive film are different from each other, it becomes possible to design a wiring according to a use.
[0055]
The first conductive film and the second conductive film are made of a metal containing at least one of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, aluminum, copper, silver, gold, and platinum. Is preferred.
[0056]
BEST MODE FOR CARRYING OUT THE INVENTION
1A to 1C, 2A to 2C, and 3A to 3C are cross-sectional views illustrating a wiring forming process of a semiconductor device according to an embodiment of the present invention. .
[0057]
The wiring forming method of the present embodiment is characterized in that the same-layer wiring is formed two or more times. Hereinafter, the wiring forming process according to the present embodiment will be described.
[0058]
First, in the step shown in FIG. 1A, a substrate 31 which is a semiconductor substrate provided with semiconductor elements or an SOI substrate is prepared. This substrate 31 has a tungsten plug (not shown) provided for the purpose of connecting the semiconductor element to the upper wiring.
[0059]
Next, on the substrate 31, SiO 2 Is deposited to form a first insulating film 32. Next, an etching mask (not shown) is deposited on the first insulating film 32, and the first insulating film 32 is etched after performing lithography. Thus, a first trench 33 having a depth of about 350 nm is formed in the first insulating film 32. Here, a mask is provided at the time of etching only on a region for forming a trench having a width exceeding 0.7 μm. That is, in this step, only trenches having a width of 0.7 μm or less are formed.
[0060]
Next, in the step shown in FIG. 1B, a thin metal film 21 made of tantalum or tantalum nitride is deposited on the first insulating film 32 including the first trench 33, and then seed copper is deposited. Thereafter, Cu is deposited on the substrate by an electrolytic plating method to form a Cu film 34 having a thickness of, for example, 400 nm.
[0061]
The reason why the width of the trench to be formed is set to a wiring width of 0.7 μm or less in the step shown in FIG. 1A is that the condition of the trench that does not cause Cu overfill by the electrolytic plating method in this step is as follows. This is because the depth is 350 nm and the width is 0.7 μm or less. In addition, the reason why Cu is deposited to 400 nm in this step is that the condition is such that a trench having a maximum width of 0.7 μm can be completely deposited to a position higher than the uppermost surface of the first insulating film 32. However, the conditions under which overfill occurs vary depending on the applied current density, the composition of the plating solution, and the like. Also, when the depth of the trench is changed, the wiring width at which overfill occurs is also changed.
[0062]
Next, in the step shown in FIG. 1C, the Cu film 34 and the metal film 21 are polished by CMP until the first insulating film 32 is exposed, and the barrier metal 22 and the first buried wiring for filling the first trench 33 are formed. 35 are formed respectively. The first embedded wiring 35 is connected to a semiconductor element provided on the substrate 31 via a plug.
[0063]
Next, in the step shown in FIG. 2 Is deposited to form a second insulating film 36 having a thickness of 100 nm. Thereafter, an etching mask (not shown) having an opening in a region for providing a trench having a width exceeding 0.7 μm is provided, and the second insulating film 36 is dry-etched using the etching mask as a mask. Thus, a second trench 37 having a depth of 500 nm is formed.
[0064]
Subsequently, in a step shown in FIG. 2B, a metal film 23 made of tantalum or tantalum nitride and seed copper are deposited on the substrate including the second trench 37. Then, copper is deposited on the substrate by an electrolytic plating method to form a Cu film 38 having a thickness of 700 nm.
[0065]
Next, in the step shown in FIG. 2C, CMP is performed, and the Cu film 38 and the metal film 23 are polished until the second insulating film 26 is exposed. As a result, the barrier metal 24 and the second buried interconnect 39 filling the second trench 37 are formed. The second embedded wiring 39 having a large wiring width is often used in an actual circuit for a power supply line, a ground line, a bus between a memory and a logic circuit, and the like.
[0066]
The second buried wiring 39 is connected to the first buried wiring 35 and a plug connected to the semiconductor element. Conditions such as the processing pressure in CMP, the relative speed between the polishing pad and the wafer, and the pad hardness are adjusted so that dishing in the trench having the maximum width is minimized. The second buried interconnect 39 formed in this manner can reduce the dishing (surface step) to, for example, about 30 nm, and the dishing is much smaller than in the related art.
[0067]
Next, in the step shown in FIG. 2 Is deposited to form a third insulating film 40 having a thickness of 1000 nm.
[0068]
Next, in the step shown in FIG. 3B, the third insulating film is subjected to lithography and dry etching to form a third trench 41 and a through hole 42 reaching the second buried interconnect 39, respectively. After that, a metal film 25 made of tantalum or tantalum nitride and seed copper are deposited on the third insulating film 40 including the third trench 41 and the through hole 42. Subsequently, Cu having a thickness of about 700 nm is deposited on the seed copper to form a Cu film 43.
[0069]
Next, in the step shown in FIG. 3C, the metal film 25 and the Cu film 43 are polished until the third insulating film 40 is exposed by performing CMP, and the barrier metal 26 and the upper wiring 41 filling the third trench are polished. And a plug 46 filling the through hole 42 are formed. Unlike the related art, the upper wiring 45 and the plug 46 are formed without being short-circuited.
[0070]
As described above, in the semiconductor device of the present embodiment, the embedded wiring is formed.
[0071]
According to the manufacturing method of the present embodiment, the first buried wiring 35 and the second buried wiring 39 are formed in separate steps, so that the plating step can be performed under conditions optimal for each wiring width. That is, when filling the first trench, plating is performed under conditions with good filling performance, and when filling the second trench, plating is performed under conditions with good flatness. As a result, the step caused by plating is reduced, so that it is not necessary to perform overpolishing and dishing can be reduced. For this reason, the resistance of the wiring can be as designed.
[0072]
In this embodiment, the first buried wiring 35 and the second buried wiring 39 are both made of Cu, but may be made of different materials because they are manufactured in separate steps. For example, the method according to the present embodiment is preferably used when it is desired that the wiring material of one part is Al and the wiring material of another part is Cu due to a request of a circuit.
[0073]
Further, when the first buried wiring 35 and the second buried wiring 39 are formed from different materials, the conditions of the plating step and the CMP step can be set to the optimum conditions for the materials, so that the dishing can be made extremely small. can do.
[0074]
Further, since the depth can be changed for each wiring, the wiring resistance can be further reduced by increasing the depth of the wiring whose resistance is particularly desired to be reduced. In addition, when a desired wiring resistance value is determined, the wiring depth is increased, and the increase in the wiring cross-sectional area is reduced by the wiring width. it can.
[0075]
Further, in the example of the present embodiment, the wiring forming process is divided according to the wiring width. However, by dividing the forming process according to the wiring density, dishing can be reduced and occurrence of erosion can be suppressed. Note that in order to further reduce dishing, the wiring forming process may be further finely divided according to the wiring width and the wiring density. In this case, since suppression of dishing and increase in the number of steps are in a trade-off relationship, an optimum step may be selected according to the design.
[0076]
Further, when the first buried wiring 35 and the second buried wiring 39 are connected to each other, the first buried wiring 35 is not exposed during the CMP for forming the second buried wiring 39, so that the width of the wiring having a small width is reduced. Corrosion can be suppressed. In this case, the criterion for separating the first buried wiring 35 and the second buried wiring 39 may be set based on the easiness of corrosion of the wiring. Note that the susceptibility of the wiring to corrosion depends not only on the wiring width but also on the wiring length.
[0077]
Further, by separating the steps of forming the first buried wiring 35 and the second buried wiring 39, the barrier metal can be reliably formed in the trenches having different widths. For this reason, it is possible to prevent characteristic deterioration due to diffusion of Cu into the substrate.
[0078]
As described above, according to the wiring forming process of the present embodiment, even when the semiconductor device is miniaturized, the embedded wiring can be favorably formed, and a desired design can be performed. The method of the present embodiment is preferably used not only for a normal semiconductor device but also for a power semiconductor device through which a large current flows.
[0079]
Next, a semiconductor device manufactured by the above method will be described.
[0080]
The semiconductor device of the present embodiment includes a substrate 31, a semiconductor element provided on the substrate 31, and a multilayer embedded wiring made of Cu connected to the semiconductor element. In the same wiring layer, a first embedded wiring 35 having a width of 0.7 μm or less and a depth of 350 nm and a second embedded wiring 39 having a width exceeding 0.7 μm and a depth of 500 μm are provided. Have been. In other words, the first buried wiring 35 and the second buried wiring 39 are the same layer wiring. Here, the definition of “same layer wiring” in this specification will be described.
[0081]
4A to 4C are cross-sectional views for explaining the same-layer wiring. In the examples shown in FIGS. 7A to 7C, the first buried wiring 35 and the second buried wiring 39 are the same layer wiring.
[0082]
As shown in FIG. 4A, the bottom surface of the first embedded wiring 35 and the bottom surface of the second embedded wiring 39 may be at the same height, or as shown in FIG. The bottom surface of the wiring 35 may be lower than the bottom surface of the second embedded wiring 39. Further, as shown in FIG. 4C, the bottom surface of the first embedded wiring 35 may be higher than the bottom surface of the second embedded wiring 39.
[0083]
That is, the same-layer wiring is a wiring provided in the same wiring layer, and is a wiring in which at least a part of the wiring is provided at the same height as each other. 4A to 4C, the heights of the bottom surfaces of the first buried wiring 35 and the second buried wiring 39 can be arbitrarily changed.
[0084]
Note that the second insulating film 36 is not necessarily provided in the wiring forming step of the semiconductor device of the present embodiment. However, when the material forming the first buried wiring 35 and the material forming the second buried wiring 39 are different, the provision of the second insulating film 36 makes it possible to select a CMP condition suitable for each material. Further, the first buried wiring 35 and the second buried wiring 39 can have different depths from each other. In addition, since the second insulating film 36 is provided, it is possible to prevent the first embedded wiring 35 from coming into contact with the polishing liquid in the CMP process for forming the second embedded wiring 39. 35 can also be prevented from corroding. Further, by providing the second insulating film 36, the second embedded wiring 39 can be used as a connection wiring to a package such as a bonding pad. Therefore, when used in a thin LSI used for a card, a thin film of a chip is used. Is possible.
[0085]
In addition, Cu for filling the trench may be PVD (Physical Vapor Deposition) other than plating, and when the trench width is 0.1 μm or less, it is preferable to deposit by CVD having high filling performance.
[0086]
As the wiring material, in addition to Cu, Al, Au (gold), Ag (silver), Pt (platinum), W (tungsten), and an alloy containing any of these are preferably used. From the viewpoint of performance, a material having a low resistance value and a high migration resistance is preferable. Tungsten has a higher migration resistance than Cu, and is preferably used when low resistance is not required.
[0087]
The migration resistance can be improved by adding a small amount of In (indium) or Sn (tin) to these alloys.
[0088]
When Cu is used as a wiring material, a barrier metal is provided because it has a property of easily diffusing into Si. However, it is not always necessary to provide a barrier metal when the insulating film has no Cu diffusivity. Note that as a material of the barrier metal, titanium, titanium nitride, tungsten, tungsten nitride, or the like can be used in addition to tantalum and tantalum nitride.
[0089]
In the present embodiment, an example is described in which a plug made of Cu is provided between the upper layer wiring and the second buried wiring. However, the plug connecting the semiconductor element and the first buried wiring is also formed as a Cu wiring by a dual damascene process. Is also good. In this case, it is preferable to use a titanium-based barrier metal so that Cu does not directly contact the element.
[0090]
The method of this embodiment can be applied to both single damascene wiring and dual damascene wiring. However, when forming trenches and through holes, they may be formed using different masks.
[0091]
-Modification of this embodiment-
In the semiconductor device of the present embodiment, the first embedded wiring 35 and the second embedded wiring 39 are connected to each other at any part. This modification is an example in which the first embedded wiring 35 and the second embedded wiring 39 are in direct contact with each other.
[0092]
FIG. 5A is a plan view illustrating an example of a semiconductor device according to a modification of the present embodiment, and FIG. 5B is a cross-sectional view taken along line Vb-Vb illustrated in FIG. FIGS. 6A to 6C are cross-sectional views illustrating an example of a semiconductor device according to a modification of the present embodiment.
[0093]
As shown in FIG. 5A, in the present modification, the wide second buried wiring 39 is in direct contact with the narrow first buried wiring 35. In this case, as shown in FIG. 5B, the first buried wiring 35 may be formed to penetrate the second buried wiring 39 at the intersection of the two wirings.
[0094]
Further, as shown in FIGS. 6A to 6C, both wirings may be provided so that the end of the second embedded wiring 39 is in contact with the end of the first embedded wiring 35. Although not shown, the bottom of the second embedded wiring 39 may be higher than the bottom of the first embedded wiring.
[0095]
【The invention's effect】
According to the method of manufacturing a semiconductor device of the present invention, the buried wiring of the same layer is formed using a plurality of masks and divided according to the wiring width and the wiring density, so that the plating step and the CMP step are optimized for each wiring. Conditions can be adjusted. Therefore, occurrence of dishing and erosing can be suppressed, and problems such as an unexpected increase in wiring resistance and disconnection can be prevented.
[Brief description of the drawings]
FIGS. 1A to 1C are cross-sectional views showing a process up to forming a first trench in a wiring forming process of a semiconductor device according to an embodiment of the present invention.
FIGS. 2A to 2C are cross-sectional views illustrating a process up to forming a second trench in a wiring forming process of the semiconductor device according to the embodiment of the present invention;
FIGS. 3A to 3C are cross-sectional views showing a process of forming a wiring and a plug of an upper layer in a wiring forming process of the semiconductor device according to the embodiment of the present invention;
FIGS. 4A to 4C are cross-sectional views illustrating the same-layer wiring.
FIG. 5A is a plan view showing an example of a semiconductor device according to a modification of the embodiment of the present invention, and FIG. 5B is a cross-sectional view taken along line Vb-Vb shown in FIG. is there.
FIGS. 6A to 6C are cross-sectional views illustrating an example of a semiconductor device according to a modification of the embodiment of the present invention.
FIGS. 7A to 7C are cross-sectional views illustrating a process of forming a buried interconnect in a conventional process of forming a buried interconnect.
8 (a) to 8 (c) are cross-sectional views showing steps of forming a buried wiring and a plug in an upper layer in a conventional buried wiring forming step.
[Explanation of symbols]
21,23,25 Metal film
22, 24, 26 Barrier metal
31 substrate
32 First insulating film
33 1st trench
34,38,43 Cu film
35 First embedded wiring
36 Second insulating film
37 Second trench
39 Second embedded wiring
40 Third insulating film
41 Third trench
42 Through Hole
45 Upper layer wiring
46 plug

Claims (18)

半導体基板と、上記半導体基板上に設けられた複数の半導体素子と、上記半導体基板及び上記半導体素子の上方に設けられた第1絶縁膜と、複数の配線層とを備える半導体装置であって、
上記配線層は、
上記第1絶縁膜に設けられた第1トレンチを埋める導電体からなる第1の配線と、
上記第1絶縁膜に設けられた第2トレンチを埋める導電体からなり、上記第1の配線とは別工程により設けられた第2の配線と
を有している半導体装置。
A semiconductor device comprising: a semiconductor substrate, a plurality of semiconductor elements provided on the semiconductor substrate, a first insulating film provided above the semiconductor substrate and the semiconductor element, and a plurality of wiring layers,
The wiring layer,
A first wiring made of a conductor filling the first trench provided in the first insulating film;
A semiconductor device comprising a conductor that fills a second trench provided in the first insulating film and having a second wiring provided in a step different from the first wiring.
請求項1に記載の半導体装置において、
上記第1絶縁膜及び上記第1の配線の上に設けられた第2絶縁膜をさらに備え、
上記第2トレンチは上記第1絶縁膜から上記第2絶縁膜に亘って設けられていることを特徴とする半導体装置。
The semiconductor device according to claim 1,
The semiconductor device further includes a second insulating film provided on the first insulating film and the first wiring,
The semiconductor device according to claim 1, wherein the second trench is provided from the first insulating film to the second insulating film.
請求項1または2に記載の半導体装置において、
上記第1の配線と上記第2の配線とは互いに異なる材料から構成されていることを特徴とする半導体装置。
The semiconductor device according to claim 1, wherein
A semiconductor device, wherein the first wiring and the second wiring are made of different materials.
請求項1〜3のうちいずれか1つに記載の半導体装置において、
上記第1の配線の膜厚と上記第2の配線の膜厚とは互いに異なっていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 3,
A semiconductor device, wherein the thickness of the first wiring and the thickness of the second wiring are different from each other.
請求項1〜4のうちいずれか1つに記載の半導体装置において、
上記第1絶縁膜を貫通して設けられ、上記第1トレンチ及び上記第2トレンチの少なくとも1つと上記半導体素子とを結ぶ第1のスルーホールと、
上記第1のスルーホールを埋めるプラグと
をさらに備えていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 4,
A first through hole provided through the first insulating film and connecting at least one of the first trench and the second trench to the semiconductor element;
And a plug for filling the first through hole.
請求項1〜4のうちいずれか1つに記載の半導体装置において、
上記半導体素子に接続された下層配線と、
上記第1絶縁膜を貫通して設けられ、上記第1トレンチまたは上記第2トレンチと上記下層配線とを結ぶ第2のスルーホールと、
上記第2のスルーホールを埋めるプラグと
をさらに備えていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 4,
A lower wiring connected to the semiconductor element,
A second through-hole provided through the first insulating film and connecting the first trench or the second trench to the lower wiring;
A plug that fills the second through hole.
請求項1〜6のうちいずれか1つに記載の半導体装置において、
上記第1の配線と上記第2の配線とは少なくとも一部が直接接触していることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 6,
A semiconductor device, wherein at least a part of the first wiring and the second wiring are in direct contact with each other.
請求項1〜7のうちいずれか1つに記載の半導体装置において、
上記第1の配線及び上記第2の配線は、タンタル、窒化タンタル、チタン、窒化チタン、タングステン、窒化タングステン、アルミニウム、銅、銀、金、白金のうち少なくとも1つを含む金属から構成されていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 7,
The first wiring and the second wiring are formed of a metal containing at least one of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, aluminum, copper, silver, gold, and platinum. A semiconductor device characterized by the above-mentioned.
半導体基板の上方に第1絶縁膜を堆積する工程(a)と、
上記第1絶縁膜に第1トレンチを形成する工程(b)と、
上記第1トレンチを含む上記第1絶縁膜上に第1導電体を堆積する工程(c)と、
化学的機械的研磨により上記第1導電体を研磨し、少なくとも上記第1トレンチを埋め、且つ配線として機能する第1の導電膜を形成する工程(d)と、
上記第1絶縁膜に第2トレンチを形成する工程(e)と、
上記第2トレンチを含む上記第1絶縁膜上に第2導電体を堆積する工程(f)と、
化学的機械的研磨により上記第2導電体を研磨し、少なくとも上記第2トレンチを埋め、且つ上記第1の導電膜と同じ配線層内の配線として機能する第2の導電膜を形成する工程(g)と
を含む半導体装置の製造方法。
(A) depositing a first insulating film above the semiconductor substrate;
Forming a first trench in the first insulating film (b);
(C) depositing a first conductor on the first insulating film including the first trench;
Polishing the first conductor by chemical mechanical polishing to form at least a first conductive film that fills at least the first trench and functions as a wiring (d);
(E) forming a second trench in the first insulating film;
(F) depositing a second conductor on the first insulating film including the second trench;
Polishing the second conductor by chemical mechanical polishing to form a second conductive film which fills at least the second trench and functions as a wiring in the same wiring layer as the first conductive film ( g).
請求項9に記載の半導体装置の製造方法において、
上記工程(d)の後、工程(e)の前に、上記第1絶縁膜及び上記第1の導電膜上に第2絶縁膜を形成する工程をさらに含み、
上記工程(e)では、上記第2トレンチが上記第1絶縁膜から上記第2絶縁膜に亘って設けられることを特徴とする半導体装置の製造方法。
The method for manufacturing a semiconductor device according to claim 9,
After the step (d) and before the step (e), the method further includes a step of forming a second insulating film on the first insulating film and the first conductive film,
In the method (e), the second trench is provided from the first insulating film to the second insulating film.
請求項9または10に記載の半導体装置の製造方法において、
上記半導体基板は下層配線をさらに有し、
上記工程(b)では上記第1トレンチから上記下層配線に至る第1のスルーホールをさらに形成し、
上記工程(d)は、上記第1の導電膜が上記第1のスルーホールをさらに埋めることにより、上記下層配線に接続される第1のプラグを形成する工程をさらに含むことを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
The semiconductor substrate further has a lower wiring,
In the step (b), a first through hole from the first trench to the lower wiring is further formed,
The step (d) further includes a step of forming a first plug connected to the lower wiring by further filling the first through hole with the first conductive film. Device manufacturing method.
請求項9または10に記載の半導体装置の製造方法において、
上記半導体基板は下層配線をさらに有し、
上記工程(e)では上記第2トレンチから上記下層配線に至る第2のスルーホールをさらに形成し、
上記工程(g)は、上記第2の導電膜が上記第2のスルーホールをさらに埋めることにより、上記下層配線に接続される第1のプラグを形成する工程をさらに含むことを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
The semiconductor substrate further has a lower wiring,
In the step (e), a second through hole from the second trench to the lower wiring is further formed,
The step (g) further includes a step of forming a first plug connected to the lower wiring by further filling the second through hole with the second conductive film. Device manufacturing method.
請求項9〜12のうちいずれか1つに記載の半導体装置の製造方法において、
上記工程(e)では、上記第2トレンチは上記第1トレンチの一部と重なって設けられ、
上記工程(g)で形成される上記第2の導電膜は、上記第1の導電膜の一部と直接接触していることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
In the step (e), the second trench is provided so as to overlap a part of the first trench,
The method for manufacturing a semiconductor device, wherein the second conductive film formed in the step (g) is in direct contact with a part of the first conductive film.
請求項9〜13のうちいずれか1つに記載の半導体装置の製造方法において、
上記第1の導電膜と上記第2の導電膜とは、配線幅を基準として分離して形成されることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
A method for manufacturing a semiconductor device, wherein the first conductive film and the second conductive film are formed separately based on a wiring width.
請求項9〜14のうちいずれか1つに記載の半導体装置の製造方法において、
上記第1の導電膜と上記第2の導電膜とは、配線密度を基準として分離して形成されることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
A method for manufacturing a semiconductor device, wherein the first conductive film and the second conductive film are formed separately based on a wiring density.
請求項9〜15のうちいずれか1つに記載の半導体装置の製造方法において、
上記第1の導電膜と上記第2の導電膜とは互いに膜厚が異なっていることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
A method for manufacturing a semiconductor device, wherein the first conductive film and the second conductive film have different thicknesses from each other.
請求項9〜16のうちいずれか1つに記載の半導体装置の製造方法において、
上記第1の導電膜と上記第2の導電膜を構成する材料は互いに異なっていることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 9,
A method for manufacturing a semiconductor device, wherein materials forming the first conductive film and the second conductive film are different from each other.
請求項9〜17のうちいずれか1つに記載の半導体装置の製造方法において、
上記第1の導電膜及び上記第2の導電膜は、タンタル、窒化タンタル、チタン、窒化チタン、タングステン、窒化タングステン、アルミニウム、銅、銀、金、白金のうち少なくとも1つを含む金属から構成されていることを特徴とする半導体装置の製造方法。
The method for manufacturing a semiconductor device according to any one of claims 9 to 17,
The first conductive film and the second conductive film are made of a metal containing at least one of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, aluminum, copper, silver, gold, and platinum. A method of manufacturing a semiconductor device.
JP2002223475A 2002-07-31 2002-07-31 Semiconductor device and its manufacturing method Pending JP2004063995A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002223475A JP2004063995A (en) 2002-07-31 2002-07-31 Semiconductor device and its manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002223475A JP2004063995A (en) 2002-07-31 2002-07-31 Semiconductor device and its manufacturing method

Publications (2)

Publication Number Publication Date
JP2004063995A true JP2004063995A (en) 2004-02-26
JP2004063995A5 JP2004063995A5 (en) 2005-10-20

Family

ID=31943218

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002223475A Pending JP2004063995A (en) 2002-07-31 2002-07-31 Semiconductor device and its manufacturing method

Country Status (1)

Country Link
JP (1) JP2004063995A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004179A (en) 2014-07-02 2016-01-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Hybrid copper structure for advance interconnect usage
JP2018207110A (en) * 2017-06-06 2018-12-27 東京エレクトロン株式会社 Method of manufacturing integrated circuit having double metal power rail

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004179A (en) 2014-07-02 2016-01-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Hybrid copper structure for advance interconnect usage
KR101696973B1 (en) * 2014-07-02 2017-01-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Integrated chip comprising hybrid copper structure for advance interconnect and method of forming metalization layer
TWI585929B (en) * 2014-07-02 2017-06-01 台灣積體電路製造股份有限公司 Integrated chip and method for forming a back-end-of-the-line (beol) metallization layer
US9837354B2 (en) 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US10290580B2 (en) 2014-07-02 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US10818597B2 (en) 2014-07-02 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
JP2018207110A (en) * 2017-06-06 2018-12-27 東京エレクトロン株式会社 Method of manufacturing integrated circuit having double metal power rail

Similar Documents

Publication Publication Date Title
US6417094B1 (en) Dual-damascene interconnect structures and methods of fabricating same
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US5693568A (en) Reverse damascene via structures
US7407835B2 (en) Localized slots for stress relieve in copper
US7566973B2 (en) Semiconductor device and method of manufacturing the same
EP0913863A2 (en) Method of manufacturing semiconductor device with copper wiring film
US6803300B2 (en) Method of manufacturing a semiconductor device having a ground plane
JP2012147006A (en) High current structures with compatible of active area bonding
US7932187B2 (en) Method for fabricating a semiconductor device
JPH11312704A (en) Dual damask having bonding pad
US6403466B1 (en) Post-CMP-Cu deposition and CMP to eliminate surface voids
US6716743B2 (en) Method of manufacturing a semiconductor device
WO2002056364A2 (en) Conductor reservoir volume for integrated circuit interconnects
US7781334B2 (en) Method of manufacturing a semiconductor device with through-chip vias
US20040266174A1 (en) Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
WO2002041391A2 (en) Amorphized barrier layer for integrated circuit interconnects
US6200890B1 (en) Method of fabricating copper damascene
JP2004063995A (en) Semiconductor device and its manufacturing method
US20020127849A1 (en) Method of manufacturing dual damascene structure
US20020106895A1 (en) Method for forming copper interconnect and enhancing electromigration resistance
US6455938B1 (en) Integrated circuit interconnect shunt layer
JPH11102911A (en) Semiconductor device and its manufacture
US20050106853A1 (en) Method for forming metal wire in semiconductor device
JP2004063996A (en) Semiconductor device and its manufacturing method
US6248660B1 (en) Method for forming metallic plug

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050617

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050617

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070713

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070807