JP2003257842A - Device and method for correcting proximity effect, storage medium, and program - Google Patents

Device and method for correcting proximity effect, storage medium, and program

Info

Publication number
JP2003257842A
JP2003257842A JP2002060775A JP2002060775A JP2003257842A JP 2003257842 A JP2003257842 A JP 2003257842A JP 2002060775 A JP2002060775 A JP 2002060775A JP 2002060775 A JP2002060775 A JP 2002060775A JP 2003257842 A JP2003257842 A JP 2003257842A
Authority
JP
Japan
Prior art keywords
correction target
light intensity
proximity effect
intensity value
correction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002060775A
Other languages
Japanese (ja)
Other versions
JP4152647B2 (en
Inventor
Hirotaka Nitani
広貴 二谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002060775A priority Critical patent/JP4152647B2/en
Priority to US10/379,535 priority patent/US7047516B2/en
Publication of JP2003257842A publication Critical patent/JP2003257842A/en
Application granted granted Critical
Publication of JP4152647B2 publication Critical patent/JP4152647B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Abstract

<P>PROBLEM TO BE SOLVED: To accurately and easily correct a proximity effect in an extremely short time not only in the case where a figure to be corrected coincides with a desired shape (aimed figure), but also in another case where the figure to be corrected is different from the desired shape. <P>SOLUTION: For the proximity effect in the figure to be corrected, only a light intensity value in the vicinity of a specific portion required for correction, for example, at a spot which is separated from an edge of the figure to be corrected by a distance shorter than a prespecified specific value is calculated based on the specific value and the figure to be corrected is corrected based on the light intensity value. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、リソグラフィーに
よりマスクパターンの露光を行う際に近接効果補正を行
う装置、方法、記憶媒体及びプログラムに関するもので
ある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an apparatus, method, storage medium and program for performing proximity effect correction when exposing a mask pattern by lithography.

【0002】[0002]

【従来の技術】近時における半導体回路の微細化の要請
は益々増大しており、これに伴って近接効果の影響が無
視できないものとなっている。この近接効果の影響によ
り設計通りの半導体回路を製造できないという問題が生
じている。そこで、近接効果の影響を事前に把握し、所
望の寸法を得ることができるように予め設計データに変
更を施す近接効果補正と称される処理が行われている。
2. Description of the Related Art Recently, the demand for miniaturization of semiconductor circuits has been increasing more and more, and accordingly, the influence of the proximity effect cannot be ignored. Due to the influence of this proximity effect, there is a problem that a semiconductor circuit as designed cannot be manufactured. Therefore, a process called proximity effect correction is performed in which the influence of the proximity effect is grasped in advance and the design data is changed in advance so that a desired dimension can be obtained.

【0003】従来の近接効果補正処理は、補正対象とな
る図形の辺において、垂直方向に計算した隣接する辺ま
での距離や、辺の属する図形の大きさ及び更にその先に
ある辺までの距離などを計算し、これらの値に対応する
近接効果補正ルールを定義することで対処してきた。
In the conventional proximity effect correction processing, in the sides of the figure to be corrected, the distance to the adjacent side calculated in the vertical direction, the size of the figure to which the side belongs, and the distance to the side further ahead. It has been dealt with by calculating such as and defining a proximity effect correction rule corresponding to these values.

【0004】しかしながら、補正ルールの定義には限界
があり、複雑な形状などはルールで表すことが困難であ
ることから、近時では、マスクパターンの複雑な配置状
況においても十分な補正効果を得る手段として、シミュ
レータの活用が考えられている。
However, there is a limit to the definition of the correction rule, and it is difficult to express a complicated shape by a rule. Therefore, recently, a sufficient correction effect can be obtained even in a complicated arrangement of mask patterns. Utilization of a simulator is considered as a means.

【0005】シミュレータを用いた近接効果補正を行う
際には、補正対象図形を含むある一定の領域について最
初に光強度分布を求めて利用するのが一般的である。な
お、算出された光強度分布は離散的なものであるため、
必要な点についての光強度値は直線補間により求めるこ
とになる。
When performing proximity effect correction using a simulator, it is general to first obtain and use a light intensity distribution for a certain area including the correction target graphic. Since the calculated light intensity distribution is discrete,
The light intensity value for the required point is obtained by linear interpolation.

【0006】光強度分布を求める際に、最初にマスクパ
ターンのフーリエ変換像を求め、次に高速フーリエ変換
(FFT)と呼ばれる処理を行って光強度分布を求め
る。1次元FFTを行う場合の処理演算回数T1は以下
の式で表される。
When obtaining the light intensity distribution, first, a Fourier transform image of the mask pattern is obtained, and then a process called fast Fourier transform (FFT) is performed to obtain the light intensity distribution. The number of processing operations T 1 in the case of performing the one-dimensional FFT is represented by the following equation.

【0007】T1=Nlog2(N) ここで、Nは光強度分布を求める点の格子数である、例
えば、N=8のとき、T1=24となる。実際に光強度
分布を求める際の格子数は、0.01μm刻みで3μm
の範囲を考えれば、N=300となる。ここで、FFT
を使用する際に、Nは2のベキ乗である必要があるの
で、N=256,512などの数を使用することにな
る。
T 1 = N log 2 (N) Here, N is the number of grids of points for which the light intensity distribution is to be obtained. For example, when N = 8, T 1 = 24. The number of grids when actually calculating the light intensity distribution is 3 μm in 0.01 μm increments.
Considering the range of, N = 300. Where FFT
When using, N must be a power of 2, so we will use numbers such as N = 256, 512.

【0008】他方、2次元FFTを行う際の処理演算回
数T2は以下の式で表される。 T2=N3log2(N) ここで、格子数が256×256の光強度分布を求める
際に必要となる計算回数T2は、1.3×108となる。
On the other hand, the number of processing operations T 2 when performing the two-dimensional FFT is expressed by the following equation. T 2 = N 3 log 2 (N) Here, the number of calculations T 2 required when obtaining the light intensity distribution with the number of grids of 256 × 256 is 1.3 × 10 8 .

【0009】[0009]

【発明が解決しようとする課題】上述のように、シミュ
レータを用いればその都度の露光結果をシミュレートし
て最適な近接効果補正形状を導き出すことが可能である
が、その反面、補正処理に長時間を要するという問題が
あり、膨大な設計データのすべての領域についてその光
強度分布を求めるのは処理時間の面で非現実的である。
As described above, it is possible to derive the optimum proximity effect correction shape by simulating each exposure result by using a simulator, but on the other hand, it is not easy to correct the correction processing. There is a problem that it takes time, and it is unrealistic in terms of processing time to obtain the light intensity distribution for all the regions of the enormous amount of design data.

【0010】更には、補正対象図形が所望の形状を表し
ていない場合には、適切な近接効果補正を行う何等の手
法も案出されていない現況にある。
Further, when the correction target graphic does not represent a desired shape, no method has been devised for performing an appropriate proximity effect correction.

【0011】本発明は、前記課題に鑑みてなされたもの
であり、補正対象図形が所望の形状(目的図形)と一致
する場合のみならず、補正対象図形が所望の形状と異な
る場合にも、極めて短時間で正確且つ簡易に近接効果を
補正し、半導体素子の更なる微細化の要請に応え、信頼
性の高い半導体装置を製造することを可能とする近接効
果補正装置、方法、記憶媒体及びプログラムを提供する
ことを目的とする。
The present invention has been made in view of the above problems, and not only when the correction target graphic matches the desired shape (target graphic) but also when the correction target graphic is different from the desired shape, Proximity effect correction device, method, storage medium, and storage medium capable of accurately and easily correcting proximity effect in an extremely short time, responding to the demand for further miniaturization of semiconductor elements, and manufacturing a highly reliable semiconductor device The purpose is to provide the program.

【0012】[0012]

【課題を解決するための手段】本発明者は、鋭意検討の
結果、以下に示す発明の諸態様に想到した。
As a result of intensive studies, the present inventor has come up with various aspects of the invention described below.

【0013】本発明は、リソグラフィーによりマスクパ
ターンの露光を行う際に、自動的に近接効果を補正する
装置、方法、記憶媒体及びプログラムを対象とする。
The present invention is directed to an apparatus, a method, a storage medium and a program for automatically correcting a proximity effect when a mask pattern is exposed by lithography.

【0014】本発明の近接効果補正方法は、補正対象図
形における近接効果に補正に必要な特定部位近傍のみの
光強度値を算出し、前記光強度値に基づいて前記補正対
象図形を補正する。
According to the proximity effect correction method of the present invention, the light intensity value only in the vicinity of a specific portion necessary for correction of the proximity effect in the correction target graphic is calculated, and the correction target graphic is corrected based on the light intensity value.

【0015】リソグラフィーによりマスクパターンの露
光を行う際、補正対象図形である前記マスクパターンが
所望の形状である場合には、本発明の近接効果補正方法
は、予め規定された規定値に基づき、所望の形状の図形
における縁部間の距離が規定値以下である個所の近傍に
存する補正対象図形の辺を補正対象部位であると判断す
る第1の手順と、前記補正対象部位の近傍のみの光強度
値を算出する第2の手順と、算出された前記光強度値に
基づいて前記補正対象図形を補正する第3の手順とを含
む。
When exposing the mask pattern by lithography, if the mask pattern as the correction target figure has a desired shape, the proximity effect correction method of the present invention is based on a prescribed value defined in advance. The first procedure for determining that the side of the correction target figure existing in the vicinity of the portion where the distance between the edges of the figure of the shape is a specified value or less is the correction target site, and the light only in the vicinity of the correction target site The method includes a second procedure for calculating an intensity value and a third procedure for correcting the correction target graphic based on the calculated light intensity value.

【0016】補正対象図形が前記マスクパターンが所望
の形状と異なる場合には、本発明の近接効果補正方法
は、予め規定された規定値に基づき、所望の形状の図形
における縁部間の距離が規定値以下である個所の近傍に
存する補正対象図形の辺を近接効果の補正対象部位であ
ると判断する第1の手順と、前記補正対象部位の近傍所
定範囲内における所望の形状の図形上のみの光強度値を
算出する第2の手順と、算出された前記光強度値に基づ
いて前記補正対象図形を補正する第3の手順とを含む。
When the figure to be corrected has a mask pattern different from the desired shape, the proximity effect correction method according to the present invention determines the distance between the edges of the figure having the desired shape based on a prescribed value defined in advance. A first procedure of determining that a side of a correction target figure existing in the vicinity of a portion that is equal to or less than a specified value is a correction target site of proximity effect, and only on a figure of a desired shape within a predetermined range near the correction target site And a third step of correcting the correction target graphic based on the calculated light intensity value.

【0017】[0017]

【発明の実施の形態】−本発明の基本骨子− 本発明者は、近接効果補正を行う際に、求めた光強度分
布すべての情報が必要ではないことに着目した。そこで
本発明では、光強度分布を求めることなく、光強度値が
必要な点、即ち、予め規定された規定値に基づき、補正
対象図形における縁部間の距離が規定値以下である個所
の近傍に存する点のみについて、補間計算なしで直接に
光強度値を算出することを基本骨子とする。
BEST MODE FOR CARRYING OUT THE INVENTION-Basic essence of the present invention-The present inventor has noticed that information on all the obtained light intensity distributions is not necessary when performing proximity effect correction. Therefore, in the present invention, the light intensity value is required without obtaining the light intensity distribution, that is, the vicinity of the point where the distance between the edges of the correction target graphic is less than or equal to the specified value based on the specified value that is specified in advance. The basic skeleton is to directly calculate the light intensity value without interpolation calculation only for the points existing in.

【0018】ここで、FFT処理する直前のスペクトル
をS(n,m)とし、光強度値が必要となる点の座標を
(x,y)、pxを一定の領域におけるx方向幅、py
そのy方向幅とすれば、その点の光強度値I(x,y)
は以下の式で求めることができる。 I(x,y)=ΣnΣmexp[−i2π{(nx/px
+(my/py)}・S(n,m)
Here, the spectrum immediately before the FFT processing is S (n, m), the coordinates of the point where the light intensity value is required are (x, y), and p x is the width in the x direction in a certain region, p If y is the width in the y direction, the light intensity value I (x, y) at that point
Can be calculated by the following formula. I (x, y) = Σ n Σ m exp [-i2π {(nx / p x)
+ (My / py )} S (n, m)

【0019】1点の光強度値を求める為の計算回数T
pは、 Tp=N2 である。例えば1次元の処理において、近接効果補正を
行う際に必要となる光強度値の算出点数をNiとすれば、
以下の式が満たされている場合に従来の手法よりも高速
処理が可能となる。 Ni<Nlog2(N)
Number of calculations T for obtaining the light intensity value at one point T
p has T p = N 2 . For example, in the one-dimensional processing, if the calculation point of the light intensity value required for performing the proximity effect correction is N i ,
Higher speed processing than the conventional method is possible when the following equation is satisfied. N i <Nlog 2 (N)

【0020】例えば図1に示すように、補正対象図形が
4角形の場合、近接効果補正を行う際に必要となる光強
度値は注目する各辺の近傍の約20点であり、上記の条
件を満たし、高速の近接効果補正処理が実現する。ま
た、本発明を使用すれば補間計算を必要としないため、
高精度の計算結果を得ることが可能である。
For example, as shown in FIG. 1, when the figure to be corrected is a quadrangle, the light intensity value required for performing the proximity effect correction is about 20 points in the vicinity of each side of interest, and the above conditions are satisfied. Is satisfied, and high-speed proximity effect correction processing is realized. Also, using the present invention does not require interpolation calculations,
It is possible to obtain highly accurate calculation results.

【0021】−具体的な諸実施形態− 以下、上述した本発明の基本骨子を踏まえ、本発明を適
用した具体的な諸実施形態について図面を参照しながら
詳細に説明する。以下の各実施形態における近接効果補
正装置は、補正対象図形における近接効果に補正に必要
な特定部位近傍のみの光強度値を算出し、この光強度値
に基づいて補正対象図形を補正する手段を主構成要素と
している。
-Specific Embodiments- Based on the basic essence of the present invention described above, specific embodiments to which the present invention is applied will be described in detail with reference to the drawings. The proximity effect correction device in each of the following embodiments calculates a light intensity value only in the vicinity of a specific portion necessary for the proximity effect correction in the correction target graphic, and corrects the correction target graphic based on the light intensity value. It is the main component.

【0022】(第1の実施形態)本実施形態では、リソ
グラフィーによりマスクパターンの露光を行う際、補正
対象図形がマスクパターンを露光して実現したい所望の
形状(目的図形)と一致する場合の、近接効果補正を対
象とする。
(First Embodiment) In this embodiment, when the mask pattern is exposed by lithography, when the correction target graphic matches the desired shape (target graphic) to be realized by exposing the mask pattern, Targets proximity effect correction.

【0023】図2は、本実施形態による近接効果補正装
置の概略構成を示すブロック図である。この近接効果補
正装置は、予め規定された規定値に基づき、所望の形状
の図形における縁部間の距離が規定値以下である個所の
近傍に存する補正対象図形の辺を近接効果の補正対象部
位であると判断する補正対象部位決定手段1と、補正対
象部位の近傍所定範囲内における所望の形状の図形上の
みの光強度値を算出する光強度値算出手段2と、算出さ
れた光強度値により補正対象図形の各辺の最適移動量を
決定する最適移動量決定手段3と、最適移動量に基づい
て補正対象図形を補正する辺移動手段4とを備えて構成
されている。
FIG. 2 is a block diagram showing the schematic arrangement of the proximity effect correction apparatus according to this embodiment. According to this proximity effect correction device, a side of a correction target graphic existing near a portion where a distance between edges in a graphic of a desired shape is equal to or smaller than a predetermined value is a correction target portion of the proximity effect based on a predetermined predetermined value. To be corrected, a light intensity value calculation means 2 to calculate a light intensity value only on a figure having a desired shape within a predetermined range near the correction target region, and the calculated light intensity value. Thus, it comprises an optimum movement amount determining means 3 for determining the optimum movement amount of each side of the correction target graphic, and a side moving means 4 for correcting the correction target graphic based on the optimum movement amount.

【0024】図3は、本実施形態による近接効果補正方
法をステップ順に示すフローチャートである。例えば、
図4に示すような補正対象図形について近接効果補正を
行う場合、先ず、予め規定された規定値に基づき、補正
対象図形における縁部間の距離が規定値以下である個所
を近接効果の補正対象部位であると判断する(ステップ
1)。ここでは、補正個所11〜16が補正対象部位と
なる。ここで、前記規定値としては、例えば露光波長が
0.248μmのときには0.2μm、0.193μmの
ときには0.18μmが採用される。
FIG. 3 is a flowchart showing the proximity effect correction method according to this embodiment in the order of steps. For example,
When the proximity effect correction is performed on the correction target graphic as shown in FIG. 4, first, based on a prespecified value, the location where the distance between the edges of the correction target graphic is less than or equal to the specified value is the proximity effect correction target. It is determined to be a part (step 1). Here, the correction points 11 to 16 are the correction target parts. Here, as the specified value, for example, 0.2 μm is adopted when the exposure wavelength is 0.248 μm, and 0.18 μm is adopted when the exposure wavelength is 0.193 μm.

【0025】続いて、補正対象部位11〜16の各々に
ついて、上述した手法により光強度値計算点上の光強度
値I(x,y)を算出する(ステップ2)。
Then, the light intensity value I (x, y) at the light intensity value calculation point is calculated for each of the correction target parts 11 to 16 by the above-mentioned method (step 2).

【0026】続いて、算出された光強度値により求まる
光強度等高線の位置により補正対象辺17〜28の最適
移動量を決定する(ステップ3)。ここでは便宜上、図
5(a)に示すように補正対象図形(目的図形)が辺
a,b,c,dで構成される4角形の場合を例に採り説
明する。辺aを補正しようとする場合、目的図形と光強
度等高線の乖離量eを計算した後、辺の最適移動量fを
決定する。同様のことを辺b,c,dについて行うこと
で全辺の最適移動量が定まる。
Then, the optimum movement amount of the correction target sides 17 to 28 is determined according to the position of the light intensity contour line obtained from the calculated light intensity value (step 3). Here, for the sake of convenience, a case where the correction target figure (target figure) is a quadrangle constituted by sides a, b, c, d as shown in FIG. 5A will be described as an example. When the side a is to be corrected, the amount of deviation e between the target figure and the light intensity contour line is calculated, and then the optimum side movement amount f is determined. By performing the same thing for the sides b, c, and d, the optimum movement amount of all sides is determined.

【0027】そして、全ての補正対象辺について最適移
動量を求めた(ステップ4)後、当該最適移動量に基づ
いて補正対象辺を移動させ、補正対象図形を補正する
(ステップ5)。図5(b)に近接効果補正後の一例を
示す。
Then, after obtaining the optimum movement amount for all the correction target sides (step 4), the correction target side is moved based on the optimum movement amount to correct the correction target figure (step 5). FIG. 5B shows an example after the proximity effect correction.

【0028】本実施形態の近接効果補正方法により、図
4の5μm×4μm領域の近接効果補正を要する辺につい
てその近傍30点の光強度値を求めるための総処理時間
は0.1秒であった。同様の領域について従来の手法に
より光強度分布を算出した場合には総処理時間に0.5
秒を要し、本実施形態の近接効果補正方法により極めて
高速の補正処理が実現することが判った。
According to the proximity effect correction method of the present embodiment, the total processing time for obtaining the light intensity values of the 30 points near the side of the 5 μm × 4 μm region in FIG. It was When the light intensity distribution is calculated for the same area by the conventional method, the total processing time is 0.5
It took a second, and it was found that the proximity effect correction method of the present embodiment realizes extremely high-speed correction processing.

【0029】以上説明したように、本実施形態によれ
ば、補正対象図形である前記マスクパターンが所望の形
状である場合に、極めて短時間で正確且つ簡易に近接効
果を補正し、半導体素子の更なる微細化の要請に応え、
信頼性の高い半導体装置を製造することが可能となる。
As described above, according to the present embodiment, when the mask pattern which is the correction target figure has a desired shape, the proximity effect is corrected accurately and easily in an extremely short time, and In response to the demand for further miniaturization,
It becomes possible to manufacture a highly reliable semiconductor device.

【0030】(第2の実施形態)本実施形態では、リソ
グラフィーによりマスクパターンの露光を行う際、補正
対象図形が補正対象図形がマスクパターンを露光して実
現したい所望の形状(目的図形)と異なる場合の、近接
効果補正を対象とする。
(Second Embodiment) In the present embodiment, when a mask pattern is exposed by lithography, the correction target figure is different from the desired shape (target figure) to be realized by exposing the mask pattern to the correction target figure. In this case, the proximity effect correction is targeted.

【0031】図6は、本実施形態による近接効果補正装
置の概略構成を示すブロック図である。この近接効果補
正装置は、予め規定された規定値に基づき、所望の形状
の図形における縁部間の距離が規定値以下である個所の
近傍に存する補正対象図形の辺を近接効果の補正対象部
位であると判断する補正対象部位決定手段11と、補正
対象部位の近傍所定範囲内における所望の形状の図形上
のみの光強度値を算出する光強度値算出手段2と、算出
された光強度値により補正対象図形の各辺の最適移動量
を決定する最適移動量決定手段13と、最適移動量に基
づいて各辺を移動させ、補正対象図形を補正する辺移動
手段14とを備えて構成されている。
FIG. 6 is a block diagram showing the schematic arrangement of the proximity effect correction apparatus according to this embodiment. According to this proximity effect correction device, a side of a correction target graphic existing near a portion where a distance between edges in a graphic of a desired shape is equal to or smaller than a predetermined value is a correction target portion of the proximity effect based on a predetermined predetermined value. To be corrected, a light intensity value calculation unit 2 to calculate a light intensity value only on a figure having a desired shape within a predetermined range near the correction target region, and the calculated light intensity value. An optimum movement amount determining means 13 for determining the optimum movement amount of each side of the correction target graphic is provided, and a side moving means 14 for moving each side based on the optimum movement amount to correct the correction target graphic. ing.

【0032】本例のように、補正対象図形が目的図形と
異なる場合、第1の実施形態のように光強度等高線の乖
離量を算出する手法では、図7に示すように、乖離量e
と辺の移動量を対応づけることができない。
When the figure to be corrected is different from the target figure as in this example, in the method of calculating the deviation amount of the light intensity contour line as in the first embodiment, as shown in FIG.
And the amount of movement of the side cannot be associated.

【0033】そこで本実施形態では、光強度等高線を用
いずに以下のように近接効果補正を行う。図8は、本実
施形態による近接効果補正方法をステップ順に示すフロ
ーチャートである。例えば、図9に示すように、補正対
象図形21と目的図形22とが異なる場合について近接
効果補正を行う場合、先ず、予め2種類の補正対象図形
(補正後図形23:全ての辺に−Δの補正を行った場
合、補正後図形24:全ての辺に+Δの補正を行った場
合)を試行的に生成する(ステップ11)。
Therefore, in this embodiment, the proximity effect correction is performed as follows without using the light intensity contour lines. FIG. 8 is a flowchart showing the proximity effect correction method according to the present embodiment in order of steps. For example, as shown in FIG. 9, when the proximity effect correction is performed when the correction target graphic 21 and the target graphic 22 are different, first, two types of correction target graphics (corrected graphic 23: −Δ on all sides) in advance. When the correction is performed, the corrected figure 24: the case where the correction of + Δ is performed on all sides) is generated on a trial basis (step 11).

【0034】続いて、各辺の最適移動量を算出する。こ
こでは、辺aを例に採って説明する。辺aの近傍におけ
る目的図形22の辺上に光強度のサンプリング点s
(n)を設定する(ステップ12)。ここで、nは1〜
13とする。サンプリング点の間隔が所望の値以下にな
るようにサンプリングを決定する。例えば、辺の長さが
0.1μmであり、サンプリング間隔を0.03μm以下
としたい場合の当該辺上におけるサンプリング数は最低
4つ必要となる。辺の中心での光強度値を重視したい場
合には当該辺上におけるサンプリング数は5となる。な
お、経験的にはサンプリング間隔は0.1×λ/NA程
度が好適であり、1辺上のサンプリング数は奇数を使用
すると良い結果になることが判っている。ここで、λは
露光波長、NAは開口数である。
Then, the optimum movement amount of each side is calculated. Here, the side a will be described as an example. Sampling points s of the light intensity on the side of the target figure 22 near the side a
(N) is set (step 12). Where n is 1 to
13 Sampling is determined so that the interval between sampling points is a desired value or less. For example, when the length of the side is 0.1 μm and the sampling interval is desired to be 0.03 μm or less, the number of samplings on the side must be at least four. When it is desired to emphasize the light intensity value at the center of the side, the number of samplings on the side is 5. It is empirically known that a sampling interval of about 0.1 × λ / NA is preferable, and that an odd number of samplings on one side is used to obtain a good result. Here, λ is the exposure wavelength and NA is the numerical aperture.

【0035】続いて、辺aの中心からサンプリング点ま
での距離がR以内にあるサンプリング点のみ、即ちS
(4)〜S(10)を残し、3種類のマスク(補正後図
形23、補正対象図形21、補正後図形24)を用いた
時の光強度値I(n,x)を計算して記憶する(ステッ
プ13)。ここで、nはサンプル番号、Xは辺の移動量
である。また、経験的にはRとしては0.1×λ/NA
〜0.3×λ/NAを用いることが好適である。この例
においては、21個の光強度値を計算する。
Subsequently, only the sampling points where the distance from the center of the side a to the sampling points is within R, that is, S
(4) to S (10) are left and the light intensity value I (n, x) when three types of masks (corrected figure 23, correction target figure 21, corrected figure 24) are used is calculated and stored. (Step 13). Here, n is the sample number, and X is the movement amount of the side. Also, empirically, R is 0.1 × λ / NA
It is preferable to use ˜0.3 × λ / NA. In this example, 21 light intensity values are calculated.

【0036】続いて、ステップ13で算出した光強度値
I(n,x)を用いて任意の移動量における光強度値を
以下の式で近似する(ステップ14)。ここで、nは1
〜4とする。 I(n,x)=A(n)・x+B(n)・x2+C(n) この場合、1つのサンプリング点について3つの移動量
(0,−Δ,+Δ)についての光強度値が判っているた
め、上記の2次式で近似することが可能となる。
Then, the light intensity value calculated in step 13
Using I (n, x), the light intensity value at an arbitrary amount of movement is approximated by the following formula (step 14). Where n is 1
~ 4. I (n, x) = A (n) · x + B (n) · x 2 + C (n) In this case, three movement amount for one sampling point (0, -Δ, + Δ) light intensity values for the known Therefore, it is possible to approximate by the above quadratic equation.

【0037】続いて、光強度等高線との乖離量eの替わ
りに、エラー量Eを用いて、Eが最小になる辺の最適移
動量xを求める(ステップ15)。これは、辺を移動し
て解決しようとする場合、最良の辺移動量を与える。 E=Σ10 n=4W(n){I(n,x)−t}2 ここで、tは目的の光強度値であり、乖離量を計算する
光強度等高線の値と同じである。W(n)はサンプリン
グ点の持つ重み係数であり、以下の式で定義される。 W(n)=1−r/R ここで、rは辺の中心からサンプリング点までの距離の
絶対値である、
Then, instead of the deviation amount e from the light intensity contour line, the error amount E is used to obtain the optimum movement amount x of the side where E becomes the minimum (step 15). This gives the best amount of edge movement when trying to solve by moving edges. E = Σ 10 n = 4 W (n) {I (n, x) −t} 2 Here, t is the target light intensity value, which is the same as the value of the light intensity contour line for calculating the deviation amount. W (n) is a weighting factor of the sampling point and is defined by the following equation. W (n) = 1-r / R where r is the absolute value of the distance from the center of the side to the sampling point,

【0038】なお、この重み係数を定義する式は固定さ
れたものではなく補正しようとするパターン形状によっ
ては変更する必要がある。例として以下のようなものも
考えられる。 W(n)=2−r/R W(n)=1−(r/R)2
The equation defining this weighting coefficient is not fixed, but needs to be changed depending on the pattern shape to be corrected. The following may be considered as examples. W (n) = 2-r / R W (n) = 1- (r / R) 2

【0039】辺同士の相互干渉が強い状況では、遠くの
サンプリング点であっても大きい重み値を持つようにす
ると補正後の結果が良く、逆に相互依存が少ない場合に
は、近くのサンプリング点に大きい値を持たせるように
すると良い。なお、重み係数を決める関数の形は、0<
r<Rの間で常に減少させるようにすると補正後の図形
形状に生じる微少な突起が少なくなることが判ってい
る。
In a situation where the mutual interference between the edges is strong, the result after correction is good if a large weight value is set even at a distant sampling point, and conversely, if there is little mutual dependence, a nearby sampling point is obtained. Should have a large value. The form of the function that determines the weighting coefficient is 0 <
It has been found that if the number is constantly reduced while r <R, the number of minute projections generated in the corrected graphic shape is reduced.

【0040】また、重み係数は角部に近いものほど小さ
な重みをもつようにすると、角部での過剰な補正を防ぐ
ことができる、その場合の重み係数は以下のようにな
る。 W(n)=(1−r/R)Wcc=1;d>0.05 Wc=0;d≦0.05 ここで、dは角部Cからサンプリング点までの距離の絶
対値である。
If the weighting factor is set so that the weighting factor is closer to the corner portion, the weighting coefficient can be prevented from being excessively corrected at the corner portion. The weighting factor in that case is as follows. W (n) = (1-r / R) W c W c = 1; d> 0.05 W c = 0; d ≦ 0.05 where d is the absolute distance from the corner C to the sampling point. It is a value.

【0041】また、図10に示すように、凸部41の角
と凹部42の角で異なる重みを持たせる場合には、 W(n)=(1−r/R)・Wc1・Wc2c1=1;d1≧0.05 Wc1=d1/0.05;d1<0.05 Wc2=1;d2≧0.03 Wc1=d1/0.03;d2<0.03 となる。ここで、d1は凸部41の角からサンプリング
点までの距離の絶対値、d2は凹部42の角からサンプ
リング点までの距離の絶対値である。
Further, as shown in FIG. 10, when different weights are given to the corners of the convex portions 41 and the concave portions 42, W (n) = (1-r / R) * Wc1 * Wc2 W c1 = 1; d 1 ≧ 0.05 W c1 = d 1 /0.05; d 1 <0.05 W c2 = 1; d 2 ≧ 0.03 W c1 = d 1 /0.03; d 2 <0.03. Here, d 1 is the absolute value of the distance from the corner of the convex portion 41 to the sampling point, and d 2 is the absolute value of the distance from the corner of the concave portion 42 to the sampling point.

【0042】そして、全ての補正対象辺について最適移
動量を求めた(ステップ16)後、最適移動量に基づい
て補正対象図形21の各辺を移動させ、補正対象図形を
補正する(ステップ17)。
Then, after obtaining the optimum movement amount for all the correction target sides (step 16), each side of the correction target graphic 21 is moved based on the optimum movement amount to correct the correction target graphic (step 17). .

【0043】以上説明したように、本実施形態によれ
ば、補正対象図形が所望の形状(目的図形)と異なる場
合でも、極めて短時間で正確且つ簡易に近接効果を補正
し、半導体素子の更なる微細化の要請に応え、信頼性の
高い半導体装置を製造することが可能となる。
As described above, according to the present embodiment, even when the correction target figure is different from the desired shape (target figure), the proximity effect is corrected accurately and easily in a very short time, and the semiconductor element is changed. In response to the demand for further miniaturization, it becomes possible to manufacture a highly reliable semiconductor device.

【0044】なお、上述した第1,第2の実施形態によ
る近接効果補正装置を構成する各機能及び近接効果補正
方法の各ステップ(ステップ1〜5、ステップ11〜1
7)は、コンピュータのRAMやROMなどに記憶され
たプログラムが動作することによって実現できる。この
プログラム及び当該プログラムを記録したコンピュータ
読み取り可能な記憶媒体は本発明の実施形態に含まれ
る。
The functions of the proximity effect correction apparatus according to the first and second embodiments and the steps of the proximity effect correction method (steps 1 to 5 and steps 11 to 1).
7) can be realized by operating a program stored in the RAM or ROM of the computer. This program and a computer-readable storage medium recording the program are included in the embodiments of the present invention.

【0045】具体的に、前記プログラムは、例えばCD
−ROMのような記録媒体に記録し、或いは各種伝送媒
体を介し、コンピュータに提供される。前記プログラム
を記録する記録媒体としては、CD−ROM以外に、フ
レキシブルディスク、ハードディスク、磁気テープ、光
磁気ディスク、不揮発性メモリカード等を用いることが
できる。他方、上記プログラムの伝送媒体としては、プ
ログラム情報を搬送波として伝搬させて供給するための
コンピュータネットワーク(LAN、インターネットの
等のWAN、無線通信ネットワーク等)システムにおけ
る通信媒体(光ファイバ等の有線回線や無線回線等)を
用いることができる。
Specifically, the program is, for example, a CD
-Recorded in a recording medium such as a ROM, or provided to a computer via various transmission media. As the recording medium for recording the program, a flexible disk, a hard disk, a magnetic tape, a magneto-optical disk, a non-volatile memory card, or the like can be used in addition to the CD-ROM. On the other hand, as a transmission medium of the above-mentioned program, a communication medium (a wired line such as an optical fiber or the like) in a computer network (LAN, WAN such as Internet, wireless communication network, etc.) system for propagating and supplying program information as a carrier wave Wireless line) can be used.

【0046】また、コンピュータが供給されたプログラ
ムを実行することにより上述の実施形態の機能が実現さ
れるだけでなく、そのプログラムがコンピュータにおい
て稼働しているOS(オペレーティングシステム)ある
いは他のアプリケーションソフト等と共同して上述の実
施形態の機能が実現される場合や、供給されたプログラ
ムの処理の全てあるいは一部がコンピュータの機能拡張
ボードや機能拡張ユニットにより行われて上述の実施形
態の機能が実現される場合も、かかるプログラムは本発
明の実施形態に含まれる。
Further, not only the functions of the above-described embodiments are realized by the computer executing the supplied program, but also the OS (operating system) or other application software running the program on the computer. In the case where the functions of the above-described embodiments are realized in cooperation with the above, or all or part of the processing of the supplied program is performed by the function expansion board or function expansion unit of the computer, the functions of the above-described embodiments are realized. However, such a program is also included in the embodiment of the present invention.

【0047】例えば、図11は、一般的なパーソナルユ
ーザ端末装置の内部構成を示す模式図である。この図1
1において、1200はコンピュータPCである。PC
1200は、CPU1201を備え、ROM1202ま
たはハードディスク(HD)1211に記憶された、あ
るいはフレキシブルディスクドライブ(FD)1212
より供給されるデバイス制御ソフトウェアを実行し、シ
ステムバス1204に接続される各デバイスを総括的に
制御する。
For example, FIG. 11 is a schematic diagram showing the internal structure of a general personal user terminal device. This Figure 1
1, reference numeral 1200 is a computer PC. PC
The 1200 includes a CPU 1201 and is stored in a ROM 1202 or a hard disk (HD) 1211 or a flexible disk drive (FD) 1212.
The device control software supplied from the computer is executed to collectively control each device connected to the system bus 1204.

【0048】以下、本発明の諸態様を付記としてまとめ
て記載する。
Various aspects of the present invention will be collectively described below as supplementary notes.

【0049】(付記1)リソグラフィーによりマスクパ
ターンの露光を行う際に、自動的に近接効果を補正する
方法であって、補正対象図形における近接効果に補正に
必要な特定部位近傍のみの光強度値を算出し、前記光強
度値に基づいて前記補正対象図形を補正することを特徴
とする近接効果補正方法。
(Supplementary Note 1) A method for automatically correcting the proximity effect when exposing a mask pattern by lithography, which is a light intensity value only in the vicinity of a specific portion necessary for the correction of the proximity effect in the correction target graphic. Is calculated, and the correction target figure is corrected based on the light intensity value.

【0050】(付記2)リソグラフィーによりマスクパ
ターンの露光を行う際、補正対象図形である前記マスク
パターンが所望の形状である場合に、露光時に生じる近
接効果を自動的に補正する方法であって、予め規定され
た規定値に基づき、所望の形状の図形における縁部間の
距離が規定値以下である個所の近傍に存する補正対象図
形の辺を補正対象部位であると判断する第1の手順と、
前記補正対象部位の近傍のみの光強度値を算出する第2
の手順と、算出された前記光強度値に基づいて前記補正
対象図形を補正する第3の手順とを含むことを特徴とす
る近接効果補正方法。
(Supplementary Note 2) When exposing a mask pattern by lithography, when the mask pattern that is the correction target figure has a desired shape, a proximity effect that occurs during exposure is automatically corrected. A first procedure for determining a side of a correction target graphic existing in the vicinity of a position where a distance between edges in a graphic of a desired shape is equal to or less than a predetermined value as a correction target site based on a predetermined specified value. ,
A second calculating a light intensity value only in the vicinity of the correction target portion;
And a third procedure for correcting the correction target figure based on the calculated light intensity value.

【0051】(付記3)前記第3の手順において、算出
された前記光強度値により求まる光強度等高線の位置か
ら決定される辺の最適移動量に基づいて前記補正対象図
形を補正することを特徴とする付記2に記載の近接効果
補正方法。
(Supplementary Note 3) In the third procedure, the correction target figure is corrected based on the optimum amount of movement of the side determined from the position of the light intensity contour line obtained by the calculated light intensity value. The proximity effect correction method according to Supplementary Note 2.

【0052】(付記4)リソグラフィーによりマスクパ
ターンの露光を行う際、補正対象図形である前記マスク
パターンが所望の形状と異なる場合に、自動的に近接効
果を補正する方法であって、予め規定された規定値に基
づき、所望の形状の図形における縁部間の距離が規定値
以下である個所の近傍に存する補正対象図形の辺を近接
効果の補正対象部位であると判断する第1の手順と、前
記補正対象部位の近傍所定範囲内における所望の形状の
図形上のみの光強度値を算出する第2の手順と、算出さ
れた前記光強度値に基づいて前記補正対象図形を補正す
る第3の手順とを含むことを特徴とする近接効果補正方
法。
(Supplementary Note 4) A method of automatically correcting the proximity effect when the mask pattern, which is the correction target figure, is different from the desired shape when the mask pattern is exposed by lithography, and is defined in advance. Based on the specified value, the first step of determining that the side of the correction target graphic existing in the vicinity of the location where the distance between the edges of the desired shape graphic is equal to or smaller than the specified value is the proximity effect correction target site. A second procedure for calculating a light intensity value only on a graphic having a desired shape within a predetermined range near the correction target region, and a third procedure for correcting the correction target graphic based on the calculated light intensity value And a proximity effect correction method.

【0053】(付記5)前記第3の手順において、算出
された前記光強度値により前記補正対象図形の各辺の最
適移動量を決定し、これに基づいて前記各辺を移動さ
せ、前記補正対象図形を補正することを特徴とする付記
4に記載の近接効果補正方法。
(Supplementary Note 5) In the third procedure, the optimum movement amount of each side of the correction target graphic is determined based on the calculated light intensity value, and based on this, each side is moved to perform the correction. 5. The proximity effect correction method as described in appendix 4, wherein the target figure is corrected.

【0054】(付記6)リソグラフィーによりマスクパ
ターンの露光を行う際に、自動的に近接効果を補正する
装置であって、補正対象図形における近接効果に補正に
必要な特定部位近傍のみの光強度値を算出し、前記光強
度値に基づいて前記補正対象図形を補正する手段を含む
ことを特徴とする近接効果補正装置。
(Supplementary Note 6) A device for automatically correcting a proximity effect when a mask pattern is exposed by lithography, and a light intensity value only in the vicinity of a specific portion necessary for the correction of the proximity effect in a correction target figure. And a means for correcting the figure to be corrected based on the light intensity value.

【0055】(付記7)リソグラフィーによりマスクパ
ターンの露光を行う際、補正対象図形である前記マスク
パターンが所望の形状である場合に、露光時に生じる近
接効果を自動的に補正する装置であって、予め規定され
た規定値に基づき、所望の形状の図形における縁部間の
距離が規定値以下である個所の近傍に存する補正対象図
形の辺を補正対象部位であると判断する補正対象決定手
段と、前記補正対象部位の近傍のみの光強度値を算出す
る光強度値算出手段と、算出された前記光強度値に基づ
いて前記補正対象図形を補正する補正手段とを含むこと
を特徴とする近接効果補正装置。
(Supplementary Note 7) A device for automatically correcting a proximity effect generated at the time of exposure when a mask pattern as a correction target figure has a desired shape when the mask pattern is exposed by lithography. Correction target determining means for determining a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the predetermined value as the correction target site, based on the preset specified value. A proximity including a light intensity value calculating means for calculating a light intensity value only in the vicinity of the correction target region, and a correcting means for correcting the correction target figure based on the calculated light intensity value. Effect correction device.

【0056】(付記8)前記補正手段は、算出された前
記光強度値により求まる光強度等高線の位置から決定さ
れる辺の最適移動量に基づいて前記補正対象図形を補正
するものであることを特徴とする付記7に記載の近接効
果補正装置。
(Supplementary Note 8) The correction means corrects the correction target graphic on the basis of the optimum movement amount of the side determined from the position of the light intensity contour line obtained by the calculated light intensity value. 7. The proximity effect correction device described in Supplementary Note 7.

【0057】(付記9)リソグラフィーによりマスクパ
ターンの露光を行う際、補正対象図形である前記マスク
パターンが所望の形状と異なる場合に、自動的に近接効
果を補正する装置であって、予め規定された規定値に基
づき、所望の形状の図形における縁部間の距離が規定値
以下である個所の近傍に存する補正対象図形の辺を近接
効果の補正対象部位であると判断する補正対象部位決定
手段と、前記補正対象部位の近傍所定範囲内における所
望の形状の図形上のみの光強度値を算出する光強度値算
出手段と、算出された前記光強度値に基づいて前記補正
対象図形を補正する補正手段とを含むことを特徴とする
近接効果補正装置。
(Supplementary Note 9) A device for automatically correcting the proximity effect when the mask pattern, which is the correction target figure, is different from the desired shape when the mask pattern is exposed by lithography, and is defined in advance. Based on the specified value, the correction target part determining means determines that the side of the correction target graphic existing in the vicinity of the position where the distance between the edges of the graphic of the desired shape is the specified value or less is the proximity effect correction target part. And a light intensity value calculating means for calculating a light intensity value only on a graphic having a desired shape within a predetermined range near the correction target region, and the correction target graphic is corrected based on the calculated light intensity value. A proximity effect correction device comprising a correction means.

【0058】(付記10)前記補正手段は、算出された
前記光強度値により前記補正対象図形の各辺の最適移動
量を決定し、これに基づいて前記各辺を移動させ、前記
補正対象図形を補正するものであることを特徴とする付
記9に記載の近接効果補正装置。
(Supplementary Note 10) The correction means determines the optimum amount of movement of each side of the correction target graphic based on the calculated light intensity value, and based on this, moves each side to move the side of the correction target graphic. 10. The proximity effect correction device according to Supplementary Note 9, wherein the proximity effect correction device corrects

【0059】(付記11)リソグラフィーによりマスク
パターンの露光を行う際、補正対象図形である前記マス
クパターンが所望の形状である場合に、露光時に生じる
近接効果を自動的に補正するときに、予め規定された規
定値に基づき、所望の形状の図形における縁部間の距離
が規定値以下である個所の近傍に存する補正対象図形の
辺を補正対象部位であると判断する第1の手順と、前記
補正対象部位の近傍のみの光強度値を算出する第2の手
順と、算出された前記光強度値に基づいて前記補正対象
図形を補正する第3の手順とをコンピュータに実行させ
るためのプログラムを記憶したコンピュータ読み取り可
能な記憶媒体。
(Supplementary note 11) When the mask pattern is exposed by lithography, when the mask pattern as the correction target figure has a desired shape, the proximity effect generated at the time of exposure is automatically corrected in advance. A first step of determining a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the predetermined value as the correction target site based on the defined value; A program for causing a computer to execute a second procedure for calculating a light intensity value only in the vicinity of a correction target portion and a third procedure for correcting the correction target graphic based on the calculated light intensity value. A computer-readable storage medium stored.

【0060】(付記12)前記第3の手順において、算
出された前記光強度値により求まる光強度等高線の位置
から決定される辺の最適移動量に基づいて前記補正対象
図形を補正することを特徴とする付記11に記載のコン
ピュータ読み取り可能な記憶媒体。
(Supplementary Note 12) In the third procedure, the correction target figure is corrected on the basis of the optimum movement amount of the side determined from the position of the light intensity contour line obtained by the calculated light intensity value. The computer-readable storage medium according to appendix 11.

【0061】(付記13)リソグラフィーによりマスク
パターンの露光を行う際、補正対象図形である前記マス
クパターンが所望の形状と異なる場合に、自動的に近接
効果を補正するときに、予め規定された規定値に基づ
き、所望の形状の図形における縁部間の距離が規定値以
下である個所の近傍に存する補正対象図形の辺を近接効
果の補正対象部位であると判断する第1の手順と、前記
補正対象部位の近傍所定範囲内における所望の形状の図
形上のみの光強度値を算出する第2の手順と、算出され
た前記光強度値に基づいて前記補正対象図形を補正する
第3の手順とをコンピュータに実行させるためのプログ
ラムを記憶したコンピュータ読み取り可能な記憶媒体。
(Supplementary Note 13) When the mask pattern is exposed by lithography and the mask pattern as the correction target figure is different from the desired shape, when the proximity effect is automatically corrected, a predetermined rule is specified. A first step of determining, based on the value, a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the specified value as the correction target site of the proximity effect; A second procedure for calculating a light intensity value only on a figure having a desired shape within a predetermined range near the correction target portion, and a third procedure for correcting the correction target figure based on the calculated light intensity value. A computer-readable storage medium that stores a program for causing a computer to execute.

【0062】(付記14)前記第3の手順において、算
出された前記光強度値により前記補正対象図形の各辺の
最適移動量を決定し、これに基づいて前記各辺を移動さ
せ、前記補正対象図形を補正することを特徴とする付記
13に記載のコンピュータ読み取り可能な記憶媒体。
(Supplementary Note 14) In the third procedure, the optimum movement amount of each side of the correction target graphic is determined based on the calculated light intensity value, and based on this, each side is moved to perform the correction. 14. The computer-readable storage medium according to appendix 13, wherein the target graphic is corrected.

【0063】(付記15)リソグラフィーによりマスク
パターンの露光を行う際、補正対象図形である前記マス
クパターンが所望の形状である場合に、露光時に生じる
近接効果を自動的に補正するときに、予め規定された規
定値に基づき、所望の形状の図形における縁部間の距離
が規定値以下である個所の近傍に存する補正対象図形の
辺を補正対象部位であると判断する第1の手順と、前記
補正対象部位の近傍のみの光強度値を算出する第2の手
順と、算出された前記光強度値に基づいて前記補正対象
図形を補正する第3の手順とをコンピュータに実行させ
るためのプログラム。
(Supplementary Note 15) When exposing a mask pattern by lithography, when the mask pattern, which is the correction target figure, has a desired shape, the proximity effect generated at the time of exposure is automatically corrected in advance. A first step of determining a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the predetermined value as the correction target site based on the defined value; A program for causing a computer to execute a second procedure for calculating a light intensity value only in the vicinity of a correction target region and a third procedure for correcting the correction target graphic based on the calculated light intensity value.

【0064】(付記16)前記第3の手順において、算
出された前記光強度値により求まる光強度等高線の位置
から決定される辺の最適移動量に基づいて前記補正対象
図形を補正することを特徴とする付記15に記載のプロ
グラム。
(Supplementary Note 16) In the third procedure, the correction target figure is corrected based on the optimum movement amount of the side determined from the position of the light intensity contour line obtained from the calculated light intensity value. The program according to appendix 15.

【0065】(付記17)リソグラフィーによりマスク
パターンの露光を行う際、補正対象図形である前記マス
クパターンが所望の形状と異なる場合に、自動的に近接
効果を補正するときに、予め規定された規定値に基づ
き、所望の形状の図形における縁部間の距離が規定値以
下である個所の近傍に存する補正対象図形の辺を近接効
果の補正対象部位であると判断する第1の手順と、前記
補正対象部位の近傍所定範囲内における所望の形状の図
形上のみの光強度値を算出する第2の手順と、算出され
た前記光強度値に基づいて前記補正対象図形を補正する
第3の手順とをコンピュータに実行させるためのプログ
ラム。
(Supplementary Note 17) When exposing the mask pattern by lithography, when the mask pattern as the correction target figure is different from the desired shape, when the proximity effect is automatically corrected, a predetermined rule is specified. A first step of determining, based on the value, a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the specified value as the correction target site of the proximity effect; A second procedure for calculating a light intensity value only on a figure having a desired shape within a predetermined range near the correction target portion, and a third procedure for correcting the correction target figure based on the calculated light intensity value. A program for causing a computer to execute and.

【0066】(付記18)前記第3の手順において、算
出された前記光強度値により前記補正対象図形の各辺の
最適移動量を決定し、これに基づいて前記各辺を移動さ
せ、前記補正対象図形を補正することを特徴とする付記
17に記載のプログラム。
(Supplementary Note 18) In the third procedure, the optimum movement amount of each side of the correction target graphic is determined based on the calculated light intensity value, and based on this, each side is moved to perform the correction. 18. The program according to appendix 17, which corrects the target graphic.

【0067】[0067]

【発明の効果】本発明によれば、補正対象図形が所望の
形状(目的図形)と一致する場合のみならず、補正対象
図形が所望の形状と異なる場合にも、極めて短時間で正
確且つ簡易に近接効果を補正し、半導体素子の更なる微
細化の要請に応え、信頼性の高い半導体装置を製造する
ことが可能となる。
According to the present invention, not only when the figure to be corrected matches the desired shape (target figure) but also when the figure to be corrected is different from the desired shape, it is possible to accurately and easily perform in a very short time. It is possible to correct the proximity effect, meet the demand for further miniaturization of the semiconductor element, and manufacture a highly reliable semiconductor device.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の基本骨子を説明するための模式図であ
る。
FIG. 1 is a schematic diagram for explaining a basic skeleton of the present invention.

【図2】第1の実施形態による近接効果補正装置の概略
構成を示すブロック図である。
FIG. 2 is a block diagram showing a schematic configuration of a proximity effect correction device according to the first embodiment.

【図3】第1の実施形態による近接効果補正方法をステ
ップ順に示すフローチャートである。
FIG. 3 is a flowchart showing a proximity effect correction method according to the first embodiment in order of steps.

【図4】第1の実施形態による近接効果補正方法におけ
る一例を示す模式図である。
FIG. 4 is a schematic diagram showing an example of a proximity effect correction method according to the first embodiment.

【図5】第1の実施形態による近接効果補正方法におけ
る一例を示す模式図である。
FIG. 5 is a schematic diagram showing an example of a proximity effect correction method according to the first embodiment.

【図6】第2の実施形態による近接効果補正装置の概略
構成を示すブロック図である。
FIG. 6 is a block diagram showing a schematic configuration of a proximity effect correction device according to a second embodiment.

【図7】補正対象図形が目的図形と異なる場合の不都合
を説明するための模式図である。
FIG. 7 is a schematic diagram for explaining an inconvenience when the correction target graphic is different from the target graphic.

【図8】第2の実施形態による近接効果補正方法をステ
ップ順に示すフローチャートである。
FIG. 8 is a flowchart showing a proximity effect correction method according to a second embodiment in order of steps.

【図9】第2の実施形態による近接効果補正方法におけ
る一例を示す模式図である。
FIG. 9 is a schematic diagram showing an example of a proximity effect correction method according to a second embodiment.

【図10】第2の実施形態において、凹凸部の角で異な
る重みを持たせて近接効果補正を行う場合における凹凸
部近傍の様子を示す模式図である。
FIG. 10 is a schematic diagram showing a state in the vicinity of an uneven portion when the proximity effect correction is performed by giving different weights to the corners of the uneven portion in the second embodiment.

【図11】一般的なパーソナルユーザ端末装置の内部構
成を示す模式図である。
FIG. 11 is a schematic diagram showing an internal configuration of a general personal user terminal device.

【符号の説明】[Explanation of symbols]

1,11 補正対象部位決定手段 2,12 光強度値算出手段 3,13 最適移動量決定手段 4,14 辺移動手段 21 補正対象図形 22 目的図形 23,24 補正後図形 1,11 correction target part determining means 2,12 Light intensity value calculation means 3,13 Optimal movement amount determining means 4,14 Edge moving means 21 Correction target figure 22 target figure 23,24 Corrected figure

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 リソグラフィーによりマスクパターンの
露光を行う際に、自動的に近接効果を補正する方法であ
って、 補正対象図形における近接効果に補正に必要な特定部位
近傍のみの光強度値を算出し、前記光強度値に基づいて
前記補正対象図形を補正することを特徴とする近接効果
補正方法。
1. A method of automatically correcting a proximity effect when exposing a mask pattern by lithography, wherein a light intensity value only in the vicinity of a specific portion necessary for the correction of a proximity effect in a correction target figure is calculated. Then, the proximity effect correction method is characterized in that the correction target figure is corrected based on the light intensity value.
【請求項2】 リソグラフィーによりマスクパターンの
露光を行う際、補正対象図形である前記マスクパターン
が所望の形状である場合に、露光時に生じる近接効果を
自動的に補正する方法であって、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を補正対象部位であると判断する第
1の手順と、 前記補正対象部位の近傍のみの光強度値を算出する第2
の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とを含むことを特徴とする近接効果
補正方法。
2. A method of automatically correcting a proximity effect that occurs at the time of exposure when a mask pattern, which is a correction target figure, has a desired shape when the mask pattern is exposed by lithography. A first step of determining a side of the correction target graphic existing in the vicinity of a portion where the distance between the edges of the graphic of the desired shape is equal to or less than the predetermined value as the correction target site based on the defined value specified above; Second calculation of the light intensity value only in the vicinity of the correction target region
And a third procedure for correcting the correction target figure based on the calculated light intensity value.
【請求項3】 前記第3の手順において、算出された前
記光強度値により求まる光強度等高線の位置から決定さ
れる辺の最適移動量に基づいて前記補正対象図形を補正
することを特徴とする請求項2に記載の近接効果補正方
法。
3. In the third procedure, the correction target graphic is corrected based on an optimum movement amount of a side determined from a position of a light intensity contour line obtained by the calculated light intensity value. The proximity effect correction method according to claim 2.
【請求項4】 リソグラフィーによりマスクパターンの
露光を行う際、補正対象図形である前記マスクパターン
が所望の形状と異なる場合に、自動的に近接効果を補正
する方法であって、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を近接効果の補正対象部位であると
判断する第1の手順と、 前記補正対象部位の近傍所定範囲内における所望の形状
の図形上のみの光強度値を算出する第2の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とを含むことを特徴とする近接効果
補正方法。
4. A method of automatically correcting a proximity effect when a mask pattern, which is a correction target figure, is different from a desired shape when the mask pattern is exposed by lithography. A first step of determining, based on the value, a side of the correction target graphic existing in the vicinity of a position where the distance between the edges of the graphic of the desired shape is equal to or less than a specified value as a correction target site of the proximity effect; A second procedure for calculating a light intensity value only on a figure having a desired shape within a predetermined range near the correction target portion, and a third procedure for correcting the correction target figure based on the calculated light intensity value. A proximity effect correction method comprising:
【請求項5】 前記第3の手順において、算出された前
記光強度値により前記補正対象図形の各辺の最適移動量
を決定し、これに基づいて前記各辺を移動させ、前記補
正対象図形を補正することを特徴とする請求項4に記載
の近接効果補正方法。
5. In the third procedure, the optimum movement amount of each side of the correction target graphic is determined based on the calculated light intensity value, and based on this, the respective side is moved, and the correction target graphic is moved. The proximity effect correction method according to claim 4, further comprising:
【請求項6】 リソグラフィーによりマスクパターンの
露光を行う際に、自動的に近接効果を補正する装置であ
って、 補正対象図形における近接効果に補正に必要な特定部位
近傍のみの光強度値を算出し、前記光強度値に基づいて
前記補正対象図形を補正する手段を含むことを特徴とす
る近接効果補正装置。
6. An apparatus for automatically correcting a proximity effect when exposing a mask pattern by lithography, wherein a light intensity value only in the vicinity of a specific portion necessary for the proximity effect correction in a correction target figure is calculated. Then, the proximity effect correction device is characterized by including a means for correcting the correction target figure based on the light intensity value.
【請求項7】 リソグラフィーによりマスクパターンの
露光を行う際、補正対象図形である前記マスクパターン
が所望の形状である場合に、露光時に生じる近接効果を
自動的に補正するときに、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を補正対象部位であると判断する第
1の手順と、 前記補正対象部位の近傍のみの光強度値を算出する第2
の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とをコンピュータに実行させるため
のプログラムを記憶したコンピュータ読み取り可能な記
憶媒体。
7. When performing exposure of a mask pattern by lithography, when the mask pattern, which is a correction target figure, has a desired shape, a proximity effect generated at the time of exposure is automatically corrected. A first step of determining, based on a specified value, a side of a correction target graphic existing in the vicinity of a portion where a distance between edges in a desired shape graphic is equal to or less than the specified value as a correction target site; The second to calculate the light intensity value only near the part
And a computer-readable storage medium that stores a program for causing a computer to execute the procedure of 1. and a third procedure of correcting the correction target figure based on the calculated light intensity value.
【請求項8】 リソグラフィーによりマスクパターンの
露光を行う際、補正対象図形である前記マスクパターン
が所望の形状と異なる場合に、自動的に近接効果を補正
するときに、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を近接効果の補正対象部位であると
判断する第1の手順と、 前記補正対象部位の近傍所定範囲内における所望の形状
の図形上のみの光強度値を算出する第2の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とをコンピュータに実行させるため
のプログラムを記憶したコンピュータ読み取り可能な記
憶媒体。
8. When performing exposure of a mask pattern by lithography, when the mask pattern as a correction target figure is different from a desired shape, when a proximity effect is automatically corrected, a predetermined value is set. On the basis of the above, based on the first step, it is determined that the side of the correction target figure existing in the vicinity of the location where the distance between the edges of the figure of the desired shape is the specified value or less is the correction target site of the proximity effect; A second procedure for calculating a light intensity value only on a figure of a desired shape within a predetermined range near the site and a third procedure for correcting the correction target figure based on the calculated light intensity value are included. A computer-readable storage medium that stores a program to be executed by a computer.
【請求項9】 リソグラフィーによりマスクパターンの
露光を行う際、補正対象図形である前記マスクパターン
が所望の形状である場合に、露光時に生じる近接効果を
自動的に補正するときに、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を補正対象部位であると判断する第
1の手順と、 前記補正対象部位の近傍のみの光強度値を算出する第2
の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とをコンピュータに実行させるため
のプログラム。
9. When performing exposure of a mask pattern by lithography, when the mask pattern, which is a correction target figure, has a desired shape, a proximity effect that occurs at the time of exposure is automatically corrected. A first step of determining, based on a specified value, a side of a correction target graphic existing in the vicinity of a portion where a distance between edges in a desired shape graphic is equal to or less than the specified value as a correction target site; The second to calculate the light intensity value only near the part
A program for causing a computer to execute the procedure (1) and a third procedure for correcting the correction target graphic based on the calculated light intensity value.
【請求項10】 リソグラフィーによりマスクパターン
の露光を行う際、補正対象図形である前記マスクパター
ンが所望の形状と異なる場合に、自動的に近接効果を補
正するときに、 予め規定された規定値に基づき、所望の形状の図形にお
ける縁部間の距離が規定値以下である個所の近傍に存す
る補正対象図形の辺を近接効果の補正対象部位であると
判断する第1の手順と、 前記補正対象部位の近傍所定範囲内における所望の形状
の図形上のみの光強度値を算出する第2の手順と、 算出された前記光強度値に基づいて前記補正対象図形を
補正する第3の手順とをコンピュータに実行させるため
のプログラム。
10. When a mask pattern is exposed by lithography and the mask pattern, which is a correction target figure, is different from a desired shape, when a proximity effect is automatically corrected, a predetermined value is set. On the basis of the above, based on the first step, it is determined that the side of the correction target figure existing in the vicinity of the location where the distance between the edges of the figure of the desired shape is the specified value or less is the correction target site of the proximity effect; A second procedure for calculating a light intensity value only on a figure of a desired shape within a predetermined range near the site and a third procedure for correcting the correction target figure based on the calculated light intensity value are included. A program that causes a computer to execute.
JP2002060775A 2002-03-06 2002-03-06 Proximity effect correction method and program Expired - Fee Related JP4152647B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002060775A JP4152647B2 (en) 2002-03-06 2002-03-06 Proximity effect correction method and program
US10/379,535 US7047516B2 (en) 2002-03-06 2003-03-06 Proximity effect correction apparatus, proximity effect correction method, storage medium, and computer program product

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002060775A JP4152647B2 (en) 2002-03-06 2002-03-06 Proximity effect correction method and program

Publications (2)

Publication Number Publication Date
JP2003257842A true JP2003257842A (en) 2003-09-12
JP4152647B2 JP4152647B2 (en) 2008-09-17

Family

ID=27784817

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002060775A Expired - Fee Related JP4152647B2 (en) 2002-03-06 2002-03-06 Proximity effect correction method and program

Country Status (2)

Country Link
US (1) US7047516B2 (en)
JP (1) JP4152647B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006126745A (en) * 2004-11-01 2006-05-18 Toshiba Corp Method for designing semiconductor integrated circuit, design system for semiconductor integrated circuit, and method for manufacturing semiconductor integrated circuit
JP2012088745A (en) * 2005-09-27 2012-05-10 Mentor Graphics Corp Dense opc
JP2012150410A (en) * 2011-01-21 2012-08-09 Fujitsu Semiconductor Ltd Method for correcting mask pattern, mask pattern correction device, circuit design device, and program for correcting mask pattern

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7412676B2 (en) * 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6667385B2 (en) * 2002-01-28 2003-12-23 Energenetics International, Inc. Method of producing aminium lactate salt as a feedstock for dilactic acid or dimer production
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
DE10331686A1 (en) * 2003-07-14 2005-02-17 Leica Microsystems Semiconductor Gmbh Method for evaluating captured images of wafers
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7856606B2 (en) * 2004-03-31 2010-12-21 Asml Masktools B.V. Apparatus, method and program product for suppressing waviness of features to be printed using photolithographic systems
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7240305B2 (en) * 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7493587B2 (en) * 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US7712068B2 (en) * 2006-02-17 2010-05-04 Zhuoxiang Ren Computation of electrical properties of an IC layout
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US9852486B2 (en) 2007-02-02 2017-12-26 Aztech Associates Inc. Utility monitoring device, system and method
US7739650B2 (en) * 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US7799487B2 (en) * 2007-02-09 2010-09-21 Ayman Yehia Hamouda Dual metric OPC
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08297692A (en) * 1994-09-16 1996-11-12 Mitsubishi Electric Corp Device and method for correcting optical proximity, and pattern forming method
JP3331822B2 (en) * 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
US5807649A (en) * 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
JP3406506B2 (en) * 1997-03-24 2003-05-12 シャープ株式会社 Photomask pattern correction method and photomask pattern correction apparatus
JP3396629B2 (en) * 1998-07-29 2003-04-14 松下電器産業株式会社 Mask pattern correction method
JP2000162758A (en) * 1998-11-30 2000-06-16 Matsushita Electric Ind Co Ltd Method for correcting optical proximity effect
JP3805936B2 (en) * 1999-12-28 2006-08-09 株式会社東芝 Mask pattern correction method and mask pattern creation system
JP3827544B2 (en) * 2001-08-31 2006-09-27 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US6813757B2 (en) * 2001-10-25 2004-11-02 Texas Instruments Incorporated Method for evaluating a mask pattern on a substrate
JP3592666B2 (en) * 2001-12-04 2004-11-24 株式会社東芝 Exposure mask pattern correction method, program, mask pattern formation method, and semiconductor device manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006126745A (en) * 2004-11-01 2006-05-18 Toshiba Corp Method for designing semiconductor integrated circuit, design system for semiconductor integrated circuit, and method for manufacturing semiconductor integrated circuit
US7958463B2 (en) 2004-11-01 2011-06-07 Kabushiki Kaisha Toshiba Computer automated method for manufacturing an integrated circuit pattern layout
JP2012088745A (en) * 2005-09-27 2012-05-10 Mentor Graphics Corp Dense opc
JP2012150410A (en) * 2011-01-21 2012-08-09 Fujitsu Semiconductor Ltd Method for correcting mask pattern, mask pattern correction device, circuit design device, and program for correcting mask pattern

Also Published As

Publication number Publication date
US7047516B2 (en) 2006-05-16
JP4152647B2 (en) 2008-09-17
US20030170551A1 (en) 2003-09-11

Similar Documents

Publication Publication Date Title
JP2003257842A (en) Device and method for correcting proximity effect, storage medium, and program
US10354044B2 (en) Fragmentation point and simulation site adjustment for resolution enhancement techniques
JP3331822B2 (en) Mask pattern correction method, mask using the same, exposure method, and semiconductor device
TWI360759B (en) Optical proximity correction using progressively s
US9836556B2 (en) Optical proximity correction for directed-self-assembly guiding patterns
JP3805936B2 (en) Mask pattern correction method and mask pattern creation system
US8028254B2 (en) Determining manufacturability of lithographic mask using continuous derivatives characterizing the manufacturability on a continuous scale
WO2007040544A1 (en) Dense opc
US8073288B2 (en) Rendering a mask using coarse mask representation
JP4202214B2 (en) Simulation method and apparatus
US10732499B2 (en) Method and system for cross-tile OPC consistency
JP2000098584A (en) Correcting method of mask pattern and recording medium recording mask pattern correction program
JP4461806B2 (en) Pattern drawing apparatus, pattern drawing method and program thereof, photomask and manufacturing method thereof, semiconductor integrated circuit and manufacturing method thereof
JP3960601B2 (en) Edge position shift amount calculation method, verification method, verification program, and verification system.
CN114326288A (en) Method for enlarging photoetching process window, electronic equipment and storage medium
US8539391B2 (en) Edge fragment correlation determination for optical proximity correction
CN104765246A (en) Integration method of target graph optimization and optical proximity correction
US8539390B2 (en) Determining manufacturability of lithographic mask based on manufacturing shape penalty of aspect ratio of edge that takes into account pair of connected edges of the edge
JPH11126824A (en) Pattern designing method
JP2005049403A (en) Exposure mask, optical proximity correction apparatus, optical proximity correction method, method for manufacturing semiconductor device, and optical proximity correction program
JP3583622B2 (en) Resist pattern prediction method
WO2022075989A1 (en) Optical proximity correction for free form shapes
JP2000100692A (en) Method for correcting design pattern
JPH11327120A (en) Equipment and method for performing simulation of optical power and storage media storing simulation program of optical power
US20060225025A1 (en) Dual phase shift photolithography masks for logic patterning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060502

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070213

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080304

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080603

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080702

R150 Certificate of patent or registration of utility model

Ref document number: 4152647

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130711

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees