JP2003257823A - Optical device and aligner - Google Patents

Optical device and aligner

Info

Publication number
JP2003257823A
JP2003257823A JP2002054465A JP2002054465A JP2003257823A JP 2003257823 A JP2003257823 A JP 2003257823A JP 2002054465 A JP2002054465 A JP 2002054465A JP 2002054465 A JP2002054465 A JP 2002054465A JP 2003257823 A JP2003257823 A JP 2003257823A
Authority
JP
Japan
Prior art keywords
gas
space
optical path
optical
reticle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002054465A
Other languages
Japanese (ja)
Inventor
Hitoshi Nishikawa
仁 西川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2002054465A priority Critical patent/JP2003257823A/en
Publication of JP2003257823A publication Critical patent/JP2003257823A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an optical device which is capable of eliminating water contained in a space on an optical path and surely reducing light absorbing materials including water in the space. <P>SOLUTION: The optical device PL is equipped with empty spaces 250 to 254 which are formed on the optical path of an energy beam IL and supplied with a prescribed gas. Furthermore, the optical device PL is equipped with a temperature control device 204 that controls temperature of the prescribed gas so as to increase the amount of saturated moisture inside the empty spaces 250 to 254. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、エネルギービーム
の光路上に形成されかつ所定のガスが供給される空間を
有する光学装置に係り、特に、半導体素子、液晶表示素
子、撮像素子(CCD等)、薄膜磁気ヘッド等の電子デ
バイスを製造するための露光装置に用いられる光学装置
に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an optical device formed on the optical path of an energy beam and having a space to which a predetermined gas is supplied, and more particularly to a semiconductor device, a liquid crystal display device, an image pickup device (CCD, etc.). , An optical device used in an exposure apparatus for manufacturing an electronic device such as a thin film magnetic head.

【0002】[0002]

【従来の技術】半導体素子(集積回路等)や液晶表示パ
ネル等のデバイス(電子デバイス)をフォトリソグラフ
ィ工程において製造する際に、光源からの露光用照明光
(露光ビーム)によってマスク又はレチクル(以下、レ
チクルと総称する)を照明し、レチクルのパターン(回
路パターン)を投影光学系を介して基板(感光剤が塗布
されたウエハ、ガラスプレートなど)に転写する露光装
置が用いられている。電子デバイスの回路は、上記投影
露光装置で上記基板上に回路パターンを露光することに
より転写され、後処理によって形成される。こうして形
成される回路配線を例えば20層程度にわたって繰り返
し成層したものが集積回路である。
2. Description of the Related Art When manufacturing a device (electronic device) such as a semiconductor element (integrated circuit) or a liquid crystal display panel in a photolithography process, a mask or a reticle (hereinafter referred to as "mask" or "reticle") is exposed by an illumination light for exposure (exposure beam) , Reticle), and transfers a reticle pattern (circuit pattern) onto a substrate (a wafer coated with a photosensitive agent, a glass plate, etc.) via a projection optical system. The circuit of the electronic device is transferred by exposing the circuit pattern on the substrate with the projection exposure apparatus, and is formed by post-processing. An integrated circuit is formed by repeatedly layering the circuit wiring thus formed, for example, for about 20 layers.

【0003】近年、集積回路の高密度集積化、すなわち
回路パターンの微細化が進められており、これに伴い、
露光装置における露光ビームが短波長化される傾向にあ
る。すなわち、露光ビームとして、これまで主流だった
水銀ランプの輝線にかわって、KrFエキシマレーザ
(波長:248nm)が用いられるようになり、さらに
短波長のArFエキシマレーザ(193nm)の実用化
も最終段階に入りつつある。また、さらなる高密度集積
化をめざして、F2レーザ(157nm)やAr2レーザ
(126nm)の研究も進められている。
In recent years, high-density integration of integrated circuits, that is, miniaturization of circuit patterns has been promoted.
The exposure beam in the exposure apparatus tends to have a shorter wavelength. That is, as the exposure beam, the KrF excimer laser (wavelength: 248 nm) will be used instead of the emission line of the mercury lamp that has been the mainstream until now, and the practical application of the shorter wavelength ArF excimer laser (193 nm) will be the final stage. I am entering. In addition, research on F 2 lasers (157 nm) and Ar 2 lasers (126 nm) is underway with the aim of achieving higher density integration.

【0004】波長120nm〜200nm程度の光(エ
ネルギービーム)は真空紫外域に属し、これらの光(以
下、真空紫外光と称する)は、空気を透過しない。これ
は、空気中に含まれる酸素、水、炭酸ガス、有機物、ハ
ロゲン化物等(以下、「吸光物質」と呼ぶ)の分子によ
って光のエネルギーが吸収されるからである。
Light (energy beam) having a wavelength of about 120 nm to 200 nm belongs to the vacuum ultraviolet region, and these lights (hereinafter referred to as vacuum ultraviolet light) do not pass through air. This is because light energy is absorbed by molecules of oxygen, water, carbon dioxide gas, organic substances, halides and the like (hereinafter, referred to as “light absorbing substance”) contained in the air.

【0005】[0005]

【発明が解決しようとする課題】そのため、真空紫外光
を用いた露光装置を構成する光学装置においては、露光
ビームを十分な照度でかつ十分な照度均一性で基板に到
達させるために、露光ビームの光路上の空間から酸素な
どの吸光物質を含む気体をできるだけ排除する必要があ
る。吸光物質を排除する方法としては、光路上の空間を
真空紫外光のエネルギー吸収の少ないガス(低吸収性ガ
ス)で満たす方法が知られている。
Therefore, in an optical device that constitutes an exposure apparatus using vacuum ultraviolet light, in order to make the exposure beam reach the substrate with sufficient illuminance and sufficient illuminance uniformity, the exposure beam It is necessary to eliminate as much as possible a gas containing a light-absorbing substance such as oxygen from the space on the optical path. As a method of eliminating the light absorbing substance, there is known a method of filling the space on the optical path with a gas having a low energy absorption of vacuum ultraviolet light (low absorptive gas).

【0006】しかしながら、上記低吸収性ガスで光路上
の空間を満たしても、その空間内の部材表面に付着した
水が残留しやすく、その水を空間内から排除するのに、
多大な時間を要する。
However, even if the space on the optical path is filled with the low absorption gas, water adhering to the surface of the member in the space is likely to remain, and the water is removed from the space.
It takes a lot of time.

【0007】本発明は、上述する事情に鑑みてなされた
ものであり、光路上の空間に含まれる水を短時間で排除
し、その空間内の吸光物質を水を含めて確実に低減させ
ることができる光学装置を提供することを目的とする。
また、本発明は、スループット及び露光精度を向上させ
ることができる露光装置を提供することを目的とする。
The present invention has been made in view of the above-mentioned circumstances, and it is possible to eliminate water contained in a space on an optical path in a short time and surely reduce a light-absorbing substance in the space including water. It is an object of the present invention to provide an optical device capable of
Another object of the present invention is to provide an exposure apparatus capable of improving throughput and exposure accuracy.

【0008】[0008]

【課題を解決するための手段】本発明の光学装置(P
L)は、エネルギービーム(IL)の光路上に形成され
かつ所定のガスが供給される空間(250〜254)を
有する光学装置(PL)において、前記空間(250〜
254)内の飽和水分量が増大するように、前記所定の
ガスの温度を制御する温度制御装置(204)を備える
ことを特徴とする。この光学装置では、光路上の空間内
の飽和水分量が増大するように、温度制御装置によって
その空間に供給する所定のガスの温度を制御することか
ら、その空間内の水が蒸発しやすくなる。そのため、蒸
発した水を含む気体を排出することで、その空間内にお
ける水を短時間で排除することができる。
The optical device (P
In the optical device (PL), L is a space (250 to 254) formed in the optical path of the energy beam (IL) and having a space (250 to 254) to which a predetermined gas is supplied.
254) is provided with a temperature control device (204) for controlling the temperature of the predetermined gas so as to increase the saturated water content. In this optical device, since the temperature of the predetermined gas supplied to the space is controlled by the temperature control device so that the amount of saturated water in the space on the optical path increases, the water in the space easily evaporates. . Therefore, by discharging the gas containing the evaporated water, the water in the space can be removed in a short time.

【0009】この場合において、前記温度制御装置(2
04)は、前記所定のガスの温度を室温よりも高い温度
に制御するのが好ましい。これにより、光路上の空間
(250〜254)内における水の蒸発を促進させるこ
とができる。
In this case, the temperature control device (2
In 04), it is preferable to control the temperature of the predetermined gas to a temperature higher than room temperature. Thereby, evaporation of water in the space (250 to 254) on the optical path can be promoted.

【0010】本発明の露光装置(10)は、パターンが
形成されたマスク(R)をエネルギービーム(IL)に
より照明する照明光学系(21)と、前記マスク(R)
のパターンを基板(W)上に転写する投影光学系(P
L)との少なくとも一方が、上記光学装置を備えること
を特徴とする。この露光装置では、光路上の空間から短
時間で水が排除され、その空間内の吸光物質が水を含め
て確実に低減することから、露光精度及びスループット
の向上を図ることができる。
The exposure apparatus (10) of the present invention comprises an illumination optical system (21) for illuminating a mask (R) having a pattern formed thereon with an energy beam (IL), and the mask (R).
Of the projection optical system (P
At least one of L) and the optical device is provided. In this exposure apparatus, water is removed from the space on the optical path in a short time, and the light-absorbing substance in the space including water is surely reduced, so that exposure accuracy and throughput can be improved.

【0011】[0011]

【発明の実施の形態】以下、本発明の実施の形態につい
て説明する。図1は、本発明に係る光学装置を投影光学
系として備える一実施形態に係る半導体デバイス製造用
の縮小投影型露光装置10の全体構成を示している。ま
た、図1ではXYZ直交座標系を採用している。XYZ
直交座標系は、基板(感光性基板)としてのウエハWを
保持するウエハステージWSに対して平行となるように
X軸及びY軸が設定され、Z軸がウエハステージWSに
対して直交する方向に設定される。実際には、図中のX
YZ直交座標系は、XY平面が水平面に平行な面に設定
され、Z軸が鉛直方向に設定される。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below. FIG. 1 shows an overall configuration of a reduction projection exposure apparatus 10 for manufacturing a semiconductor device according to an embodiment, which includes the optical apparatus according to the present invention as a projection optical system. Further, in FIG. 1, an XYZ rectangular coordinate system is adopted. XYZ
In the orthogonal coordinate system, the X axis and the Y axis are set so as to be parallel to the wafer stage WS that holds the wafer W as a substrate (photosensitive substrate), and the Z axis is a direction orthogonal to the wafer stage WS. Is set to. Actually, X in the figure
In the YZ orthogonal coordinate system, the XY plane is set to a plane parallel to the horizontal plane, and the Z axis is set to the vertical direction.

【0012】本実施形態に係る露光装置は、露光光源と
してF2レーザ光源を使用している。また、マスク(投
影原版)としてのレチクルR上の所定形状の照明領域に
対して相対的に所定の方向へレチクルR及びウエハWを
同期して走査することにより、ウエハW上の1つのショ
ット領域に、レチクルRのパターン像を逐次的に転写す
るステップ・アンド・スキャン方式を採用している。こ
のようなステップ・アンド・スキャン型の露光装置で
は、投影光学系の露光フィールドよりも広い基板(ウエ
ハW)上の領域にレチクルRのパターンを露光できる。
The exposure apparatus according to this embodiment uses an F 2 laser light source as an exposure light source. Further, one shot area on the wafer W is obtained by synchronously scanning the reticle R and the wafer W in a predetermined direction relative to an illumination area having a predetermined shape on the reticle R as a mask (projection original plate). In addition, a step-and-scan method for sequentially transferring the pattern image of the reticle R is adopted. In such a step-and-scan type exposure apparatus, the pattern of the reticle R can be exposed in an area on the substrate (wafer W) wider than the exposure field of the projection optical system.

【0013】図1において、露光装置10は、レーザ光
源20、このレーザ光源20からのエネルギービームと
しての露光ビームILによりレチクルRを照明する照明
光学系21、レチクルRから射出される露光ビームIL
をウエハW上に投射する投影光学系PL、及び装置全体
を統括的に制御する不図示の主制御装置等を備えてい
る。さらに、露光装置10は全体として大きいチャンバ
(不図示)の内部に収納されている。
In FIG. 1, an exposure apparatus 10 includes a laser light source 20, an illumination optical system 21 for illuminating a reticle R with an exposure beam IL as an energy beam from the laser light source 20, and an exposure beam IL emitted from the reticle R.
A projection optical system PL for projecting the image onto the wafer W, a main controller (not shown) for controlling the entire apparatus, and the like are provided. Further, the exposure apparatus 10 is housed inside a large chamber (not shown) as a whole.

【0014】レーザ光源20は、例えば発振波長157
nmのパルス紫外光を出力するF2レーザを有する。ま
た、レーザ光源20には、図示しない光源制御装置が併
設されており、この光源制御装置は、主制御装置からの
指示に応じて、射出されるパルス紫外光の発振中心波長
及びスペクトル半値幅の制御、パルス発振のトリガ制
御、レーザチャンバ内のガスの制御等を行う。
The laser light source 20 has, for example, an oscillation wavelength 157.
It has an F 2 laser which outputs a pulsed ultraviolet light of nm. Further, the laser light source 20 is provided with a light source control device (not shown), and the light source control device has an oscillation center wavelength and a spectrum half width of the pulsed ultraviolet light emitted in response to an instruction from the main control device. Control, pulse oscillation trigger control, gas control in the laser chamber, etc. are performed.

【0015】レーザ光源20からのパルスレーザ光(照
明光)は、偏向ミラー30にて偏向されて、光アッテネ
ータとしての可変減光器31に入射する。可変減光器3
1は、ウエハ上のフォトレジストに対する露光量を制御
するために、減光率が段階的又は連続的に調整可能であ
る。可変減光器31から射出される照明光は、光路偏向
ミラー32にて偏向された後に、第1フライアイレンズ
33、ズームレンズ34、振動ミラー35等を順に介し
て第2フライアイレンズ36に達する。第2フライアイ
レンズ36の射出側には、有効光源のサイズ・形状を所
望に設定するための照明光学系開口絞り用の切り替えレ
ボルバ37が配置されている。本実施形態では、照明光
学系開口絞りでの光量損失を低減させるために、ズーム
レンズ34による第2フライアイレンズ36への光束の
大きさを可変としている。
The pulsed laser light (illumination light) from the laser light source 20 is deflected by the deflection mirror 30 and is incident on the variable light attenuator 31 as an optical attenuator. Variable dimmer 3
In No. 1, the extinction ratio can be adjusted stepwise or continuously in order to control the exposure amount for the photoresist on the wafer. The illumination light emitted from the variable light attenuator 31 is deflected by the optical path deflecting mirror 32, and then passes through the first fly-eye lens 33, the zoom lens 34, the vibrating mirror 35, and so on to the second fly-eye lens 36 in order. Reach On the exit side of the second fly-eye lens 36, a switching revolver 37 for the aperture stop of the illumination optical system for setting the size and shape of the effective light source as desired is arranged. In the present embodiment, in order to reduce the light amount loss at the aperture stop of the illumination optical system, the size of the light flux to the second fly-eye lens 36 by the zoom lens 34 is variable.

【0016】照明光学系開口絞りの開口から射出した光
束は、コンデンサレンズ群40を介して照明視野絞り
(レチクルブラインド)41を照明する。なお、照明視
野絞り41については、特開平4−196513号公報
及びこれに対応する米国特許第5,473,410号公
報に開示されている。
A light beam emitted from the aperture of the illumination optical system aperture stop illuminates an illumination field stop (reticle blind) 41 through a condenser lens group 40. The illumination field stop 41 is disclosed in JP-A-4-196513 and the corresponding US Pat. No. 5,473,410.

【0017】照明視野絞り41からの光は、偏向ミラー
42,45、レンズ群43,44,46からなる照明視
野絞り結像光学系(レチクルブラインド結像系)を介し
てレチクルR上に導かれ、レチクルR上には、照明視野
絞り41の開口部の像である照明領域が形成される。レ
チクルR上の照明領域からの光は、投影光学系PLを介
してウエハW上へ導かれ、ウエハW上には、レチクルR
の照明領域内のパターンの縮小像が形成される。レチク
ルRを保持するレチクルステージRSはXY平面内で二
次元的に移動可能であり、その位置座標は干渉計50に
よって計測されかつ位置制御される。また、ウエハWを
保持するウエハステージWSもXY平面内で二次元的に
移動可能であり、その位置座標は干渉計51によって計
測されかつ位置制御される。これらにより、レチクルR
及びウエハWを高精度に同期走査することが可能にな
る。なお、上述したレーザ光源20〜照明視野絞り結像
光学系等により照明光学系21が構成される。
The light from the illumination field stop 41 is guided onto the reticle R via an illumination field stop image forming optical system (reticle blind image forming system) including deflection mirrors 42 and 45 and lens groups 43, 44 and 46. On the reticle R, an illumination area which is an image of the opening of the illumination field stop 41 is formed. Light from the illumination area on the reticle R is guided onto the wafer W via the projection optical system PL, and on the wafer W, the reticle R is lit.
A reduced image of the pattern in the illuminated area of is formed. The reticle stage RS holding the reticle R is two-dimensionally movable in the XY plane, and its position coordinates are measured and controlled by the interferometer 50. The wafer stage WS that holds the wafer W is also two-dimensionally movable in the XY plane, and its position coordinates are measured and controlled by the interferometer 51. With these, reticle R
Also, the wafer W can be synchronously scanned with high accuracy. An illumination optical system 21 is configured by the laser light source 20 to the illumination field stop imaging optical system described above.

【0018】本実施形態で使用するF2レーザ光(波
長:157nm)のように、真空紫外域の光を露光ビー
ムとする場合には、透過率の良好な光学硝材(光学素
子)としては、蛍石(CaF2の結晶)、フッ素や水素
等をドープした石英ガラス、及びフッ化マグネシウム
(MgF2)等に限られる。この場合、投影光学系PL
において、屈折光学部材のみで構成して所望の結像特性
(色収差特性等)を得るのは困難であることから、屈折
光学部材と反射鏡とを組み合わせた反射屈折系を採用し
てもよい。
When the exposure beam is light in the vacuum ultraviolet region, such as the F 2 laser light (wavelength: 157 nm) used in this embodiment, an optical glass material (optical element) having good transmittance is used. Limited to fluorite (crystal of CaF 2 ), quartz glass doped with fluorine or hydrogen, magnesium fluoride (MgF 2 ), and the like. In this case, the projection optical system PL
In the above, it is difficult to obtain a desired image forming characteristic (chromatic aberration characteristic or the like) by using only the refractive optical member, and therefore, a catadioptric system combining a refractive optical member and a reflecting mirror may be adopted.

【0019】また、真空紫外域の光を露光ビームとする
場合、その光路から酸素、水(水蒸気)、炭化水素系の
物質(一酸化炭素、二酸化炭素など)、有機物、及びハ
ロゲン化物等の、係る波長帯域の光に対し強い吸収特性
を有する物質(以下、適宜「吸光物質」と呼ぶ)を排除
する必要がある。そのため、本実施形態では、照明光路
(レーザ光源20〜レチクルRへ至る光路)及び投影光
路(レチクルR〜ウエハWへ至る光路)を外部雰囲気か
ら遮断し、それらの光路を真空紫外域の光に対して吸収
の少ない特性を有する低吸収性ガスとしての窒素、ヘリ
ウム、アルゴン、ネオン、クリプトン、キセノン、ラド
ンなどのガス、またはそれらの混合ガス(以下、適宜
「低吸収性ガス」あるいは「パージガス」と呼ぶ)で満
たしている。
When light in the vacuum ultraviolet region is used as the exposure beam, oxygen, water (water vapor), hydrocarbon-based substances (carbon monoxide, carbon dioxide, etc.), organic substances, halides, etc. are emitted from the optical path. It is necessary to exclude a substance having a strong absorption characteristic for light in the wavelength band (hereinafter, referred to as “absorption substance” as appropriate). Therefore, in the present embodiment, the illumination optical path (the laser light source 20 to the optical path to the reticle R) and the projection optical path (the optical path to the reticle R to the wafer W) are blocked from the external atmosphere, and these optical paths are converted into light in the vacuum ultraviolet region. On the other hand, a gas such as nitrogen, helium, argon, neon, krypton, xenon, radon, etc., which is a low-absorbent gas having a property of little absorption, or a mixed gas thereof (hereinafter referred to as "low-absorbent gas" or "purge gas" as appropriate). Call).

【0020】具体的には、レーザ光源20から可変減光
器31までの光路がケーシング60により外部雰囲気よ
り遮断され、可変減光器31から照明視野絞り41まで
の光路がケーシング61により外部雰囲気より遮断さ
れ、照明視野絞り結像光学系がケーシング62により外
部雰囲気から遮断され、それらの光路内に上記低吸収性
ガスが充填されている。なお、ケーシング61とケーシ
ング62はケーシング63により接続されている。ま
た、投影光学系PL自体もその鏡筒69がケーシングと
なっており、その内部光路に上記低吸収性ガスが充填さ
れている。
Specifically, the optical path from the laser light source 20 to the variable dimmer 31 is blocked from the external atmosphere by the casing 60, and the optical path from the variable dimmer 31 to the illumination field stop 41 is blocked from the external atmosphere by the casing 61. The illumination field stop imaging optical system is shielded from the external atmosphere by the casing 62, and the optical path thereof is filled with the low absorptive gas. The casing 61 and the casing 62 are connected by the casing 63. The lens barrel 69 of the projection optical system PL itself is also a casing, and the internal optical path thereof is filled with the low-absorption gas.

【0021】また、ケーシング64は、照明視野絞り結
像光学系を納めたケーシング62と投影光学系PLとの
間の空間を外部雰囲気から遮断しており、その内部にレ
チクルRを保持するレチクルステージRSが収納されて
いる。このケーシング64には、レチクルRを搬入・搬
出するための扉70が設けられており、この扉70の外
側には、レチクルRを搬入・搬出時にケーシング64内
の雰囲気が汚染されるのを防ぐためのガス置換室65が
設けられている。このガス置換室65にも扉71が設け
られており、複数種のレチクルを保管しているレチクル
ストッカ66との間のレチクルの受け渡しは扉71を介
して行われる。
Further, the casing 64 shields the space between the casing 62 accommodating the illumination field diaphragm imaging optical system and the projection optical system PL from the external atmosphere, and the reticle stage holding the reticle R therein. RS is stored. The casing 64 is provided with a door 70 for loading and unloading the reticle R, and the outside of the door 70 prevents the atmosphere in the casing 64 from being polluted when the reticle R is loaded and unloaded. A gas replacement chamber 65 is provided for this purpose. The gas replacement chamber 65 is also provided with a door 71, and the reticle is transferred to and from the reticle stocker 66 that stores a plurality of types of reticles.

【0022】また、ケーシング67は、投影光学系PL
とウエハWとの間の空間を外部雰囲気から遮断してお
り、その内部に、ウエハホルダ80を介してウエハWを
保持するウエハステージWS、ウエハWの表面のZ方向
の位置(フォーカス位置)や傾斜角を検出するための斜
入射形式のオートフォーカスセンサ81、オフ・アクシ
ス方式のアライメントセンサ82、ウエハステージWS
を載置している定盤83等が収納されている。このケー
シング67には、ウエハWを搬入・搬出するための扉7
2が設けられており、この扉72の外側にはケーシング
67内部の雰囲気が汚染されるのを防ぐためのガス置換
室68が設けられている。このガス置換室68には扉7
3が設けられており、装置内部へのウエハWの搬入、装
置外部へのウエハWの搬出はこの扉73を介して行われ
る。
The casing 67 is a projection optical system PL.
The space between the wafer W and the wafer W is shielded from the external atmosphere, and the wafer stage WS for holding the wafer W via the wafer holder 80, the position (focus position) in the Z direction of the surface of the wafer W, and the inclination thereof are provided inside the space. Oblique incidence type autofocus sensor 81 for detecting an angle, off-axis type alignment sensor 82, wafer stage WS
A surface plate 83 and the like on which the is mounted are stored. A door 7 for loading / unloading the wafer W is provided in the casing 67.
2 is provided, and a gas replacement chamber 68 for preventing the atmosphere inside the casing 67 from being contaminated is provided outside the door 72. The gas replacement chamber 68 has a door 7
3 is provided, and the loading of the wafer W into the apparatus and the unloading of the wafer W to the outside of the apparatus are performed via the door 73.

【0023】各光路上の空間に充填される低吸収性ガス
(パージガス)としては、窒素やヘリウムを用いること
が好ましい。窒素は波長が150nm程度以下の光に対
しては吸光物質として作用し、ヘリウムは波長100n
m程度以下の光に対して低吸収性ガスとして使用するこ
とができる。ヘリウムは熱伝導率が窒素の約6倍であ
り、気圧変化に対する屈折率の変動量が窒素の約1/8
であるため、特に高透過率と光学系の結像特性の安定性
や冷却性とで優れている。なお、投影光学系PLの鏡筒
について低吸収性ガスとしてヘリウムを用い、他の光路
(例えばレーザ光源20〜レチクルRまでの照明光路な
ど)については低吸収性ガスとして窒素を用いてもよ
い。
Nitrogen or helium is preferably used as the low absorptive gas (purge gas) filled in the space on each optical path. Nitrogen acts as a light-absorbing substance for light with a wavelength of about 150 nm or less, and helium has a wavelength of 100 n.
It can be used as a low-absorbing gas for light of about m or less. Helium has a thermal conductivity about 6 times that of nitrogen, and the amount of fluctuation in the refractive index with respect to changes in atmospheric pressure is about 1/8 that of nitrogen.
Therefore, it is particularly excellent in high transmittance, stability of image forming characteristics of the optical system, and cooling property. Note that helium may be used as the low-absorbing gas for the barrel of the projection optical system PL, and nitrogen may be used as the low-absorbing gas for other optical paths (for example, the illumination optical path from the laser light source 20 to the reticle R).

【0024】ここで、ケーシング61,62,64,6
7のそれぞれには、給気弁100,101,102,1
03が設けられており、これらの給気弁100〜103
は後述するガス供給システムにおける給気管路に接続さ
れている。また、ケーシング61,62,64,67の
それぞれには、排気弁110,111,112,113
が設けられており、これらの排気弁110〜113は、
それぞれガス供給システムにおける排気管路に接続され
ている。
Here, the casings 61, 62, 64, 6
7, each of the air supply valves 100, 101, 102, 1
03 are provided, and these air supply valves 100 to 103 are provided.
Is connected to an air supply line in a gas supply system described later. Further, the exhaust valves 110, 111, 112, 113 are provided in the casings 61, 62, 64, 67, respectively.
Are provided, and the exhaust valves 110 to 113 are
Each is connected to an exhaust pipe line in the gas supply system.

【0025】同様に、ガス置換室65,68にも給気弁
104,105及ぶ排気弁114,115が設けられ、
投影光学系PLの鏡筒69にも給気弁106及び排気弁
116が設けられ、これらはガス供給システムにおける
給気管路あるいは排気管路に接続されている。
Similarly, gas replacement chambers 65 and 68 are provided with air supply valves 104 and 105 and exhaust valves 114 and 115, respectively.
The lens barrel 69 of the projection optical system PL is also provided with an air supply valve 106 and an exhaust valve 116, which are connected to an air supply pipe line or an exhaust pipe line in the gas supply system.

【0026】また、ガス置換室65,68においては、
レチクル交換又はウエハ交時等の際にガス置換を行う必
要がある。例えば、レチクル交換の際には、扉71を開
いてレチクルストッカ66からレチクルをガス置換室6
5内に搬入し、扉71を閉めてガス置換室65内を低吸
収性ガスで満たし、その後、扉70を開いて、レチクル
をレチクルステージRS上に載置する。また、ウエハ交
換の際には、扉73を開いてウエハをガス置換室68内
に搬入し、この扉73を閉めてガス置換室68内を低吸
収性ガスで満たす。その後、扉72を開いてウエハをウ
エハホルダ80上に載置する。なお、レチクル搬出、ウ
エハ搬出の場合はこの逆の手順である。また、ガス置換
室65,68のガス置換の際には、ガス置換室内の雰囲
気を減圧した後に、給気弁から低吸収性ガスを供給して
も良い。
In the gas replacement chambers 65 and 68,
It is necessary to perform gas replacement at the time of reticle exchange or wafer exchange. For example, when replacing the reticle, the door 71 is opened and the reticle is moved from the reticle stocker 66 to the gas replacement chamber 6
5, the door 71 is closed and the gas replacement chamber 65 is filled with the low absorptive gas, then the door 70 is opened and the reticle is placed on the reticle stage RS. Further, when the wafer is replaced, the door 73 is opened to carry the wafer into the gas replacement chamber 68, and the door 73 is closed to fill the gas replacement chamber 68 with the low absorption gas. Thereafter, the door 72 is opened and the wafer is placed on the wafer holder 80. The reverse procedure is used for reticle carry-out and wafer carry-out. When replacing the gas in the gas replacement chambers 65 and 68, the atmosphere in the gas replacement chamber may be decompressed, and then the low absorption gas may be supplied from the air supply valve.

【0027】また、ケーシング64,67においては、
ガス置換室65,68によるガス置換を行った気体が混
入する可能性があり、このガス置換室65,68のガス
中にはかなりの量の酸素などの吸光物質が混入している
可能性が高い。そのため、ガス置換室65,68のガス
置換と同じタイミングでガス置換を行うことが望まし
い。また、ケーシング及びガス置換室においては、外部
雰囲気の圧力よりも高い圧力の低吸収性ガスを充填して
おくことが好ましい。
Further, in the casings 64 and 67,
There is a possibility that the gas that has undergone the gas replacement by the gas replacement chambers 65 and 68 may be mixed, and a considerable amount of light-absorbing substances such as oxygen may be mixed into the gas of the gas replacement chambers 65 and 68. high. Therefore, it is desirable to perform gas replacement at the same timing as gas replacement in the gas replacement chambers 65 and 68. Further, it is preferable that the casing and the gas replacement chamber are filled with a low-absorbent gas having a pressure higher than the pressure of the external atmosphere.

【0028】図2は、上述した露光ビームの光路上の各
空間に、パージガスとして上述した低吸収性ガスを供給
するガス供給システム200の構成の一例を示してい
る。ガス供給システム200は、所定の低吸収性ガスを
収容するガスボンベなどのガス供給源201、光路上の
各空間に低吸収性ガスを供給するガス供給装置202、
光路上の各空間から低吸収性ガスを含む気体を排出する
排気装置203、低吸収性ガスの温度を制御するための
温調装置204、光路上の各空間内の吸光物質の濃度を
計測する濃度計205a〜205e、及び上記装置を統
括的に制御する制御装置206等を有している。
FIG. 2 shows an example of the configuration of a gas supply system 200 for supplying the above-mentioned low-absorptive gas as a purge gas to each space on the optical path of the above-mentioned exposure beam. The gas supply system 200 includes a gas supply source 201 such as a gas cylinder that stores a predetermined low-absorption gas, a gas supply device 202 that supplies the low-absorption gas to each space on the optical path,
An exhaust device 203 for discharging a gas containing a low-absorbent gas from each space on the optical path, a temperature controller 204 for controlling the temperature of the low-absorbent gas, and a concentration of a light-absorbing substance in each space on the optical path are measured. It has densitometers 205a to 205e and a control device 206 for controlling the above devices in a centralized manner.

【0029】図2では、低吸収性ガスの供給先として、
前述した露光ビームの光路上の空間のうち、投影光学系
PLにおける鏡筒69内部の複数の空間250〜254
(以後、パージ空間と称する)を代表的に示している。
これらの複数のパージ空間250〜254は、光学部材
(レンズ素子)300〜303を挟んで互いに隣り合っ
て配置されている。なお、本例では、結像特性の安定性
等の観点より、光路上の空間に供給するパージガスとし
て、ヘリウムガスを使用するものとする。ただし、ヘリ
ウムガスは高価であることから、露光ビームの波長がF
2レーザのように150nm以上である場合には、運転
コストを低減させるためにパージガスとして窒素ガスを
使用してもよい。
In FIG. 2, as the supply destination of the low absorption gas,
Of the spaces on the optical path of the exposure beam described above, a plurality of spaces 250 to 254 inside the lens barrel 69 in the projection optical system PL.
(Hereinafter, referred to as a purge space) is representatively shown.
The plurality of purge spaces 250 to 254 are arranged adjacent to each other with the optical members (lens elements) 300 to 303 interposed therebetween. In this example, helium gas is used as the purge gas to be supplied to the space on the optical path from the viewpoint of the stability of the imaging characteristics. However, since the helium gas is expensive, the wavelength of the exposure beam is F
When the wavelength is 150 nm or more like two lasers, nitrogen gas may be used as a purge gas in order to reduce the operating cost.

【0030】ガス供給装置202は、ガス供給源201
から送られるヘリウムガスを例えば加圧することによ
り、そのヘリウムガスを給気管路210を介して各パー
ジ空間250〜254に供給する。本例では、各パージ
空間250〜254ごとに流量調整可能な給気弁106
a〜106eが設けられており、これに対応して給気管
路210も分岐構造となっている。ガス供給装置202
から送られるヘリウムガスは、各給気弁106a〜10
6eを介して各パージ空間250〜254に供給される
とともに、各パージ空間250〜254ごとにその流量
が個々に制御される。
The gas supply device 202 is a gas supply source 201.
By pressurizing the helium gas sent from, for example, the helium gas is supplied to each of the purge spaces 250 to 254 via the air supply line 210. In this example, the air supply valve 106 whose flow rate can be adjusted for each of the purge spaces 250 to 254.
a to 106e are provided, and the air supply conduit 210 has a branched structure corresponding to this. Gas supply device 202
Helium gas sent from the air supply valves 106a-10
It is supplied to each purge space 250-254 via 6e, and the flow rate is individually controlled for each purge space 250-254.

【0031】また、ガス供給装置202と給気弁106
a〜106eとの間の給気管路210上には、ヘリウム
ガスに含まれる不純物を除去するためのフィルタ211
が配置されている。なお、この給気管路210上に、通
路内の圧力を計測するための圧力計や、ヘリウムガスに
含まれる吸光物質の濃度を計測するための濃度計などの
計器類をさらに設けてもよい。また、ガス供給源201
から排出されるガスが十分に圧力を有している場合はガ
ス供給装置202を省くことも可能である。
Further, the gas supply device 202 and the air supply valve 106
A filter 211 for removing impurities contained in the helium gas is provided on the air supply line 210 between a to 106e.
Are arranged. In addition, instruments such as a pressure gauge for measuring the pressure in the passage and a concentration meter for measuring the concentration of the light-absorbing substance contained in the helium gas may be further provided on the air supply conduit 210. In addition, the gas supply source 201
It is also possible to omit the gas supply device 202 if the gas exhausted from has a sufficient pressure.

【0032】給気管路210に用いられる配管として
は、洗浄されたステンレスなどの金属、あるいは洗浄さ
れた四フッ化エチレン、テトラフルオロエチレン−テレ
フルオロ(アルキルビニルエーテル)、またはテトラフ
ルオロエチレン−ヘキサフルオロプロペン共重合体等の
各種ポリマー等、ケミカルクリーンな素材のものが用い
られ、配管継手としては、例えば禁油処理されたステン
レスなどの金属製、あるいは各種ポリマー製のものが用
いられる。
The pipe used for the air supply line 210 is a washed metal such as stainless steel, or a washed tetrafluoroethylene, tetrafluoroethylene-terefluoro (alkyl vinyl ether), or tetrafluoroethylene-hexafluoropropene. Chemically clean materials such as various polymers such as copolymers are used, and pipe joints are made of, for example, oil-free metal such as stainless steel or various polymers.

【0033】フィルタ211は、例えば吸着、吸収、あ
るいは濾過といった作用により上述した吸光物質を除去
可能なものが用いられる。具体的には、酸素等の吸収性
ガスを除去するケミカルフィルタ、あるいはHEPAフ
ィルタやULPAフィルタ等の主に塵(パーティクル)
を除去するためのフィルタなどが用いられる。また、例
えば、アンモニア、アミン系の化合物、イオン系、シロ
キサン、シラザン、シラノール等のシリコン系の有機物
や、可塑剤(フタルサンエステル等)、難燃剤(燐酸、
塩素系物質)などの不純物を除去するためのフィルター
として、活性炭フィルタやゼオライトフィルターを用い
ることができる。
As the filter 211, a filter capable of removing the above-mentioned light absorbing substance by an action such as adsorption, absorption, or filtration is used. Specifically, it is mainly a dust (particles) such as a chemical filter that removes absorbing gas such as oxygen, or a HEPA filter or ULPA filter.
A filter or the like for removing is used. In addition, for example, ammonia, amine compounds, ionic compounds, siloxane, silazanes, silanol, and other silicon organic substances, plasticizers (phthalsan ester, etc.), flame retardants (phosphoric acid,
An activated carbon filter or a zeolite filter can be used as a filter for removing impurities such as chlorine-based substances.

【0034】なお、給気管路125上に複数のフィルタ
211を並べて配置してもよい。例えば、特性の異なる
複数のフィルタを直列に配置し、より高純度仕様のもの
を下流側に配置することにより、フィルタの効率的な使
用が可能となる。また、フィルタを並列に配置すること
により、フィルタによる流れの抵抗を抑えることが可能
となる。あるいは、並列に配置されたフィルタに対して
選択的にガスを通すことにより、フィルタ交換の作業性
を向上させることが可能となる。
A plurality of filters 211 may be arranged side by side on the air supply line 125. For example, by arranging a plurality of filters having different characteristics in series and arranging a filter having a higher purity specification on the downstream side, it becomes possible to use the filters efficiently. Also, by arranging the filters in parallel, it is possible to suppress the flow resistance due to the filters. Alternatively, it is possible to improve the workability of filter replacement by selectively passing gas through the filters arranged in parallel.

【0035】排気装置203は、例えば真空圧を発生さ
せ、排気管路212を介して各パージ空間250〜25
4内の気体を排出するものである。各パージ空間250
〜254から排出した気体は、例えば装置外部の空間に
排出される。なお、各パージ空間250〜254から排
出した気体を、精製してパージガスとして再利用しても
よい。ガスの再利用により、パージガス(本例ではヘリ
ウムガス)の消費量を低減することができる。
The exhaust device 203 generates, for example, a vacuum pressure, and through the exhaust pipe line 212, each of the purge spaces 250 to 25.
The gas in 4 is discharged. Each purging space 250
The gas discharged from ˜254 is discharged to the space outside the apparatus, for example. The gas discharged from each of the purge spaces 250 to 254 may be purified and reused as a purge gas. By reusing the gas, the consumption of the purge gas (helium gas in this example) can be reduced.

【0036】温調装置204は、光路上の各パージ空間
250〜254に供給するヘリウムガスの温度を所定の
値に制御する。本例では、各パージ空間250〜254
内の飽和水分量が増大するように、ガスの温度を室温
(20〜25℃)よりも高い温度、例えば40〜150
℃に制御する。ガスの温度は、常に一定に制御してもよ
く、変化させてもよい。なお、本例のようにパージガス
としてヘリウムを用いる場合、温調装置は各ケーシング
の近傍に配置されることが好ましい。
The temperature controller 204 controls the temperature of the helium gas supplied to the purge spaces 250 to 254 on the optical path to a predetermined value. In this example, each purge space 250-254
The temperature of the gas is higher than room temperature (20 to 25 ° C.), for example, 40 to 150, so that the saturated moisture content in the inside increases.
Control to ℃. The gas temperature may be constantly controlled or may be changed. When helium is used as the purge gas as in this example, it is preferable that the temperature control device is arranged near each casing.

【0037】濃度計205a〜205eは、本例では、
各パージ空間250〜254のそれぞれに対して設置さ
れている。濃度計としては、例えば、酸素濃度計、水蒸
気の濃度計としての露点計、及び二酸化炭素のセンサ等
の濃度計又はそれらセンサを組み合わせた複合センサと
いったものが採用される。なお、濃度計として、質量分
析計の類の装置や、電流を流してその電流値を計測する
ことによりガスに含まれる吸光物質の濃度を間接的に計
測するセンサを用いてもよい。
The densitometers 205a-205e are, in this example,
It is installed in each of the purge spaces 250 to 254. As the densitometer, for example, an oxygen densitometer, a dew point meter as a water vapor densitometer, a densitometer such as a carbon dioxide sensor, or a composite sensor combining these sensors is adopted. As the densitometer, a device such as a mass spectrometer or a sensor that indirectly measures the concentration of the light-absorbing substance contained in the gas by passing an electric current and measuring the current value may be used.

【0038】上記ガス供給システム200では、上記フ
ィルタ211を介して、高純度のヘリウムガスを光路上
の各パージ空間250〜254に供給する。これによ
り、そのパージ空間250〜254内がヘリウムガスで
満たされる。このとき、濃度計205a〜205eの計
測結果に基づいて、各パージ空間250〜254内の吸
光物質の濃度が所定の許容濃度(例えば体積比で10p
pm)以下になるように、給気弁106a〜106eを
介して、各パージ空間250〜254に対するガスの供
給量を制御する。これにより、各パージ空間250〜2
54内の吸光物質が低減される。
In the gas supply system 200, high-purity helium gas is supplied to the purge spaces 250 to 254 on the optical path via the filter 211. As a result, the purging spaces 250 to 254 are filled with helium gas. At this time, based on the measurement results of the densitometers 205a to 205e, the concentration of the light-absorbing substance in each of the purge spaces 250 to 254 is a predetermined allowable concentration (for example, 10 p in volume ratio).
pm) or less, the amount of gas supplied to each purge space 250-254 is controlled via the air supply valves 106a-106e. Thereby, each purge space 250-2
Light absorbing material within 54 is reduced.

【0039】また、本例では、ヘリウムガスの温度を、
温調装置204によって室温(20〜25℃)よりも高
い温度、例えば40〜150℃に制御する。これによ
り、各パージ空間250〜254内の飽和水分量が増大
し、その空間に含まれる水が蒸発しやすくなる。そのた
め、その蒸発した水を含む気体を、排気装置203によ
って各パージ空間250〜254から排出することで、
その空間250〜254内における水を短時間で排除す
ることができる。また、室温よりも高い温度のガスの供
給によってそのパージ空間250〜254内の水の蒸発
が促進することから、パージ空間250〜254内から
吸光物質である水を確実に排除することができる。
In this example, the temperature of helium gas is
The temperature controller 204 controls the temperature higher than room temperature (20 to 25 ° C.), for example, 40 to 150 ° C. As a result, the amount of saturated water in each of the purge spaces 250 to 254 increases, and the water contained in the spaces easily evaporates. Therefore, by discharging the gas containing the evaporated water from each of the purge spaces 250 to 254 by the exhaust device 203,
Water in the spaces 250 to 254 can be removed in a short time. Further, since the evaporation of water in the purge spaces 250 to 254 is promoted by supplying the gas having a temperature higher than room temperature, it is possible to reliably remove the water, which is the light absorbing substance, from the purge spaces 250 to 254.

【0040】なお、ヘリウムガスをパージ空間250〜
254内に供給するにあたって、パージ空間250〜2
54に例えば空気等の吸収性ガスが多く含まれる場合に
は、ヘリウムガスを供給する前に、排気装置203によ
って一旦その空間250〜254内の気体を排出すると
よい。これにより、より短時間で上記パージ空間250
〜254内を低吸収性ガスであるヘリウムガスに置換す
ることができる。
The helium gas is purged in the space 250-
When supplying into 254, purge space 250-2
When 54 contains a large amount of absorbing gas such as air, the gas in the spaces 250 to 254 may be temporarily discharged by the exhaust device 203 before supplying the helium gas. As a result, the purge space 250 can be shortened in a shorter time.
The inside of ~ 254 can be replaced with helium gas which is a low absorption gas.

【0041】したがって、先の図1に示した本例の露光
装置10では、上記ガス供給システム200を備えるこ
とにより、露光ビームの光路上の空間が低吸収性ガスで
満たされ、吸光物質が水を含めて確実にしかも短時間で
低減される。そのため、真空紫外光である露光ビームが
十分な照度で安定してウエハに到達し、精度よく露光処
理が行われるとともに、スループットの向上が図られ
る。
Therefore, in the exposure apparatus 10 of the present embodiment shown in FIG. 1, the space on the optical path of the exposure beam is filled with the low absorption gas and the light absorbing substance is water by providing the gas supply system 200. It is possible to reduce it reliably and in a short time. Therefore, the exposure beam which is vacuum ultraviolet light stably reaches the wafer with sufficient illuminance, the exposure process is performed with high accuracy, and the throughput is improved.

【0042】ガス供給システムによって光路上の空間内
に高温のガスを供給するタイミングは、露光装置の立ち
上げ時だけでもよく、装置立ち上げの後、露光処理時を
含めてもよい。さらに、装置立ち上げ時と、露光処理時
とで供給するガスの温度に差を設けてもよい。例えば、
装置立ち上げ時により高い温度のガスを光路上の空間に
供給して短時間で水の排除を図る一方、露光処理時には
それよりも低い温度のガスを光路上の空間に供給し、光
学特性の安定化を図るなどの方法が挙げられる。
The timing of supplying the high temperature gas into the space on the optical path by the gas supply system may be only when the exposure apparatus is started up, or after the apparatus is started up and when the exposure processing is performed. Further, a difference may be provided in the temperature of the gas supplied when the apparatus is started up and when the exposure process is performed. For example,
When the apparatus is started up, a gas with a higher temperature is supplied to the space on the optical path to eliminate water in a short time, while at the time of exposure processing, a gas with a temperature lower than that is supplied to the space on the optical path. Examples of methods include stabilization.

【0043】以上、添付図面を参照しながら本発明に係
る好適な実施形態例について説明したが、本発明は係る
例に限定されないことは言うまでもない。当業者であれ
ば、特許請求の範囲に記載された技術的思想の範疇内に
おいて、各種の変更例または修正例に想到し得ることは
明らかであり、それらについても当然に本発明の技術的
範囲に属するものと了解される。
The preferred embodiment of the present invention has been described above with reference to the accompanying drawings, but it goes without saying that the present invention is not limited to this example. It is obvious to those skilled in the art that various changes or modifications can be conceived within the scope of the technical idea described in the claims, and of course, the technical scope of the present invention is also applicable to them. Be understood to belong to.

【0044】例えば、上記実施例では、光学装置として
投影光学系を適用した例について代表的に説明したが、
本発明の光学装置は投影光学系に限定されるものではな
く、照明光学系など、他の光学装置にも適用可能であ
る。
For example, in the above embodiment, an example in which a projection optical system is applied as an optical device has been described as a representative,
The optical device of the present invention is not limited to the projection optical system, but can be applied to other optical devices such as an illumination optical system.

【0045】また、光学装置が備える光路上の複数の空
間のうち、すべての空間に高温のガスを供給してもよ
く、水が残留しやすい空間だけに高温のガスを供給して
もよい。
Further, the high temperature gas may be supplied to all of the plurality of spaces on the optical path provided in the optical device, or the high temperature gas may be supplied only to the space where water is likely to remain.

【0046】また、パージ空間は、気密性を有するもの
としているが、必ずしも気密性を有しなくてもよい。例
えば、空間内の気圧を外部気圧よりも高く保つようにす
ることで、空間内の気体を所望の状態に保持するように
してもよい。なお、複数のパージ空間の間に挟まれる部
材としては、上述したレンズ素子のほか、ミラーなどの
平行平板も含まれる。特に、真空紫外光のような短波長
光では、反射光学系を採用する場合があり、こうしたケ
ースにも本発明は好ましく用いられる。
Although the purge space is airtight, it does not necessarily have to be airtight. For example, the gas in the space may be kept in a desired state by keeping the atmospheric pressure in the space higher than the external atmospheric pressure. The members sandwiched between the plurality of purge spaces include the above-mentioned lens element and parallel flat plates such as mirrors. In particular, for short wavelength light such as vacuum ultraviolet light, a reflection optical system may be adopted, and the present invention is preferably used in such cases as well.

【0047】また、光路上から吸光物質を排除するに
は、予め構造材料表面からの脱ガス量を低減する処置を
施しておくことが好ましい。例えば、(1)構造材料の
表面積を小さくする、(2)構造材料表面を機械研磨、
電解研磨、バル研磨、化学研磨、又はGBB(Glass Be
ads Blasting)といった方法によって研磨し、構造材料
の表面粗さを低減しておく、(3)超音波洗浄、クリー
ンドライエア等の流体の吹き付け、真空加熱脱ガス(ベ
ーキング)などの手法によって、構造材料表面を洗浄す
る、(4)炭化水素やハロゲン化物を含む電線被膜物質
やシール部材(Oリング等)、接着剤等を光路空間に可
能な限り設置しない、等の方法がある。
Further, in order to remove the light absorbing substance from the optical path, it is preferable to perform a treatment for reducing the amount of degassing from the surface of the structural material in advance. For example, (1) reduce the surface area of the structural material, (2) mechanically polish the surface of the structural material,
Electrolytic polishing, ball polishing, chemical polishing, or GBB (Glass Be
The surface roughness of the structural material is reduced by polishing with a method such as ads Blasting), and (3) ultrasonic cleaning, spraying fluid such as clean dry air, and vacuum heating degassing (baking). There are methods such as cleaning the surface, and (4) not installing an electric wire coating material containing a hydrocarbon or a halide, a sealing member (O-ring, etc.), an adhesive, etc. in the optical path space as much as possible.

【0048】また、真空圧を発生させる排気装置として
は、真空ポンプ、クライオポンプなどが用いられる。ク
ライオポンプは、真空ポンプの一種であり、活性炭や合
成フッ化石などのソベントを窒素等の冷媒で冷やす形式
のもので、真空中に極低温(10〜15K)に冷却され
た面(クライオパネル)を置き、この面で気体(H2
He、Ne以外の気体、例えばN2、Ar等)を吸着し
て、高真空を作り出す。
A vacuum pump, a cryopump or the like is used as an exhaust device for generating a vacuum pressure. A cryopump is a type of vacuum pump that cools sorbent such as activated carbon or synthetic fluoride with a refrigerant such as nitrogen, and is a surface cooled to a cryogenic temperature (10-15K) in a vacuum (cryopanel). And place a gas (H 2 ,
A gas other than He and Ne, such as N 2 and Ar, is adsorbed to create a high vacuum.

【0049】また、照明系チャンバからウエハ操作部の
カバーを構成する筐体(筒状体等も可)や、透過性ガス
を供給する配管は、不純物ガス(脱ガス)の少ない材
料、例えばステンレス鋼、四フッ化エチレン、テトラフ
ルオロエチレン−テルフルオロ(アルキルビニルエーテ
ル)、又はテトラフルオロエチレン−ヘキサフルオロプ
ロペン共重合体等の各種ポリマーで形成することが望ま
しい。
Further, the casing (a cylindrical body or the like) forming the cover of the wafer operation unit from the illumination system chamber and the pipe for supplying the transparent gas are made of a material with a small amount of impurity gas (degas), such as stainless steel. It is desirable to use various polymers such as steel, tetrafluoroethylene, tetrafluoroethylene-terfluoro (alkyl vinyl ether), or tetrafluoroethylene-hexafluoropropene copolymer.

【0050】また、各筐体内の駆動機構(レチクルブラ
インドやステージ等)などに電力を供給するケーブルな
ども、同様に上述した不純物ガス(脱ガス)の少ない材
料で被服することが望ましい。
Further, it is desirable that the cable for supplying electric power to the driving mechanism (reticle blind, stage, etc.) in each housing is also covered with the above-mentioned material with less impurity gas (degassing).

【0051】また、ウエハ上に塗布された感光材(フォ
トレジスト)からの脱ガスは吸光物質を含み、これは感
光材の種類や温度等によって量、種類ともに異なる。そ
のため、感光材からの脱ガスの量、種類を予め調査して
おき、感光材によって低吸収性ガスの供給量を調整する
とよい。これにより、ワーキング・ディスタンス部から
確実に吸光物質を排除する一方で、一般に高価な低吸収
性ガスの消費量を必要最小限に抑えることが可能とな
る。
Degas from the photosensitive material (photoresist) applied on the wafer contains a light-absorbing substance, which varies in amount and type depending on the type and temperature of the photosensitive material. Therefore, the amount and type of degassing from the photosensitive material may be investigated in advance, and the supply amount of the low absorptive gas may be adjusted depending on the photosensitive material. As a result, it is possible to surely remove the light-absorbing substance from the working distance portion, while suppressing the consumption amount of the generally expensive low-absorbing gas to the necessary minimum.

【0052】また、本発明は走査露光型の投影露光装置
のみならず、一括露光型(ステッパー型)の投影露光装
置等にも適用できることは明らかである。これらに備え
られる投影光学系は、反射屈折系のみならず、屈折系や
反射系であってもよい。さらに、投影光学系の倍率は縮
小倍率のみならず、等倍や拡大であってもよい。
Further, it is obvious that the present invention can be applied not only to the scanning exposure type projection exposure apparatus but also to a collective exposure type (stepper type) projection exposure apparatus and the like. The projection optical system provided in these may be not only a catadioptric system but also a refraction system or a reflection system. Further, the magnification of the projection optical system is not limited to the reduction magnification, but may be equal magnification or enlargement.

【0053】また、本発明はエネルギビームとして、A
rFエキシマレーザ光(波長193nm)を使用する場
合や、Kr2レーザ光(波長146nm)、Ar2レーザ
光(波長126nm)、YAGレーザ等の高調波、又は
半導体レーザの高調波等の波長が200nm〜100n
m程度の真空紫外光にも適用できる。
In the present invention, the energy beam A
When rF excimer laser light (wavelength 193 nm) is used, or when Kr 2 laser light (wavelength 146 nm), Ar 2 laser light (wavelength 126 nm), YAG laser harmonics, or semiconductor laser harmonics has a wavelength of 200 nm ~ 100n
It can also be applied to vacuum ultraviolet light of about m.

【0054】また、エキシマレーザやF2レーザ等の代
わりに、DFB(Distributed feedback:分布帰環型)
半導体レーザ又はファイバーレーザから発振される赤外
域、又は可視域の単一波長レーザを、例えばエルビウム
(Er)(又はエルビウムとイッテルビウム(Yb)と
の両方)がドープされたファイバーアンプで増幅し、非
線形光学結晶を用いて紫外光に波長変換した高調波を用
いてもよい。
Further, DFB (Distributed feedback) is used instead of the excimer laser, the F 2 laser, or the like.
A single wavelength laser in the infrared or visible range emitted from a semiconductor laser or a fiber laser is amplified by a fiber amplifier doped with, for example, erbium (Er) (or both erbium and ytterbium (Yb)), You may use the harmonic wave which carried out wavelength conversion to the ultraviolet light using the optical crystal.

【0055】また、露光装置の用途としては半導体製造
用の露光装置に限定されることなく、例えば、角型のガ
ラスプレートに液晶表示素子パターンを露光する液晶用
の露光装置や、薄膜磁気ヘッドを製造するための露光装
置にも広く適当できる。
The application of the exposure apparatus is not limited to the exposure apparatus for semiconductor manufacturing, and for example, a liquid crystal exposure apparatus for exposing a liquid crystal display element pattern on a rectangular glass plate or a thin film magnetic head. It is also widely applicable to an exposure apparatus for manufacturing.

【0056】また、ウエハステージやレチクルステージ
にリニアモータを用いる場合は、エアベアリングを用い
たエア浮上型およびローレンツ力またはリアクタンス力
を用いた磁気浮上型のどちらを用いてもいい。また、ス
テージは、ガイドに沿って移動するタイプでもいいし、
ガイドを設けないガイドレスタイプでもよい。
When a linear motor is used for the wafer stage or reticle stage, either an air levitation type using an air bearing or a magnetic levitation type using Lorentz force or reactance force may be used. Also, the stage may be a type that moves along a guide,
A guideless type without a guide may be used.

【0057】また、ステージの駆動装置として平面モ−
タを用いる場合、磁石ユニット(永久磁石)と電機子ユ
ニットのいずれか一方をステージに接続し、磁石ユニッ
トと電機子ユニットの他方をステージの移動面側(ベー
ス)に設ければよい。
Further, as a stage driving device, a plane mode is used.
When using a magnet, one of the magnet unit (permanent magnet) and the armature unit may be connected to the stage, and the other of the magnet unit and the armature unit may be provided on the moving surface side (base) of the stage.

【0058】また、ウエハステージの移動により発生す
る反力は、特開平8−166475号公報に記載されて
いるように、フレーム部材を用いて機械的に床(大地)
に逃がしてもよい。本発明は、このような構造を備えた
露光装置においても適用可能である。
Further, the reaction force generated by the movement of the wafer stage is mechanically floored (ground) by using a frame member, as described in Japanese Patent Laid-Open No. 8-166475.
You may let me escape. The present invention can also be applied to an exposure apparatus having such a structure.

【0059】また、レチクルステージの移動により発生
する反力は、特開平8−330224号公報に記載され
ているように、フレーム部材を用いて機械的に床(大
地)に逃がしてもよい。本発明は、このような構造を備
えた露光装置においても適用可能である。
The reaction force generated by the movement of the reticle stage may be mechanically released to the floor (ground) by using a frame member, as described in JP-A-8-330224. The present invention can also be applied to an exposure apparatus having such a structure.

【0060】以上のように、本願実施形態の露光装置
は、本願特許請求の範囲に挙げられた各構成要素を含む
各種サブシステムを、所定の機械的精度、電気的精度、
光学的精度を保つように、組み立てることで製造され
る。これら各種精度を確保するために、この組み立ての
前後には、各種光学系については光学的精度を達成する
ための調整、各種機械系については機械的精度を達成す
るための調整、各種電気系については電気的精度を達成
するための調整が行われる。各種サブシステムから露光
装置への組み立て工程は、各種サブシステム相互の、機
械的接続、電気回路の配線接続、気圧回路の配管接続等
が含まれる。この各種サブシステムから露光装置への組
み立て工程の前に、各サブシステム個々の組み立て工程
があることはいうまでもない。各種サブシステムの露光
装置への組み立て工程が終了したら、総合調整が行わ
れ、露光装置全体としての各種精度が確保される。な
お、露光装置の製造は温度およびクリーン度等が管理さ
れたクリーンルームで行うことが望ましい。
As described above, the exposure apparatus according to the present embodiment has various subsystems including the constituent elements recited in the claims of the present application, with a predetermined mechanical accuracy, electrical accuracy, and
It is manufactured by assembling so as to maintain optical accuracy. Before and after this assembly, adjustments to achieve optical precision for various optical systems, adjustments to achieve mechanical precision for various mechanical systems, and various electrical systems to ensure these various types of precision are made. Are adjusted to achieve electrical accuracy. The process of assembling the exposure apparatus from the various subsystems includes mechanical connection, electrical circuit wiring connection, air pressure circuit pipe connection, and the like between the various subsystems. It goes without saying that there is an individual assembly process for each subsystem before the assembly process from these various subsystems to the exposure apparatus. When the process of assembling the various subsystems into the exposure apparatus is completed, comprehensive adjustment is performed to ensure various accuracies of the exposure apparatus as a whole. It is desirable that the exposure apparatus be manufactured in a clean room where the temperature and cleanliness are controlled.

【0061】そして、上記のように露光が行われたウエ
ハが、現像工程、パターン形成工程、ボンディング工
程、パッケージング等を経ることによって、半導体素子
等の電子デバイスが製造される。
Then, the wafer thus exposed is subjected to a developing process, a pattern forming process, a bonding process, a packaging process and the like to manufacture an electronic device such as a semiconductor element.

【0062】[0062]

【発明の効果】本発明の光学装置によれば、空間内の飽
和水分量が増大するように、光路上の空間に供給する所
定のガスの温度を制御し、その空間内の水の蒸発を促進
させることにより、光路上の空間に含まれる水を短時間
で排除する。そのため、その空間内の吸光物質を水を含
めて確実に低減させることができる。
According to the optical device of the present invention, the temperature of the predetermined gas supplied to the space on the optical path is controlled so that the saturated water content in the space increases, and the evaporation of water in the space is prevented. By promoting it, the water contained in the space on the optical path is eliminated in a short time. Therefore, it is possible to surely reduce the light absorbing substance in the space including water.

【0063】また、本発明の露光装置によれば、光路上
の空間に含まれる水が短時間で排除され、その空間内の
吸光物質が水を含めて確実に低減されることから、スル
ープット及び露光精度を向上させることができる。
Further, according to the exposure apparatus of the present invention, the water contained in the space on the optical path is eliminated in a short time, and the light-absorbing substance in the space is reliably reduced including the water. The exposure accuracy can be improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明に係る光学装置を備える一実施形態に
係る半導体デバイス製造用の縮小投影型露光装置の全体
構成を示す図である。
FIG. 1 is a diagram showing an overall configuration of a reduction projection exposure apparatus for manufacturing a semiconductor device according to an embodiment including an optical device according to the present invention.

【図2】 露光ビームの光路上の各空間にパージガスを
供給するガス供給システムの構成の一例を示す図であ
る。
FIG. 2 is a diagram showing an example of a configuration of a gas supply system that supplies a purge gas to each space on an optical path of an exposure beam.

【符号の説明】[Explanation of symbols]

IL 露光光(エネルギービーム) R レチクル(マスク) W ウエハ(基板) PL 投影光学系(光学装置) 21 照明光学系(光学装置) 200 ガス供給システム 204 温度制御装置 250〜254 パージ空間 IL exposure light (energy beam) R reticle (mask) W wafer (substrate) PL projection optical system (optical device) 21 Illumination optical system (optical device) 200 gas supply system 204 Temperature control device 250-254 Purge space

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 エネルギービームの光路上に形成されか
つ所定のガスが供給される空間を有する光学装置におい
て、 前記空間内の飽和水分量が増大するように、前記所定の
ガスの温度を制御する温度制御装置を備えることを特徴
とする光学装置。
1. In an optical device having a space formed on the optical path of an energy beam and supplied with a predetermined gas, the temperature of the predetermined gas is controlled so that the amount of saturated moisture in the space increases. An optical device comprising a temperature control device.
【請求項2】 前記温度制御装置は、前記所定のガスの
温度を室温よりも高い温度に制御することを特徴とする
請求項1に記載の光学装置。
2. The optical device according to claim 1, wherein the temperature control device controls the temperature of the predetermined gas to a temperature higher than room temperature.
【請求項3】 パターンが形成されたマスクをエネルギ
ービームにより照明する照明光学系と、前記マスクのパ
ターンを基板上に転写する投影光学系との少なくとも一
方が、請求項1または請求項2に記載の光学装置を備え
ることを特徴とする露光装置。
3. The illumination optical system for illuminating a mask having a pattern formed thereon with an energy beam, and at least one of a projection optical system for transferring the pattern of the mask onto a substrate, according to claim 1 or 2. An exposure apparatus comprising the optical device of.
JP2002054465A 2002-02-28 2002-02-28 Optical device and aligner Withdrawn JP2003257823A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002054465A JP2003257823A (en) 2002-02-28 2002-02-28 Optical device and aligner

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002054465A JP2003257823A (en) 2002-02-28 2002-02-28 Optical device and aligner

Publications (1)

Publication Number Publication Date
JP2003257823A true JP2003257823A (en) 2003-09-12

Family

ID=28665620

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002054465A Withdrawn JP2003257823A (en) 2002-02-28 2002-02-28 Optical device and aligner

Country Status (1)

Country Link
JP (1) JP2003257823A (en)

Similar Documents

Publication Publication Date Title
KR100805142B1 (en) Exposure method and system
US6614504B2 (en) Exposure apparatus, exposure method, and device manufacturing method
KR101013347B1 (en) Exposure method, exposure device, and device manufacturing method
WO1999025010A1 (en) Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
KR20010089431A (en) Optical device, exposure system, and laser beam source, and gas feed method, exposure method, and device manufacturing method
US6961113B1 (en) Exposure method and apparatus
EP1050900A1 (en) Exposure system, exposure apparatus, and coating developing exposure apparatus
US6707529B1 (en) Exposure method and apparatus
US20030047692A1 (en) Measuring method and measuring apparatus, exposure method and exposure apparatus
JP2004303808A (en) Aligner, exposure method, and film structure
JP2005064210A (en) Method for exposure, and method of manufacturing electronic device and exposure device utilizing the method
JPH11219902A (en) Aligner and device manufacturing apparatus
JP2003257826A (en) Optical device and aligner
JP2003257822A (en) Optical device and aligner
JP2003257821A (en) Optical device and aligner
JP2001068400A (en) Light absorbing substance detecting method, and exposure method and apparatus
JP2003257820A (en) Gas feed system, aligner, and filter
JP2003257823A (en) Optical device and aligner
KR20020019121A (en) Exposing method and apparatus
JP4273421B2 (en) Temperature control method and apparatus, and exposure method and apparatus
JP2005136263A (en) Aligner and gas supply method therefor
JP2003257825A (en) Optical device and aligner
JP2001102290A (en) Exposure method and aligner thereof
JP2004095654A (en) Aligner and device manufacturing method
TW571345B (en) Exposure device and manufacturing method for the same

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050510