JP2003124309A - Method of forming via and trench in copper dual damascene process - Google Patents

Method of forming via and trench in copper dual damascene process

Info

Publication number
JP2003124309A
JP2003124309A JP2001311185A JP2001311185A JP2003124309A JP 2003124309 A JP2003124309 A JP 2003124309A JP 2001311185 A JP2001311185 A JP 2001311185A JP 2001311185 A JP2001311185 A JP 2001311185A JP 2003124309 A JP2003124309 A JP 2003124309A
Authority
JP
Japan
Prior art keywords
dielectric layer
forming
photoresist pattern
sacrificial film
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001311185A
Other languages
Japanese (ja)
Inventor
Baijin Tei
鄭培仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Priority to JP2001311185A priority Critical patent/JP2003124309A/en
Publication of JP2003124309A publication Critical patent/JP2003124309A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Abstract

PROBLEM TO BE SOLVED: To form vias and trenches in a copper (Cu) dual damascene process. SOLUTION: First, a substrate 40 embedded with a copper conductive region 46 is formed and, successively, a dielectric layer 44 in sequence to form vias is deposited. Afterwards, the vias are filled with low dielectric constant materials 60 serving as sacrificial layers in a spin-coating process followed by using a chemical mechanical polishing or etching back to remove the excess low dielectric constant material. Another dielectric layer 80 is deposited and forms trenches 100 which are combined with the vias to complete a dual damascene structure. As the vias and the trenches are etched separately, the depth of focus can be controlled easily and a photoresist pattern can be defined completely so as to form a better etching profile for small dimension integrated circuits.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路装置の配
線形成方法、特に、銅デュアルダマシンプロセスにおけ
るビア及びトレンチの製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wiring forming method for an integrated circuit device, and more particularly to a via and trench manufacturing method in a copper dual damascene process.

【0002】[0002]

【従来の技術】集積回路(IC)プロセスの目的の一つ
は、IC寸法を縮小してICの集積度を上げ、製品コス
トを減らすことである。また、IC全体としての速度性
能は、トランジスタを用いた回路設計だけではなく、装
置内の金属配線と誘電体層間のビアにも依存する。速度
性能を左右する主要な理由に、トレンチの抵抗Rと金属
配線間の寄生容量Cが挙げられる。この技術分野ではよ
く知られていることであるが、RCの低い製品ほど遅延
時間が小さい。従って、アルミニウムを用いたプロセス
が銅を用いたプロセスに置き換えられる、すなわち、ビ
アとトレンチに銅が埋め込まれるプロセスが、アルミニ
ウムをエッチングする従来のプロセスに取って代わる傾
向にある。デュアルダマシンプロセスにおいては、ビア
とトレンチを形成することにより、IC内の速度性能を
効果的に向上させることができる。間違いなく、半導体
産業界の目標達成のための技術活動が世界中で展開され
る。
BACKGROUND OF THE INVENTION One of the goals of integrated circuit (IC) processes is to reduce IC size to increase IC integration and reduce product cost. In addition, the speed performance of the entire IC depends not only on the circuit design using the transistor but also on the via between the metal wiring and the dielectric layer in the device. The main reason that influences the speed performance is the resistance R of the trench and the parasitic capacitance C between the metal wirings. As is well known in the art, lower RC products have smaller delay times. Thus, aluminum-based processes are being replaced by copper-based processes, that is, vias and trenches filled with copper, tend to replace conventional processes for etching aluminum. In the dual damascene process, the formation of vias and trenches can effectively improve the speed performance within the IC. Undoubtedly, technological activities to achieve the goals of the semiconductor industry will be spread all over the world.

【0003】図1A及び図1Bを参照すると、デュアル
ダマシン構造において、トレンチを形成する前にまずビ
アが形成される。第1の誘電体層10は、IC領域(図
示せず)の上に堆積され、かつ、導電領域15の間に導
電領域の表面が第1の誘電体層10の表面と同一平面と
なるようにして埋め込まれる。第1のシリコン窒化物層
(SiN)11、第2の誘電体層12、第2のシリコン
窒化物層13、第3の誘電体層14が標準的な成長プロ
セスを用いて連続して形成される。次に、ビア16がエ
ッチング工程により第1のシリコン窒化物層11の上で
エッチングがストップすることにより形成され、さら
に、ビア16の間を、エッチングストッパーとしての第
2のシリコン窒化物層13が露出するまでエッチングし
て、ビア16の間にトレンチ17を形成する。このプロ
セスの欠点は、ビア16を形成する際に、3層、すなわ
ち、第2の誘電体層12、第2のシリコン窒化物層1
3、第3の誘電体層14が直接、かつ、連続してエッチ
ングされなければならならない点にある。ビア16及び
トレンチ17を含む開口のアスペクト比がより高くなっ
ているので、形成された開口を、エッチングされたビア
16の形状が外部に晒されないように、犠牲膜で埋め込
むことは困難である。このような構成では、トレンチ1
7を形成する際にビア16の形状が壊れてしまう。
Referring to FIGS. 1A and 1B, in a dual damascene structure, a via is first formed before forming a trench. The first dielectric layer 10 is deposited on the IC area (not shown), and the surface of the conductive area is flush with the surface of the first dielectric layer 10 between the conductive areas 15. To be embedded. A first silicon nitride layer (SiN) 11, a second dielectric layer 12, a second silicon nitride layer 13, and a third dielectric layer 14 are sequentially formed using a standard growth process. It Next, the via 16 is formed by stopping the etching on the first silicon nitride layer 11 by the etching process, and further, the second silicon nitride layer 13 as an etching stopper is formed between the vias 16. Etch until exposed to form trenches 17 between vias 16. The drawback of this process is that when forming the via 16, three layers are formed: the second dielectric layer 12, the second silicon nitride layer 1.
Third, the third dielectric layer 14 must be etched directly and continuously. Since the aspect ratio of the opening including the via 16 and the trench 17 is higher, it is difficult to fill the formed opening with a sacrificial film so that the shape of the etched via 16 is not exposed to the outside. In such a configuration, the trench 1
The shape of the via 16 is broken when forming 7.

【0004】図2A及び図2Bを参照すると、デュアル
ダマシン構造において、ビアを形成する前にまずトレン
チが形成される。このプロセスは、第2のシリコン窒化
物層22がエッチングストッパーとして働いてトレンチ
24が形成される工程から始まる点を除くと図1A及び
図1Bと同じであり、次に、ビア25の形成のためのエ
ッチングが行われ、エッチングが第1の誘電体層20の
上で止められる形でビア25が形成される。これらのプ
ロセスの欠点は、ビア25の形成中のフォトレジストの
誘電体層に対する選択比が低すぎると、形成されたトレ
ンチ24の形状が壊れるということである。また、種々
の誘電体層に対してフォトレジストパターンの焦点深度
を制御することは困難であり、露光及び現像にずれを生
じさせる。従って、従来の技術では、第2の誘電体層2
1と第3の誘電体層23との間に段差が形成されている
と、導電性配線工程を最小限に抑えることができない。
Referring to FIGS. 2A and 2B, in a dual damascene structure, a trench is first formed before forming a via. This process is the same as in FIGS. 1A and 1B except that the second silicon nitride layer 22 acts as an etching stopper to form the trench 24, and then for the formation of the via 25. Etching is performed to form vias 25 such that the etching is stopped on the first dielectric layer 20. The disadvantage of these processes is that if the selectivity of the photoresist to the dielectric layer during formation of the via 25 is too low, the shape of the formed trench 24 will be destroyed. Further, it is difficult to control the depth of focus of the photoresist pattern for various dielectric layers, which causes misalignment in exposure and development. Therefore, in the conventional technique, the second dielectric layer 2
If a step is formed between the first and third dielectric layers 23, the conductive wiring step cannot be minimized.

【0005】その結果、ビアのアスペクト比がより大き
くなってエッチング形状が悪くなり、ビア及びトレンチ
の形成前に多層の誘電体層が形成されているので焦点深
度が制御できなるという現象が生じ易い。
As a result, the aspect ratio of the via becomes larger, the etching shape becomes worse, and the phenomenon that the depth of focus cannot be controlled easily occurs because the multilayer dielectric layer is formed before the formation of the via and the trench. .

【0006】図3A及び図3Bを参照すると、デュアル
ダマシンプロセスにおいて、埋設ハードマスクがビア及
びトレンチを形成するために用いられる。第1の誘電体
層30がICの領域(図示せず)に堆積され、導電性領
域34内にその表面が第1の誘電体層30の表面と同一
平面となるように埋め込まれる。第1のシリコン窒化物
層31、第2の誘電体層32、シリコン窒化物層のよう
な埋設ハードマスク33が通常の堆積プロセスを用いて
連続して形成される。次工程に進んで、埋設ハードマス
ク33をエッチングして埋設ハードマスク33にビア3
5の幅と同じ幅の開口を形成した後、第3の誘電体層3
6を堆積し、第3の誘電体層36がトレンチ37とビア
35が別々に形成されるようにパターニングされる。こ
のプロセスの欠点は、図1A及び図1Bのプロセスに比
べて一方の誘電体層のエッチングを行わなくて済む点で
はあるが、第2の誘電体層32と第3の誘電体層36
が、同時にエッチングされなければならないことであ
る。埋設ハードマスク33の膜厚は、保護膜として十分
に厚くなければならない。
Referring to FIGS. 3A and 3B, in a dual damascene process, a buried hard mask is used to form vias and trenches. A first dielectric layer 30 is deposited in the area of the IC (not shown) and is embedded in the conductive area 34 such that its surface is flush with the surface of the first dielectric layer 30. A first silicon nitride layer 31, a second dielectric layer 32, and a buried hard mask 33 such as a silicon nitride layer are sequentially formed using a conventional deposition process. In the next step, the buried hard mask 33 is etched to form a via 3 in the buried hard mask 33.
After forming an opening with the same width as the width of the third dielectric layer 3
6 is deposited and the third dielectric layer 36 is patterned such that trenches 37 and vias 35 are formed separately. The disadvantage of this process is that it does not require etching of one dielectric layer as compared to the process of FIGS. 1A and 1B, but the second dielectric layer 32 and the third dielectric layer 36 are not.
However, it must be etched at the same time. The film thickness of the buried hard mask 33 must be sufficiently thick as a protective film.

【0007】[0007]

【発明が解決しようとする課題】従って、上記のような
構成によりビア及びトレンチを形成する従来の方法は、
次のような不利な点を有している:(a)より厚い誘電
体層を続けてエッチングするので、ビアを保護するため
の埋め込み能力を犠牲膜に求めるには限界がある;
(b)スピン塗布されたフォトレジストパターンの表面
は、誘電体層間の段差により一様な分布とはならない;
そして、(c)焦点深度が、誘電体層間に形成される段
差の影響により、後続の露光及び現像プロセスにおいて
制御できなくなるので、微小間隔を形成するには好まし
くない。
Therefore, the conventional method of forming vias and trenches with the above-described structure is as follows.
It has the following disadvantages: (a) There is a limit to the ability of the sacrificial film to have a buried capacity to protect the vias, as the thicker dielectric layer is subsequently etched;
(B) The surface of the spin-coated photoresist pattern does not have a uniform distribution due to the steps between the dielectric layers;
Further, (c) the depth of focus cannot be controlled in the subsequent exposure and development processes due to the influence of the step formed between the dielectric layers, which is not preferable for forming a minute gap.

【0008】[0008]

【課題を解決するための手段】本発明の主たる目的は、
デュアルダマシン構造を形成するための新規なプロセス
を提供することにある。犠牲膜及びフォトレジスト膜が
同時に除去される銅(Cu)デュアルダマシンプロセス
において、ビアは犠牲膜として作用する低誘電率材料に
より充填される。また、ビア及びトレンチは、誘電体層
に対応する形で別々のエッチングにより順に形成され
る。また、ビア及びトレンチの形状は、ビアとトレンチ
との間の焦点深度が制御可能なように平坦面を呈し、こ
れが、金属の多層間接続パターンを形成し、接続するの
に有利に作用する。
The main object of the present invention is to:
It is to provide a novel process for forming a dual damascene structure. In a copper (Cu) dual damascene process where the sacrificial film and the photoresist film are removed at the same time, the vias are filled with a low dielectric constant material that acts as a sacrificial film. Further, the via and the trench are sequentially formed by separate etching so as to correspond to the dielectric layer. In addition, the shape of the vias and trenches presents a flat surface so that the depth of focus between the vias and the trenches is controllable, which favorably forms and connects the metal multi-layer connection pattern.

【0009】本発明の好適な実施形態においては、ビア
及びトレンチは次の方法により形成される:(1)ま
ず、半導体基板を用意し、第1の誘電体層が堆積され、
第1の誘電体層の最上層表面と同一平面の表面を有する
導電性領域に埋め込まれる;(2)シリコン窒化物が導
電性領域及び第1の誘電体層を覆って堆積される;
(3)第2の誘電体層がシリコン窒化物層を覆って化学
的気相成長法(CVD)を用いて堆積される;(4)ビ
ア形状の決定に用いられる第1のフォトレジストパター
ンが第2の誘電体層を覆って形成される;(5)第1の
フォトレジストパターンが異方性エッチング工程を用い
て第2の誘電体層に転写され、シリコン窒化物がエッチ
ングストッパーとして働く;(6)第2の誘電体層の上
に犠牲膜が一様にスピン塗布される;(7)犠牲膜の余
分な部分が、例えば、化学機械的研磨法(CMP)また
はエッチバックのような平坦化プロセスを用いて第2の
誘電体層が露出するまで除去され、平坦面が形成され
る;(8)トレンチをエッチングするための誘電体層と
しての第3の誘電体層が、CVD法により平坦面上に堆
積される;(9)第2のフォトレジストパターンがトレ
ンチ形状の決定のために第3の誘電体層を覆って堆積さ
れる;(10)第2のフォトレジストパターンが異方性
エッチング工程を用いて第3の誘電体層に転写される;
そして、(11)第3の誘電体層上の第2のフォトレジ
ストパターン及びビア内の犠牲膜は、同時に除去されて
ビア及びトレンチを有するデュアルダマシン構造が形成
される。
In the preferred embodiment of the present invention, the vias and trenches are formed by the following methods: (1) First, a semiconductor substrate is prepared and a first dielectric layer is deposited;
Embedded in a conductive region having a surface coplanar with the top surface of the first dielectric layer; (2) silicon nitride is deposited over the conductive region and the first dielectric layer;
(3) A second dielectric layer is deposited over the silicon nitride layer using chemical vapor deposition (CVD); (4) a first photoresist pattern used to determine the via shape. Formed over the second dielectric layer; (5) the first photoresist pattern is transferred to the second dielectric layer using an anisotropic etching process, and silicon nitride acts as an etch stopper; (6) A sacrificial film is evenly spin-coated on the second dielectric layer; (7) the extra portion of the sacrificial film is exposed, for example, by chemical mechanical polishing (CMP) or etchback. A planarization process is used to remove the second dielectric layer until it is exposed to form a planar surface; (8) a third dielectric layer as a dielectric layer for etching the trench is CVD method. Deposited on a flat surface by (9) Photoresist pattern is deposited over the third dielectric layer for trench shape determination; (10) a second photoresist pattern is formed on the third dielectric layer using an anisotropic etching process. Transcribed;
Then, (11) the second photoresist pattern on the third dielectric layer and the sacrificial film in the via are simultaneously removed to form a dual damascene structure having the via and the trench.

【0010】その結果、本発明によれば、デュアルダマ
シンプロセスにおいて、ビアが犠牲膜として機能する低
誘電率材料により充填され、フォトレジストパターンと
同時に除去されてビア及びトレンチが形成されるので、
多層構造の金属接続部の形成及び金属接続部間の接続を
容易とし、上記発明の背景において列挙された欠点を改
善し、デバイスの微細化配線が可能となる。この発明の
上述の特徴及びそれに伴う多くの利点は、次の詳細な説
明を添付の図面と共に参照することにより一層、かつ、
すみやかに理解されることとなろう。
As a result, according to the present invention, in the dual damascene process, the vias are filled with the low dielectric constant material functioning as a sacrificial film and are removed simultaneously with the photoresist pattern to form the vias and trenches.
It facilitates the formation of a metal connection portion having a multi-layer structure and the connection between the metal connection portions, improves the drawbacks listed in the background of the invention, and enables fine wiring of a device. The foregoing features of the invention and many of the attendant advantages thereof will be further understood by reference to the following detailed description in conjunction with the accompanying drawings, and
It will be understood promptly.

【0011】[0011]

【発明の実施の形態】銅デュアルダマシンプロセスにお
けるビア及びトレンチの上述の従来技術を鑑みると、そ
こには常に問題が内在する。本発明は、これらの問題を
解決する効果的な解決方法を提供する。本発明において
は、銅デュアルダマシンが基板上に形成され、低誘電率
材料がビア及びトレンチ形成用犠牲膜として用いられ、
犠牲膜はフォトレジストパターンと同時に除去される。
DETAILED DESCRIPTION OF THE INVENTION Given the above-described prior art of vias and trenches in a copper dual damascene process, there is always an inherent problem. The present invention provides an effective solution to these problems. In the present invention, a copper dual damascene is formed on a substrate, a low dielectric constant material is used as a sacrificial film for forming vias and trenches,
The sacrificial film is removed at the same time as the photoresist pattern.

【0012】図4を参照すると、シリコン窒化物及び第
2の誘電体層が集積回路(図示せず)を含む半導体基板
の上に別々に堆積される。第1の誘電体層40が半導体
基板上に堆積され、導電性領域の表面が第1の誘電体層
40と同一平面となるように導電性領域46に埋め込ま
れる。また、導電性領域46は、例えば、ゲート領域、
ソース/ドレイン領域または配線金属であり、導電性領
域46の材料は、銅またはアルミニウムである。
Referring to FIG. 4, silicon nitride and a second dielectric layer are separately deposited on a semiconductor substrate containing integrated circuits (not shown). A first dielectric layer 40 is deposited on the semiconductor substrate and buried in the conductive region 46 such that the surface of the conductive region is flush with the first dielectric layer 40. The conductive region 46 is, for example, a gate region,
Source / drain regions or wiring metal, and the material of the conductive region 46 is copper or aluminum.

【0013】さらに図4を参照すると、本発明の好適な
形態においては、膜厚300オングストローム(Å)か
ら1000オングストローム(Å)のシリコン窒化物4
2がまず第1の誘電体層40の上に堆積される。次に、
第2の誘電体層44が化学的気相成長法(CVD)を用
いて形成される。シリコン窒化物42は銅の拡散に対す
るバリア層として用いられる。シリコン窒化物42の上
に第2の誘電体層44が堆積された後、第1のフォトレ
ジストパターン(図示せず)が、膜厚3000オングス
トローム(Å)から7000オングストローム(Å)の
第2の誘電体層44の上に、ビア形状を決定するために
形成される。第2の誘電体層44は、シリコン酸化物
(SiOX)及びフッ化珪酸塩ガラスからなる群から選
択される一つの材料からなる。シリコン窒化物(Si
N)42は、銅の拡散に対するバリア層に適した材料と
しての炭化珪素に置き換えることも可能である。
Still referring to FIG. 4, in a preferred embodiment of the present invention, a silicon nitride 4 having a film thickness of 300 Å (Å) to 1000 Å (Å).
2 is first deposited on the first dielectric layer 40. next,
The second dielectric layer 44 is formed using chemical vapor deposition (CVD). Silicon nitride 42 is used as a barrier layer against copper diffusion. After the second dielectric layer 44 is deposited on the silicon nitride 42, a first photoresist pattern (not shown) is formed on the second nitride layer 44 having a film thickness of 3000 angstroms (Å) to 7000 angstroms (Å). Formed on the dielectric layer 44 to determine the via shape. The second dielectric layer 44 is made of one material selected from the group consisting of silicon oxide (SiO x ) and fluorosilicate glass. Silicon nitride (Si
N) 42 can also be replaced by silicon carbide as a suitable material for the barrier layer against diffusion of copper.

【0014】図5を参照すると、ビアは第2の誘電体層
に異方性エッチング工程を用いて形成される。マスクか
ら転写された第1のフォトレジストパターンは、異方性
エッチング工程により第2の誘電体層44に転写され、
シリコン窒化物42はエッチングストッパーとして機能
する。次に、第1のフォトレジストパターンが除去され
るとビア50が形成される。この工程において、シリコ
ン窒化物42は選択的にエッチングされるか、またはエ
ッチングされない。次のエッチング工程で有利なこと
は、シリコン窒化物が導電性領域46をダメージから保
護することができることである。エッチングに用いられ
る混合ガスは、C48、CF4、CHF3、N2、Ar、
COの混合物である。
Referring to FIG. 5, vias are formed in the second dielectric layer using an anisotropic etching process. The first photoresist pattern transferred from the mask is transferred to the second dielectric layer 44 by an anisotropic etching process,
The silicon nitride 42 functions as an etching stopper. Then, the via 50 is formed when the first photoresist pattern is removed. In this step, the silicon nitride 42 is selectively etched or not etched. An advantage of the next etching step is that silicon nitride can protect the conductive regions 46 from damage. The mixed gas used for etching is C 4 F 8 , CF 4 , CHF 3 , N 2 , Ar,
It is a mixture of CO.

【0015】図6を参照すると、犠牲膜がビアの上及び
第2の誘電体層の表面上にスピン塗布される。膜厚40
00オングストローム(Å)から8000オングストロ
ーム(Å)の犠牲膜60が、第2の誘電体層44の上に
ビア50を埋め込むために一様にスピン塗布され、フォ
トレジストパターンと同時に除去される。本発明の好適
な形態においては、FLAREやSiLKのような低誘
電率の有機材料が、ビア50及びトレンチを形成するた
めに犠牲膜として導入される。また、2つの層のエッチ
ング選択比は、第2の誘電体層44またはシリコン窒化
物層42よりも大きい。従って、2つの有機材料は、第
2の誘電体層44、シリコン窒化物層42及びビア50
の形状をより良い状態に保つための保護膜として機能す
ることができる。加えて、2つの有機材料は、400℃
から450℃の下でもなおより良好な特性を維持するの
で、堆積プロセス中の高温ダメージに耐えられ、また、
平坦性の良い一様なスピン塗布表面を形成する。
Referring to FIG. 6, a sacrificial film is spin coated on the via and on the surface of the second dielectric layer. Film thickness 40
A sacrificial film 60 of 00 angstroms (Å) to 8000 angstroms (Å) is uniformly spin-coated to fill the vias 50 on the second dielectric layer 44 and removed simultaneously with the photoresist pattern. In the preferred form of the invention, a low dielectric constant organic material such as FLARE or SiLK is introduced as a sacrificial film to form the vias 50 and trenches. Also, the etching selectivity of the two layers is greater than that of the second dielectric layer 44 or the silicon nitride layer 42. Therefore, the two organic materials are the second dielectric layer 44, the silicon nitride layer 42 and the via 50.
Can function as a protective film for keeping the shape of the shape better. In addition, the two organic materials are 400 ℃
It retains better properties even at temperatures from ~ 450 ° C, so it can withstand high temperature damage during the deposition process, and
Form a uniform spin-coated surface with good flatness.

【0016】図7を参照すると、犠牲膜の余分な部分は
平坦化プロセスを用いて除去される。犠牲膜60の余分
な部分は、CMPやエッチバックのような平坦化プロセ
スを用いて第2の誘電体層44が露出するまで除去さ
れ、平坦面70が形成される。
Referring to FIG. 7, the excess portion of the sacrificial film is removed using a planarization process. The excess portion of sacrificial film 60 is removed using a planarization process such as CMP or etchback until the second dielectric layer 44 is exposed, forming a flat surface 70.

【0017】図8を参照すると、第3の誘電体層が平坦
面の上に堆積される。膜厚3000オングストローム
(Å)から7000オングストローム(Å)の第3の誘
電体層80は、誘電体層により構成されるトレンチをエ
ッチングにより形成するために、CVD法を用いて平坦
面70の上に堆積される。第3の誘電体層80は、Si
X、FSG、PSGからなる群から選択される一つの
材料からなる。
Referring to FIG. 8, a third dielectric layer is deposited on the flat surface. The third dielectric layer 80 having a film thickness of 3000 angstroms (Å) to 7000 angstroms (Å) is formed on the flat surface 70 by using a CVD method in order to form a trench composed of the dielectric layers by etching. Is deposited. The third dielectric layer 80 is Si
O X, consisting of one material selected FSG, from the group consisting of PSG.

【0018】図9を参照すると、トレンチは第2のフォ
トレジストパターンにより完全に決定される。第2のフ
ォトレジストパターン90はトレンチ形状を決定すべく
第3の誘電体層80の上に形成される。第3の誘電体層
80は、かなり良好な平坦面を示すので、その上に形成
される第2のフォトレジストパターン90がトレンチの
形成にとって良好なパターンとなり得る。
Referring to FIG. 9, the trench is completely defined by the second photoresist pattern. A second photoresist pattern 90 is formed on the third dielectric layer 80 to define the trench shape. The third dielectric layer 80 exhibits a fairly good flat surface so that the second photoresist pattern 90 formed thereon can be a good pattern for trench formation.

【0019】図10を参照すると、異方性エッチング工
程を使用して、第2のフォトレジストパターンを(第3
の誘電体層80に)転写する。異方性エッチング工程
は、マスクから転写された第2のフォトレジストパター
ン90を第3の誘電体層80への転写するために用いら
れ、犠牲膜60がエッチングストッパーとして機能して
いる間にトレンチ100が形成される。
Referring to FIG. 10, a second photoresist pattern (third layer) is formed using an anisotropic etching process.
(To the dielectric layer 80). The anisotropic etching process is used to transfer the second photoresist pattern 90 transferred from the mask to the third dielectric layer 80, while the sacrificial film 60 functions as an etching stopper. 100 is formed.

【0020】図11を参照すると、犠牲膜の余分な部分
及び第2のフォトレジストパターンは同時に除去され
る。第3の誘電体層80上の第2のフォトレジストパタ
ーン90及びビア50内の犠牲膜60は同時に除去され
て、ビア50及びトレンチ100を含むデュアルダマシ
ン構造が形成される。第2のフォトレジストパターン9
0及び犠牲膜60を同時に除去できれば、ICプロセス
全体を簡素化するとともに、デバイス特性を劣化させる
犠牲膜がデバイス内に残らない、という点で有利であ
る。第2のフォトレジストパターン90及び犠牲膜60
の除去に用いられるアッシングガスにはO2が用いられ
る。
Referring to FIG. 11, the extra portion of the sacrificial film and the second photoresist pattern are simultaneously removed. The second photoresist pattern 90 on the third dielectric layer 80 and the sacrificial film 60 in the via 50 are simultaneously removed to form a dual damascene structure including the via 50 and the trench 100. Second photoresist pattern 9
If 0 and the sacrificial film 60 can be removed at the same time, it is advantageous in that the entire IC process is simplified and the sacrificial film that deteriorates the device characteristics does not remain in the device. Second photoresist pattern 90 and sacrificial film 60
O 2 is used as the ashing gas for removing the oxygen.

【0021】以上に開示された本発明の銅デュアルダマ
シンプロセスのビア及びトレンチの形成方法は、次のよ
うな特長を有する:(1)ビア及びトレンチが別々のエ
ッチング工程により形成されるので、欠陥を生じさせる
ことなくビア内に犠牲膜材料を容易に充填することが可
能となる;(2)ビア及びトレンチを形成するプロセス
において、フォトレジストパターンが完全に平坦な表面
にスピン塗布されるので、露光及び現像に有利となる均
一なフォトレジストパターンの形成が可能となる;
(3)誘電体層上に段差が形成されないので、焦点深度
を制御して、より良好なフォトレジストパターンを形成
することができる;そして、(4)ビア内の犠牲膜の誘
電体層に対する選択比は一層高いので、形成されたビア
形状が保護されることとなる。
The method of forming vias and trenches in the copper dual damascene process of the present invention disclosed above has the following features: (1) Defects because the vias and trenches are formed by separate etching processes. It is possible to easily fill the via with sacrificial film material without causing: (2) In the process of forming the via and the trench, the photoresist pattern is spin-coated on a perfectly flat surface, It enables formation of a uniform photoresist pattern that is advantageous for exposure and development;
(3) Since the step is not formed on the dielectric layer, the depth of focus can be controlled to form a better photoresist pattern; and (4) the selection of the sacrificial film in the via with respect to the dielectric layer. Since the ratio is higher, the formed via shape will be protected.

【0022】本技術分野に係わる当業者にとっては明白
なことであるが、上述した本発明の好適な実施例は、本
発明を限定するものではない。添付の請求項の精神及び
範囲内の種々の変形例及び類似形態は、本発明の意図す
るところの技術思想に含まれるものであり、本発明の請
求項の技術範囲は、このような変形例及び類似の構造す
べてをその範囲に含むべく、最大限の広い解釈がなされ
るべきものである。
As will be apparent to those skilled in the art, the preferred embodiments of the invention described above are not intended to limit the invention. Various modifications and similar forms within the spirit and scope of the appended claims are included in the technical concept intended by the present invention, and the technical scope of the claims of the present invention include such modifications. And all similar structures should be broadly interpreted to include within its scope.

【図面の簡単な説明】[Brief description of drawings]

【図1】 図1A及び図1Bは、デュアルダマシンプロ
セスにおいてビアがまず、トレンチの形成前に形成され
る従来技術による半導体基板の断面図である。
1A and 1B are cross-sectional views of a prior art semiconductor substrate in which a via is first formed in a dual damascene process prior to trench formation.

【図2】 図2A及び図2Bは、デュアルダマシンプロ
セスにおいてトレンチがまず、ビアの形成前に形成され
る従来技術による半導体基板の断面図である。
2A and 2B are cross-sectional views of a prior art semiconductor substrate in which a trench is first formed in a dual damascene process prior to the formation of vias.

【図3】 図3A及び図3Bは、デュアルダマシンプロ
セスにおいて埋め込みハードマスクがビア及びトレンチ
の形成に用いられる従来技術による半導体基板の断面図
である。
3A and 3B are cross-sectional views of a prior art semiconductor substrate in which a buried hard mask is used to form vias and trenches in a dual damascene process.

【図4】 本発明による、シリコン窒化物及び第2の誘
電体層が、集積回路(図示せず)を含む半導体基板の上
に別々に堆積される様子を示す。
FIG. 4 illustrates that a silicon nitride and a second dielectric layer according to the present invention are separately deposited on a semiconductor substrate containing an integrated circuit (not shown).

【図5】 本発明による、異方性エッチング工程を用い
て第2の誘電体層にビアが形成される様子を示す。
FIG. 5 illustrates a via formed in a second dielectric layer using an anisotropic etching process according to the present invention.

【図6】 本発明による、犠牲膜がビアの上及び第2の
誘電体層の表面上にスピン塗布される様子を示す。
FIG. 6 illustrates how a sacrificial film is spin coated over the via and over the surface of the second dielectric layer according to the present invention.

【図7】 本発明による、犠牲膜の余分な部分が平坦化
プロセスを用いて除去される様子を示す。
FIG. 7 illustrates how an excess portion of a sacrificial film is removed using a planarization process according to the present invention.

【図8】 本発明による、第3の誘電体層が、平坦化さ
れた表面上に堆積される様子を示す。
FIG. 8 illustrates how a third dielectric layer according to the present invention is deposited on a planarized surface.

【図9】 本発明による、トレンチの形状が、第2のフ
ォトレジストパターンにより完全に決定される様子を示
す。
FIG. 9 shows how the shape of the trench is completely determined by the second photoresist pattern according to the present invention.

【図10】 本発明による、第2のフォトレジストパタ
ーンを転写してトレンチを形成する際に、異方性エッチ
ング工程が用いられる様子を示す。
FIG. 10 illustrates how an anisotropic etching process is used to form a trench by transferring a second photoresist pattern according to the present invention.

【図11】 本発明による、犠牲膜の余分な部分及び第
2のフォトレジストパターンが同時に除去される様子を
示す。
FIG. 11 illustrates a state in which an excess portion of a sacrificial film and a second photoresist pattern are simultaneously removed according to the present invention.

【符号の説明】[Explanation of symbols]

40 第1の誘電体層 42 シリコン窒化物 44 第2の誘電体層 46 導電性領域 50 ビア 60 犠牲膜 80 第3の誘電体層 90 第2のフォトレジストパターン 100 トレンチ 40 First dielectric layer 42 Silicon nitride 44 Second dielectric layer 46 Conductive area 50 vias 60 sacrificial film 80 Third dielectric layer 90 Second photoresist pattern 100 trench

Claims (29)

【特許請求の範囲】[Claims] 【請求項1】銅(Cu)デュアルダマシンプロセスにお
いて、基板の上にビア及びトレンチを形成する方法であ
って、 導電性領域を有する第1の誘電体層が堆積された半導体
基板を、前記第1の誘電体層の表面と前記導電性領域の
表面とが同一平面をなす形状に形成する工程と、 前記導電性領域及び前記第1の誘電体層を覆ってシリコ
ン窒化物(SiN)層を形成する工程と、 前記シリコン窒化物層の上に第2の誘電体層を形成する
工程と、 前記第2の誘電体層にビアを形成する工程と、 前記ビア及び前記第2の誘電体層の表面を埋めるために
犠牲膜をスピン塗布する工程と、 平坦化プロセスを適用して前記犠牲膜の余分な部分を除
去し、平坦面を形成する工程と、 前記平坦面の上に第3の誘電体層を形成する工程と、 前記第3の誘電体層にトレンチを形成する工程と、を有
する方法。
1. A method of forming vias and trenches on a substrate in a copper (Cu) dual damascene process, the method comprising: forming a semiconductor substrate on which a first dielectric layer having a conductive region is deposited; A step of forming the surface of the first dielectric layer and the surface of the conductive region in the same plane; and a silicon nitride (SiN) layer covering the conductive region and the first dielectric layer. Forming, forming a second dielectric layer on the silicon nitride layer, forming a via in the second dielectric layer, forming the via and the second dielectric layer Spin-coating a sacrificial film to fill the surface of the substrate, applying a planarization process to remove excess portions of the sacrificial film to form a planar surface, and a third step on the planar surface. A step of forming a dielectric layer, and the third dielectric layer The method comprising the steps of forming a trench, the.
【請求項2】前記シリコン窒化物層は炭化珪素膜に置き
換えられる請求項1に記載の方法。
2. The method of claim 1, wherein the silicon nitride layer is replaced with a silicon carbide film.
【請求項3】前記第2の誘電体層は、SiOX及びFS
Gを含む群から選択される一つの材料からなる請求項1
に記載の方法。
3. The second dielectric layer comprises SiO x and FS.
A material comprising one material selected from the group containing G.
The method described in.
【請求項4】前記第2の誘電体層は、3000(Å)か
ら7000(Å)の範囲の膜厚を有する請求項1に記載
の方法。
4. The method of claim 1, wherein the second dielectric layer has a thickness in the range of 3000 (Å) to 7000 (Å).
【請求項5】前記ビアの形成工程はさらに、 前記ビアを決定するために前記第2の誘電体層の上に第
1のフォトレジストパターンを形成する工程と、 前記第1のフォトレジストパターンをマスクとし、前記
窒化物層をエッチングストッパーとして用いて、前記第
2の誘電体層の異方性エッチングを行う工程と、 前記第1のフォトレジストパターンを除去して前記ビア
を形成する工程と、を有する請求項1に記載の方法。
5. The step of forming a via further comprises: forming a via on the second dielectric layer to define the via.
A step of forming a first photoresist pattern; a step of anisotropically etching the second dielectric layer using the first photoresist pattern as a mask and the nitride layer as an etching stopper; Removing the first photoresist pattern to form the via.
【請求項6】前記犠牲膜は低誘電率の有機材料である請
求項1に記載の方法。
6. The method according to claim 1, wherein the sacrificial film is an organic material having a low dielectric constant.
【請求項7】前記低誘電率の有機材料はFLAREであ
る請求項6に記載の方法。
7. The method of claim 6, wherein the low dielectric constant organic material is FLARE.
【請求項8】前記低誘電率の有機材料はSiLKである
請求項6に記載の方法。
8. The method of claim 6, wherein the low dielectric constant organic material is SiLK.
【請求項9】前記犠牲膜は、4000(Å)から800
0(Å)の範囲の膜厚を有する請求項1に記載の方法。
9. The sacrificial film is from 4000 (Å) to 800.
The method according to claim 1, having a film thickness in the range of 0 (Å).
【請求項10】前記平坦化プロセスは化学機械的研磨
(CMP)法である請求項1に記載の方法。
10. The method of claim 1, wherein the planarization process is a chemical mechanical polishing (CMP) method.
【請求項11】前記平坦化プロセスはエッチバックであ
る請求項1に記載の方法。
11. The method of claim 1, wherein the planarization process is etchback.
【請求項12】前記平坦化プロセスは、前記第2の誘電
体層が露出するまで行われる請求項1に記載の方法。
12. The method of claim 1, wherein the planarization process is performed until the second dielectric layer is exposed.
【請求項13】前記第3の誘電体層は、SiOX及びF
SGを含む群から選択される一つの材料からなる請求項
1に記載の方法。
13. The third dielectric layer comprises SiO x and F.
The method of claim 1 comprising one material selected from the group comprising SG.
【請求項14】前記第3の誘電体層は、3000(Å)
から7000(Å)の範囲の膜厚を有する請求項1に記
載の方法。
14. The third dielectric layer is 3000 (Å)
The method according to claim 1, having a film thickness in the range of 1 to 7000 (Å).
【請求項15】前記トレンチ工程の前記形成は、さら
に、 前記トレンチを決定するために第2のフォトレジストパ
ターンを前記第3の誘電体層上に形成する工程と、 前記第1のフォトレジストパターンをマスクとし、前記
犠牲膜をエッチングストッパーとして用いて、前記第3
の誘電体層の異方性エッチングを行う工程と、 前記ビア内の前記犠牲膜を除去する工程と、を有する請
求項1に記載の方法。
15. The forming of the trench step further comprises forming a second photoresist pattern on the third dielectric layer to define the trench, and the first photoresist pattern. Is used as a mask, and the sacrificial film is used as an etching stopper.
The method of claim 1, further comprising: anisotropically etching the dielectric layer of, and removing the sacrificial film in the via.
【請求項16】銅デュアルダマシンプロセスにおいて、
基板上にビア及びトレンチを形成する方法であって、 導電性領域を有する第1の誘電体層が堆積された半導体
基板を、前記第1の誘電体層の表面と前記導電性領域の
表面とが同一平面をなす形状に形成する工程と、 前記導電性領域及び前記第1の誘電体層を覆ってシリコ
ン窒化物層を形成する工程と、 前記シリコン窒化物層の上に第2の誘電体層を形成する
工程と、 前記第2の誘電体層にビアを形成する工程と、 前記ビア及び前記第2の誘電体層の表面を埋めるために
犠牲膜をスピン塗布する工程と、 平坦化プロセスを適用して前記犠牲膜の余分な部分を除
去し、平坦面を形成する工程と、 前記平坦面の上に第3の誘電体層を形成する工程と、 前記第3の誘電体層の上に前記トレンチを決定する第2
のフォトレジストパターンを形成する工程と、 前記犠牲膜をエッチングストッパーとして用いて前記第
2のフォトレジストパターンを転写するために前記第3
の誘電体層の異方性エッチングを行う工程と、 前記第2のフォトレジストパターン及び前記ビア内の前
記犠牲膜を同時に除去して、前記ビア及び前記トレンチ
を含むデュアルダマシン構造を形成する工程と、を有す
る方法。
16. In a copper dual damascene process,
A method of forming vias and trenches on a substrate, comprising: a semiconductor substrate on which a first dielectric layer having a conductive region is deposited, a surface of the first dielectric layer and a surface of the conductive region. Forming the same planar shape, a step of forming a silicon nitride layer covering the conductive region and the first dielectric layer, and a second dielectric layer on the silicon nitride layer. A step of forming a layer, a step of forming a via in the second dielectric layer, a step of spin-coating a sacrificial film to fill the surfaces of the via and the second dielectric layer, and a planarization process Is applied to remove the excess portion of the sacrificial film to form a flat surface, a step of forming a third dielectric layer on the flat surface, and a step of forming a third dielectric layer on the flat surface. Second to determine the trench to
Forming a photoresist pattern, and using the sacrificial film as an etching stopper to transfer the second photoresist pattern to the third photoresist pattern.
Anisotropic etching of the dielectric layer, and simultaneously removing the sacrificial film in the second photoresist pattern and the via to form a dual damascene structure including the via and the trench. A method having ,.
【請求項17】前記シリコン窒化物層は炭化珪素膜に置
き換えられる請求項16に記載の方法。
17. The method of claim 16, wherein the silicon nitride layer is replaced with a silicon carbide film.
【請求項18】前記第2の誘電体層は、SiOX及びF
SGを含む群から選択される一つの材料からなる請求項
16に記載の方法。
18. The second dielectric layer comprises SiO x and F.
The method according to claim 16, comprising one material selected from the group comprising SG.
【請求項19】前記第2の誘電体層は、3000(Å)
から7000(Å)の範囲の膜厚を有する請求項16に
記載の方法。
19. The second dielectric layer is 3000 (Å)
17. The method according to claim 16, having a film thickness in the range of 1 to 7000 (Å).
【請求項20】前記ビアの形成工程はさらに、 前記ビアを決定するために前記第2の誘電体層の上に第
1のフォトレジストパターンを形成する工程と、 前記第1のフォトレジストパターンをマスクとし、前記
シリコン窒化物層をエッチングストッパーとして用い
て、前記第2の誘電体層の異方性エッチングを行う工程
と、 前記第1のフォトレジストパターンを除去して前記ビア
を形成する工程と、を有する請求項16に記載の方法。
20. The step of forming a via further comprises: forming a via on the second dielectric layer to define the via.
A step of forming a first photoresist pattern; and a step of anisotropically etching the second dielectric layer using the first photoresist pattern as a mask and the silicon nitride layer as an etching stopper. 17. Removing the first photoresist pattern to form the via.
【請求項21】前記犠牲膜は低誘電率の有機材料である
請求項16に記載の方法。
21. The method of claim 16, wherein the sacrificial film is a low dielectric constant organic material.
【請求項22】前記低誘電率の有機材料はFLAREで
ある請求項21に記載の方法。
22. The method of claim 21, wherein the low dielectric constant organic material is FLARE.
【請求項23】前記低誘電率の有機材料はSiLKであ
る請求項21に記載の方法。
23. The method of claim 21, wherein the low dielectric constant organic material is SiLK.
【請求項24】前記犠牲膜は、4000(Å)から80
00(Å)の範囲の膜厚を有する請求項16に記載の方
法。
24. The sacrificial film is from 4000 (Å) to 80.
The method according to claim 16, having a film thickness in the range of 00 (Å).
【請求項25】前記平坦化プロセスは化学機械的研磨
(CMP)法である請求項16に記載の方法。
25. The method of claim 16, wherein the planarization process is a chemical mechanical polishing (CMP) method.
【請求項26】前記平坦化プロセスはエッチバックであ
る請求項16に記載の方法。
26. The method of claim 16, wherein the planarization process is etchback.
【請求項27】前記平坦化プロセスは、前記第2の誘電
体層が露出するまで行われる請求項16に記載の方法。
27. The method of claim 16, wherein the planarization process is performed until the second dielectric layer is exposed.
【請求項28】前記第3の誘電体層は、SiOX及びF
SGを含むグループから選択される一つの材料からなる
請求項16に記載の方法。
28. The third dielectric layer comprises SiO x and F.
The method according to claim 16, comprising one material selected from the group comprising SG.
【請求項29】前記第3の誘電体層は、3000(Å)
から7000(Å)の範囲の膜厚を有する請求項16に
記載の方法。
29. The third dielectric layer is 3000 (Å)
17. The method according to claim 16, having a film thickness in the range of 1 to 7000 (Å).
JP2001311185A 2001-10-09 2001-10-09 Method of forming via and trench in copper dual damascene process Pending JP2003124309A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001311185A JP2003124309A (en) 2001-10-09 2001-10-09 Method of forming via and trench in copper dual damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001311185A JP2003124309A (en) 2001-10-09 2001-10-09 Method of forming via and trench in copper dual damascene process

Publications (1)

Publication Number Publication Date
JP2003124309A true JP2003124309A (en) 2003-04-25

Family

ID=19130048

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001311185A Pending JP2003124309A (en) 2001-10-09 2001-10-09 Method of forming via and trench in copper dual damascene process

Country Status (1)

Country Link
JP (1) JP2003124309A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006032919A (en) * 2004-07-21 2006-02-02 Hynix Semiconductor Inc Method of forming storage node contact of semiconductor device
KR100643568B1 (en) 2004-06-30 2006-11-10 주식회사 하이닉스반도체 Method for fabrication of deep contact hole in semiconductor device
JP2007242966A (en) * 2006-03-09 2007-09-20 Consortium For Advanced Semiconductor Materials & Related Technologies Method for forming wiring for semiconductor device
WO2008044181A1 (en) * 2006-10-09 2008-04-17 Nxp B.V. Method of forming an interconnect structure
US7365021B2 (en) 2004-05-19 2008-04-29 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
CN101661888B (en) * 2008-08-25 2011-06-01 上海华虹Nec电子有限公司 Method for preparing source-drain injection structures in semiconductor devices
CN101661887B (en) * 2008-08-25 2012-04-18 上海华虹Nec电子有限公司 Method for preparing source-drain injection structures
KR101164974B1 (en) 2010-12-15 2012-07-12 에스케이하이닉스 주식회사 Method for fabricating semiconductor device with buried gate
US20180138077A1 (en) * 2015-12-30 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365021B2 (en) 2004-05-19 2008-04-29 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
KR100643568B1 (en) 2004-06-30 2006-11-10 주식회사 하이닉스반도체 Method for fabrication of deep contact hole in semiconductor device
JP2006032919A (en) * 2004-07-21 2006-02-02 Hynix Semiconductor Inc Method of forming storage node contact of semiconductor device
JP2007242966A (en) * 2006-03-09 2007-09-20 Consortium For Advanced Semiconductor Materials & Related Technologies Method for forming wiring for semiconductor device
WO2008044181A1 (en) * 2006-10-09 2008-04-17 Nxp B.V. Method of forming an interconnect structure
US7790606B2 (en) 2006-10-09 2010-09-07 Nxp B.V. Method of forming an interconnect structure
CN101661888B (en) * 2008-08-25 2011-06-01 上海华虹Nec电子有限公司 Method for preparing source-drain injection structures in semiconductor devices
CN101661887B (en) * 2008-08-25 2012-04-18 上海华虹Nec电子有限公司 Method for preparing source-drain injection structures
KR101164974B1 (en) 2010-12-15 2012-07-12 에스케이하이닉스 주식회사 Method for fabricating semiconductor device with buried gate
US8623727B2 (en) 2010-12-15 2014-01-07 Hynix Semiconductor Inc. Method for fabricating semiconductor device with buried gate
US20180138077A1 (en) * 2015-12-30 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure
US11075112B2 (en) * 2015-12-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Similar Documents

Publication Publication Date Title
KR100421055B1 (en) Method for forming metal interconnection layer of semiconductor device
JP3510942B2 (en) Semiconductor element wiring forming method
US7473635B2 (en) Method for manufacturing semiconductor device
JP3305251B2 (en) Method of forming wiring structure
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
JP4005431B2 (en) Wiring formation method using dual damascene process
JP2000174123A (en) Semiconductor device and manufacture thereof
US5792705A (en) Optimized planarization process for SOG filled vias
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
JPH10189716A (en) Semiconductor device and manufacturing method thereof
KR20000077104A (en) Yield improvement of dual damascene fabrication through oxide filling
US6114233A (en) Dual damascene process using low-dielectric constant materials
US20200161180A1 (en) Tall trenches for via chamferless and self forming barrier
US6627540B2 (en) Method for forming dual damascene structure in semiconductor device
US6774031B2 (en) Method of forming dual-damascene structure
JP2003124309A (en) Method of forming via and trench in copper dual damascene process
JP3312604B2 (en) Method for manufacturing semiconductor device
US6821896B1 (en) Method to eliminate via poison effect
JPH11162982A (en) Manufacture of semiconductor device
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
US6835645B2 (en) Method for fabricating semiconductor device
JPH1041385A (en) Semiconductor device and manufacture thereof
JP3221411B2 (en) Method for manufacturing semiconductor device
KR100475536B1 (en) Method of manufacturing a semiconductor device
KR100497776B1 (en) Multi-layer fabrication technique for semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051004

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060329