JP2002351049A - Photomask and method for producing the same - Google Patents

Photomask and method for producing the same

Info

Publication number
JP2002351049A
JP2002351049A JP2001161593A JP2001161593A JP2002351049A JP 2002351049 A JP2002351049 A JP 2002351049A JP 2001161593 A JP2001161593 A JP 2001161593A JP 2001161593 A JP2001161593 A JP 2001161593A JP 2002351049 A JP2002351049 A JP 2002351049A
Authority
JP
Japan
Prior art keywords
film
light
phase shifter
transparent substrate
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001161593A
Other languages
Japanese (ja)
Inventor
Toshihiko Tanaka
稔彦 田中
Norio Hasegawa
昇雄 長谷川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001161593A priority Critical patent/JP2002351049A/en
Publication of JP2002351049A publication Critical patent/JP2002351049A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide halftone phase shifting masks having high dimensional accuracy in a high yield. SOLUTION: In the structure of the halftone phase shifting masks, the pattern comprises a laminated structure of a metal-containing thin film and a photosensitive organic film.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置および
電子デバイス等の製造に用いるフォトマスクに関し、特
に微細パタン形成に適したハーフトーン位相シフトマス
クおよびその製造方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a photomask used for manufacturing semiconductor devices and electronic devices, and more particularly to a halftone phase shift mask suitable for forming a fine pattern and a method for manufacturing the same.

【0002】[0002]

【従来の技術】半導体集積回路装置の製造においては、
微細パタンを半導体ウエハ上に転写する方法としてリソ
グラフィ技術が用いられる。リソグラフィ技術において
は主に投影露光装置が用いられ、投影露光装置に装着し
たフォトマスクのパタンを半導体ウエハ上に転写してデ
バイスパタンを形成する。
2. Description of the Related Art In the manufacture of semiconductor integrated circuit devices,
A lithography technique is used as a method of transferring a fine pattern onto a semiconductor wafer. In lithography, a projection exposure apparatus is mainly used, and a pattern of a photomask mounted on the projection exposure apparatus is transferred onto a semiconductor wafer to form a device pattern.

【0003】近年、デバイスの高集積化、デバイス動作
速度の向上要求に答えるため形成すべきパタンの微細化
が進められている。このような背景の下、ハーフトーン
位相シフト法という露光方法が使用されている。ハーフ
トーン位相シフトマスクは露光光に対して半透明な膜
(ハーフトーン膜と呼ぶ)を透明基体(ブランクス)上
に形成したマスクである。その膜の露光光に対する透過
率は通常1%から25%内に調整されている。またこの
膜を透過する露光光はこの膜がない場合に対して位相に
差が生じるように調整されている。最も高い解像性能を
引きだす位相差は180度及びその奇数倍であるが、1
80度の前後90度に収まっていれば解像向上効果があ
る。ハーフトーンマスクを用いると一般に解像度が5か
ら20%程度向上することが知られている。ハーフトー
ン膜としてはMoSi, ZrSi , CrF
, SiN, SiONなどの無機膜が用いられ
ている。ここでxやyは成分比率を示すサフィックスで
ある。 ハーフトーン位相シフトに関する記載として
は、例えば特開平5−181257号公報などがある。
In recent years, high integration of devices and device operation
Miniaturization of patterns to be formed to meet demands for speed improvement
Is being promoted. Halftone under such background
An exposure method called a phase shift method is used. half
Tone phase shift mask is a film that is translucent to exposure light
(Called halftone film) on a transparent substrate (blanks)
This is a mask formed on the substrate. Transmission of the film to exposure light
Rates are usually adjusted within 1% to 25%. Also this
Exposure light transmitted through the film is in phase with that without this film.
Adjusted to create a difference. Highest resolution performance
The phase difference to be drawn is 180 degrees and an odd multiple thereof,
If the angle is within 90 degrees before and after 80 degrees, there is an effect of improving resolution.
You. When using a halftone mask, the resolution is generally 5
It is known that it is improved by about 20%. Half toe
MoSi, ZrSi xOy, CrFx
Oy, SiNx, SiON and other inorganic films are used
ing. Where x and y are suffixes indicating the component ratio
is there. As description about halftone phase shift
Is disclosed, for example, in JP-A-5-181257.

【0004】[0004]

【発明が解決しようとする課題】従来の無機膜を用いた
ハーフトーン位相シフトマスクはハーフトーン膜の膜厚
が約100nmと厚いため、加工精度が悪く、寸法精度
を出すのが困難という問題があった。加工時の面内均一
性が高いウエットエッチングでは、エッチングが等法的
に進むため横方向の寸法シフトが大きく、このような厚
い膜の加工に適さない。またドライエッチングではプラ
ズマの立ち方やエッチングガスの流れの影響などからエ
ッチングの面内均一性が悪いという問題があった。近年
のリソグラフィでは、投影レンズの解像限界付近の微細
パタンを露光する必要がある。このような解像限界付近
の微細パタンでは光回折により光学コントラストが大幅
に低下するため、MEF(Mask Error en
hance Factor)と呼ばれる要因が加わって
マスク上のパタン寸法精度以上に転写されたパタンの寸
法精度が低下する。MEFとはマスク上の寸法差ΔLw
に対し転写されたパタンの寸法差ΔLmがどれだけ増幅
されたかを表す指標であり、投影レンズの縮小率をMと
すると下記の式で表される。ここでMは例えば4xレン
ズを用いた場合には1/4となる。
A conventional halftone phase shift mask using an inorganic film has a problem that the processing accuracy is poor and the dimensional accuracy is difficult to achieve because the thickness of the halftone film is as thick as about 100 nm. there were. In wet etching with high in-plane uniformity at the time of processing, the etching proceeds in an equal manner, so that a dimensional shift in a lateral direction is large, which is not suitable for processing such a thick film. In addition, dry etching has a problem that the in-plane uniformity of the etching is poor due to the influence of plasma rise and the flow of the etching gas. In recent lithography, it is necessary to expose a fine pattern near the resolution limit of a projection lens. In such a fine pattern near the resolution limit, the optical contrast is greatly reduced due to light diffraction, so that the MEF (Mask Error en)
In addition, a factor called a “hance factor” is added, and the dimensional accuracy of the transferred pattern becomes lower than the dimensional accuracy of the pattern on the mask. Dimension difference ΔLw on mask with MEF
Is an index indicating how much the dimensional difference ΔLm of the transferred pattern has been amplified. When the reduction ratio of the projection lens is M, it is expressed by the following equation. Here, M becomes 1/4 when a 4x lens is used, for example.

【0005】MEF=ΔLm/(M・ΔLw) ハーフトーン位相シフトマスクを使うような微細パタン
では通常MEFは2から3、すなわちマスクの寸法バラ
ツキは2Mから3M倍に増幅されて転写される。例えば
縮小率が4のスキャナを用いた場合にはマスク上の寸法
変化に対し転写寸法の変化は1/4になるべきものが、
MEF=4の時には1、すなわちマスク上の寸法変化と
ウエハ上の寸法変化が同じになるということを表す。こ
のため100nmノードのマスクでは、マスク上の寸法
精度として12nmレベルが要求されるようになってき
ている。マスク上の描画寸法精度が9nm程度であり、
エッチングの寸法ばらつき、面内分布が12nm程度あ
るため、100nmノード要求を満たすハーフトーン位
相シフトマスクの歩留まりは20%程度と極めて低く、
良品のマスクコストが高くなるという問題があった。ま
た歩留まりが低いことから良品のマスクができるまでに
多くのマスクを製造する必要があり、実質的なTATが
低いという問題もあった。
MEF = ΔLm / (M · ΔLw) In a fine pattern using a halftone phase shift mask, the MEF is usually amplified from 2 to 3, that is, the dimensional variation of the mask is amplified from 2M to 3M times and transferred. For example, when a scanner with a reduction ratio of 4 is used, the change in the transfer dimension should be 1/4 of the change in the dimension on the mask,
When MEF = 4, it is 1, which means that the dimensional change on the mask is the same as the dimensional change on the wafer. For this reason, in the case of a 100 nm node mask, a dimensional accuracy on the mask of 12 nm level has been required. The drawing dimensional accuracy on the mask is about 9 nm,
Since the dimensional variation of the etching and the in-plane distribution are about 12 nm, the yield of the halftone phase shift mask satisfying the requirement of the 100 nm node is extremely low, about 20%.
There has been a problem that the cost of a good mask is high. Further, since the yield is low, it is necessary to manufacture many masks before a good mask can be formed, and there is also a problem that the substantial TAT is low.

【0006】本願発明の目的は、寸法精度の高いハーフ
トーン位相シフトマスクを提供することにある。
An object of the present invention is to provide a halftone phase shift mask having high dimensional accuracy.

【0007】本願発明の他の目的は、寸法精度の高いハ
ーフトーン位相シフトマスクを高歩留まりで製造する方
法を提供することにある。
Another object of the present invention is to provide a method for manufacturing a halftone phase shift mask having high dimensional accuracy at a high yield.

【0008】[0008]

【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要は次の通りである。
The outline of typical inventions among the inventions disclosed in the present application is as follows.

【0009】図1に示すように透明基体(ガラス基板)
100上に、金属を含む薄膜の減光膜パタン107およ
び感光性有機膜パタン104からなる積層構造のハーフ
トーンパタンが形成されたフォトマスクとする。なおこ
こではマスク製造時の工程を意識してパタン面が上にな
っているが、露光装置にマスクを挿入するときには向き
が上下反転し、パタン面が下になる。減光膜パタン10
7を透過率調整の主体とし、感光性有機膜パタンを位相
調整の主体とする。本構造とすることによりエッチング
工程が必要な膜の膜厚を薄くでき、加工精度が上がるこ
とから寸法精度の高いハーフトーン位相シフトマスクを
高い歩留まりで製造することができる。
As shown in FIG. 1, a transparent substrate (glass substrate)
A photomask having a halftone pattern having a laminated structure composed of a thin film attenuating film 107 containing a metal and a photosensitive organic film pattern 104 is formed on 100. Here, the pattern surface is turned up in consideration of the mask manufacturing process, but when the mask is inserted into the exposure apparatus, the direction is turned upside down and the pattern surface is turned down. Darkening film pattern 10
7 is a main component of transmittance adjustment, and a photosensitive organic film pattern is a main component of phase adjustment. With this structure, the thickness of a film requiring an etching step can be reduced, and the processing accuracy is increased. Therefore, a halftone phase shift mask having high dimensional accuracy can be manufactured with high yield.

【0010】なお、通常のホトマスクの製造工程の簡略
化および高精度化を目的として、例えば特開平5−28
9307号公報においては、マスクパタン自体をレジス
ト膜で形成する方法が開示されている。このマスクは露
光光透過部と十分な遮光体からなるいわゆるバイナリー
マスクであり、本発明のハーフトーンマスクとは目的も
構造も効果も異なる。
For the purpose of simplifying the manufacturing process of a normal photomask and improving the accuracy, for example, Japanese Patent Laid-Open No. 5-28
No. 9307 discloses a method of forming a mask pattern itself with a resist film. This mask is a so-called binary mask composed of an exposure light transmitting portion and a sufficient light-shielding member, and has a different purpose, structure, and effect from the halftone mask of the present invention.

【0011】[0011]

【発明の実施の形態】本願発明を詳細に説明する前に、
本願における用語の意味を説明すると次の通りである。 1.「遮光領域」、「遮光膜」、「遮光パタン」と言う
ときは、その領域に照射される露光光のうち、40%未
満を透過させる光学特性を有することを示す。一般に数
%から25%未満のものが使われる。一方、「透明」、
「透明膜」と言うときは、その領域に照射される露光光
のうち、60%以上を透過させる光学特性を有すること
を示す。一般に90%以上のものが使用される。2.
「フォトレジストパタン」は、感光性の有機膜をフォト
リソグラフィの手法により、パターニングした膜パタン
を言う。なお、このパタンには当該部分に関して全く開
口のない単なるレジスト膜を含む。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Before describing the present invention in detail,
The meaning of the terms in the present application is as follows. 1. The terms "light-shielding region", "light-shielding film", and "light-shielding pattern" indicate that the region has an optical characteristic of transmitting less than 40% of exposure light applied to the region. Generally, those having a percentage of less than 25% are used. On the other hand, "transparent"
The term “transparent film” indicates that the film has an optical property of transmitting 60% or more of the exposure light applied to the region. Generally, 90% or more is used. 2.
“Photoresist pattern” refers to a film pattern in which a photosensitive organic film is patterned by a photolithography technique. Note that this pattern includes a simple resist film having no opening in the relevant portion.

【0012】(実施の形態1)まず本発明の第1の実施
の形態のハーフトーン位相シフトマスクの要部断面構造
を示した図1を用いて全体の構成を説明する。「課題を
解決するための手段」のところの繰り返しになるが、1
00は透明基体であるガラス基板、107は露光光を減
光する機能を持った薄膜パタン、104は感光性有機膜
パタンである。ただし、100のガラス基板は必ずしも
ガラスに拘るものではなくSiO結晶やCaF結晶
基板等のパタン投影に用いる露光光に対して透明な基体
であればよい。次にこのマスクの製造工程を説明する。
図2(a)から(d)は、本発明の第1の実施の形態の
ハーフトーン位相シフトマスクの製造方法を示したもの
である。まず図2(a)に示すように石英ガラス基板
(ブランクス)100上に減光体薄膜101を、さらに
その上にレジスト膜102を形成し、所望のパタンを電
子線を用いて描画(103)した。ここでは減光体薄膜
101としてCrを用いたが、これは一例に過ぎず例え
ばZrSi膜、SiON膜、SiN膜、CrF
膜、MoSi膜、CrO膜、W膜、Ta膜、T
i膜、TiN膜、WN 膜等も用いることができる。露
光光に対し薄膜でも減光作用が強く、しかも露光光照射
耐性の高い膜を用いることが望ましく、このため金属を
含有する膜が望ましい。Cr膜の膜厚は20nmとし
た。このときのこのCr膜の波長248nmの光に対す
る透過率は約6.5%である。レジスト102を減光体
膜101上に塗布する前に減光体膜101をヘキサメチ
ルジシラザン等で疎水化処理をしておくことが望まし
い。これは後に行うウエットエッチング工程の際のサイ
ドエッチング量が少なくなり、加工精度が向上し、また
寸法シフトが少なくなるためである。レジストの膜厚は
122nmとした。この膜の波長248nmの光に対す
る透過率は約92%で、この膜を通過することにより約
170度の位相差を生じる。ここではレジストとしてポ
リヒドロキシスチレン樹脂の化学増幅型ポジ型電子線レ
ジストを用いたがそれに限定されるものではない。ナフ
トキノンジアジド感光剤を用いた非化学増幅系レジスト
やPMMA系レジストも用いることができる。ポジ型レ
ジストに限らずネガ型レジストも用いることができる
し、電子線レジストに限らず例えば365nmのような
レーザ光に感光するレジストも用いることができる。但
し、波長193nmの光に対するフェノールやノボラッ
ク樹脂のように樹脂骨格に吸光基が固定化されている場
合を除き、耐光性の観点からレジストに吸光剤は添加さ
れていないほうが望ましい。またいわゆるレジストに限
らず酸発生剤が添加されているSOG(Spin On
Glass)などの感光性組成物も用いることができ
る。SOGはキュアベークを行うことにより高い耐光性
を持つ。また経時変化しにくいという特長がある。な
お、現像時の膜べりが少ないレジストを用いると位相制
御がしやすく好ましい。マスク上のパタン寸法はウエハ
上のパタン寸法に比べ縮小倍率分大きいので、(通常4
から5倍なので)微細パタンでもその寸法は0.5μm
以上であり現像時の膜べりは少ない。ポジレジストの場
合、現像膜ベリは未露光部の現像溶解レートに依存す
る。溶解速度の速いものでは10nm程度の膜ベリがあ
るが、外れにくい現像保護基を用いて未露光部の溶解速
度を遅くすることにより1nm以下にすることが可能で
ある。膜厚差1.5nmで約2度の位相差となるため、
膜厚不均一性は1.5nm以下に押さえることが望まし
い。現像膜ベリが生じても均一に膜減る場合はその分塗
布時に補正を加えておくことによりこの問題を回避する
ことが可能となる。その後図2(b)に示すように現像
を行って回路パタン105とレチクルの合わせマークパ
タン106が形成されたレジストパタン104を形成
し、レジストキュアのベークを行った。一例ではある
が、ここでは110℃のベークを行った。このベークは
次に行われるエッチングを安定して行う上で効果があ
る。その後図2(c)に示すように減光体であるCr膜
をエッチングして減光体パタン107を形成した。この
エッチングにはドライエッチングも用いることができる
が、ここでは硝酸セリウム第2アンモン水溶液によるウ
エットエッチングを行った。ウエットエッチングはパー
ティクル欠陥が発生しにくく、またエッチング面内均一
性が高く寸法精度が出しやすいという特長がある。さら
にレジストをほとんどエッチングしないため位相制御も
容易であるという特長もある。被加工膜の膜厚が20n
mと薄いため、エッチング時のサイドエッチング量は少
ない。一方で、ドライエッチングはピンホール欠陥が発
生しにくいという特長がある。その後、露光時のショッ
ト間での重なり露光を防止するための遮光帯を赤外線レ
ーザ露光により形成した。その様子を図2(d)に示
す。遮光帯を形成すべき部分のレジストに赤外線レーザ
光108を照射し、レジスト黒化により露光光の遮光性
を向上させた遮光帯109を形成した。ここで遮光帯に
ついて説明を加えておく。ハーフトーン膜は4−15%
程度の露光光を透過させるためステップアンドリピート
でマスクパタンを繰り返し露光する際に外周部の一部の
領域で重なり露光が生じ、パタン不良の原因になるた
め、遮光帯と呼ばれる透過率をより下げた領域を回路パ
タン領域の外側に形成する。その後ベークを行ってハ−
フトーン位相シフトマスクを製造した。ここでのベーク
は必須というわけではないが、経時変化や露光照射耐性
を向上させる効果がある。例えば波長が250nm付近
のDUV光を照射しながらのベークはレジスト形状を変
化させずにレジストを硬化させることができるので特に
効果的である。本方法により、波長248nmのKrF
エキシマレーザ光に対するCr膜とレジストからなるパ
タン部の開口部に対する位相差はπとなり、またこの積
層膜の透過率は6%となった。なお、透過率は6%に限
定されるものではなく、例えばCrの膜厚を17nm、
レジストの膜厚を125nmとすると透過率9%の位相
差πのハーフトーン位相シフトマスクが得られる。平坦
面に塗布するので、十分な気流コントロールと熱、湿度
コントロールを行うことにより、回路パタン形成領域
(104mmm x132mm)内ではレジスト膜厚バ
ラツキを±1nmに制御できた。シフタ膜の膜厚変化
1.5nmで約2゜の位相差を生じる。ハーフトーン位
相シフトマスクの場合、レベンソン位相シフトマスクほ
どは転写パタン寸法精度は位相差に敏感ではなく、通常
の許容位相バラツキは±3゜である。±5゜を仕様とす
ることもある。特に高精度で微細パタンが要求される場
合でも許容位相バラツキは±2゜である。このように減
光体膜101(107)の膜厚を透過率を上げる場合は
薄く、下げる場合は厚くして、位相差はレジスト膜10
2(104)の厚さを変えることにより調整して所望の
光学特性のハーフトーン位相シフトマスクを得ることが
できる。
(Embodiment 1) First, a first embodiment of the present invention
Sectional Structure of Main Part of Halftone Phase Shift Mask in Form
The overall configuration will be described with reference to FIG. "The challenge
It is a repetition of "means for solving".
00 is a glass substrate which is a transparent substrate, and 107 is a substrate for reducing exposure light.
104 is a light-sensitive organic film
It is a pattern. However, 100 glass substrates are not necessarily
SiO, not limited to glass2Crystals and CaF2crystal
Substrates transparent to exposure light used for pattern projection of substrates
Is good enough. Next, the manufacturing process of this mask will be described.
2 (a) to 2 (d) show the first embodiment of the present invention.
Shows a method for manufacturing a halftone phase shift mask
It is. First, as shown in FIG.
(Blanks) Dimming thin film 101 on 100
A resist film 102 is formed thereon, and a desired pattern is charged.
Drawing (103) was performed using the sagittal line. Here is the dimmer thin film
Cr was used as 101, but this is only an example and
ZrSixOyFilm, SiON film, SiN film, CrF x
Film, MoSixFilm, CrOxFyFilm, W film, Ta film, T
i film, TiN film, WN xA film or the like can also be used. Dew
Even a thin film has a strong dimming effect against light, and also irradiates exposure light
It is desirable to use a film with high resistance,
A film containing is desirable. The thickness of the Cr film is 20 nm.
Was. At this time, the light having a wavelength of 248 nm
The transmittance is about 6.5%. Dimming resist 102
Before applying on the film 101, the light reducing film 101
It is desirable to use hydrophobic treatment with rudisilazane, etc.
No. This is the size of a later wet etching process.
Etching amount is reduced, processing accuracy is improved, and
This is because the dimensional shift is reduced. The thickness of the resist
It was 122 nm. This film has a wavelength of 248 nm.
The transmittance is about 92%, and the
This produces a phase difference of 170 degrees. Here, the resist
Positive electron beam type chemically amplified polyhydroxystyrene resin
Although dysto was used, it is not limited to this. Nuff
Non-chemically amplified resist using toquinonediazide photosensitizer
Alternatively, a PMMA-based resist can be used. Positive type
Negative resist can also be used, not limited to gyst
However, the present invention is not limited to the electron beam resist.
A resist sensitive to laser light can also be used. However
Phenol and novolak to light with a wavelength of 193 nm.
When light-absorbing groups are immobilized on the resin skeleton like resin
Except for the case, a light absorber is added to the resist from the viewpoint of light resistance.
It is better not to be. Also limited to so-called resist
SOG (Spin On) to which an acid generator is added
 Also, a photosensitive composition such as Glass) can be used.
You. SOG has high light resistance due to cure bake
have. In addition, there is a feature that it is hard to change with time. What
If a resist with less film loss during development is used, phase
It is easy to control and is preferable. Pattern size on mask is wafer
Since it is larger than the pattern size above by the reduction ratio,
0.5μm even with fine patterns)
As described above, film loss during development is small. Positive resist place
The development film veri depends on the development dissolution rate of the unexposed area.
You. For those with a high dissolution rate, there is a
However, the dissolution rate of the unexposed area is
It is possible to reduce it to 1 nm or less by slowing the degree.
is there. Since a film thickness difference of 1.5 nm results in a phase difference of about 2 degrees,
It is desirable to keep the film thickness non-uniformity to 1.5 nm or less.
No. If the film is uniformly reduced even if the developing film veri
Avoid this problem by adding corrections during clothing
It becomes possible. Then, as shown in FIG.
To align the circuit pattern 105 with the reticle.
Form resist pattern 104 on which button 106 is formed
Then, a resist cure was baked. Is an example
However, here, the baking at 110 ° C. was performed. This bake is
It is effective for performing the next etching stably.
You. After that, as shown in FIG.
Was etched to form a light reducing body pattern 107. this
Dry etching can also be used for etching
However, in this case, the c
Et etching was performed. Wet etching is par
Ticicle defects are less likely to occur and the etching surface is uniform
It has the advantage of high dimensional accuracy and high dimensional accuracy. Further
Phase control because almost no resist is etched
Another feature is that it is easy. The thickness of the film to be processed is 20 n
m, the amount of side etching during etching is small.
Absent. On the other hand, dry etching causes pinhole defects.
There is a feature that it is difficult to produce. Then, during the exposure,
A light-shielding band to prevent overlapping exposure between
It was formed by laser exposure. This is shown in Fig. 2 (d).
You. Infrared laser on the resist where the shading zone is to be formed
Irradiation with light 108, light blocking of exposure light by blackening of resist
The light-shielding band 109 in which is improved. Here in the shading zone
I will add an explanation about this. Halftone film 4-15%
Step-and-repeat to transmit a small amount of exposure light
When the mask pattern is repeatedly exposed with
Overlapping exposure occurs in the area, causing pattern failure
Therefore, an area called a light-shielding band, which has a lower transmittance, is
Formed outside the tongue region. After that, bake and harden
A foottone phase shift mask was manufactured. Bake here
Is not indispensable, but changes over time and exposure
Has the effect of improving. For example, the wavelength is around 250 nm
Baking while irradiating DUV light changes the resist shape.
Especially because the resist can be cured without
It is effective. According to this method, KrF having a wavelength of 248 nm is used.
A pattern consisting of a Cr film and a resist for excimer laser light
The phase difference between the tongue and the opening is π, and the product
The transmittance of the layer film was 6%. The transmittance is limited to 6%.
For example, the thickness of Cr is 17 nm,
Assuming that the resist film thickness is 125 nm, a phase having a transmittance of 9% is obtained.
A halftone phase shift mask with a difference of π is obtained. flat
Sufficient airflow control, heat and humidity
By performing control, the circuit pattern formation area
(104 mm x 132 mm)
The variation could be controlled to ± 1 nm. Change in thickness of shifter film
A phase difference of about 2 ° occurs at 1.5 nm. Halftone position
In the case of a phase shift mask, the Levenson phase shift mask
The transfer pattern dimensional accuracy is not sensitive to the phase difference.
Is ± 3 °. ± 5mm
Sometimes. Especially when high precision and fine pattern are required
Even in this case, the allowable phase variation is ± 2 °. Reduced in this way
When increasing the transmittance by increasing the film thickness of the optical film 101 (107)
When the thickness is small, the thickness is large when the thickness is lowered.
2 (104) by changing the thickness
Obtaining a halftone phase shift mask with optical characteristics
it can.

【0013】図3には本方法で製造したハーフトーン位
相シフトマスクの上面図を示す。ガラス基板100上に
回路パタン105、遮光帯109およびレチクル合わせ
マーク106が形成されている。一般にレチクルアライ
メントマークの検出は検出光に対してフィールド部分の
透過率が15%以下なら問題なく行える。ここではレチ
クルアライメントマークをハーフトーン膜上に形成した
が、レチクルアライメントマーク検出光として露光光で
ある波長248nmのKrFエキシマレーザ光を用いた
ためフィールドの透過率が6%となって問題なくレチク
ルアライメントマークの検出を行うことが出来た。ここ
ではレチクル合わせマーク106は遮光帯109の外側
に形成されているがこの位置関係は露光装置のシステム
によって変わり、レチクル合わせマークが遮光帯の内側
に置かれる場合もある。本実施の形態では、被エッチン
グ膜101の膜厚が通常のハーフトーン位相シフトマス
クの被エッチング膜(ハーフトーン膜)の膜厚の約1/
5と大幅に薄いため、本実施の形態によって製造された
ハーフトーン位相シフトマスクのパタン寸法精度は9n
mと極めて高かった。従来法では15nmであった。 (実施の形態2)実施の形態2では、実施の形態1にお
いてレジスト膜厚を383nmとしてハーフトーンパタ
ン部の位相差を3πとした。このようにレジスト膜厚を
厚くすることによりウエットエッチング時のピンホール
欠陥発生を防止し、欠陥密度の低いハーフトーン位相シ
フトマスクを得ることが可能となった。なお、それでも
発生するピンホール欠陥は通常のハーフトーン位相シフ
トマスクで用いられているカーボン被着による欠陥修正
で、またパーティクル発生などで生じる黒欠陥はFIB
を用いて欠陥修正できた。また欠陥検査も通常のハーフ
トーン位相シフトマスクと同様に行うことができた。 (実施の形態3)実施の形態3では水溶性ネガレジスト
からなる遮光帯とペリクルを用いた。このマスクの製造
工程を以下に説明する。図4(a)から(f)は、本発
明の第3の実施の形態のハーフトーン位相シフトマスク
の製造方法を示したものである。まず図4(a)に示す
ように石英ガラス基板(ブランクス)100上に減光体
薄膜101を、さらにその上にレジスト膜102を形成
し、通常のベークを行った後、所望のパタンを電子線を
用いて描画(103)した。ここでは減光体薄膜101
としてCrを用いたが、これは一例に過ぎず例えばZr
Si膜、SiON膜、SiN膜、CrF膜、M
oSi膜、CrO膜、W膜、Ta膜、Ti膜、
TiN膜、WN膜等も用いることができる。Cr膜の
膜厚は22nmとした。レジストの膜厚は155nmと
し、レジストとしてはPMMAを用いた。この膜厚で波
長193nmの光に対しπの位相差を持った透過率6%
のハーフトーン膜となる。実施の形態1で示したウエッ
トエッチングでのピンホール欠陥の発生しにくい位相差
3πとするにはレジストの膜厚を467nmとすればよ
い。なお、波長193nmのarFエキシマレーザ光に
対するこの膜の透過率は85%である。またCr層によ
る位相差は約0.1゜で、位相差3πはほとんどこのレ
ジスト層によって生じる。その後図4(b)に示すよう
に現像を行って回路パタン105とレチクルの合わせマ
ークパタン106が形成されたレジストパタン104を
形成した。後の工程で出てくるペリクルフレームの置か
れる場所も開口117を形成しておく。その後図4
(c)に示すように減光体であるCr膜をエッチングし
て減光体パタン107を形成した。このエッチングには
ドライエッチングも用いることができるが、ここではウ
エットエッチングを行った。
FIG. 3 is a top view of a halftone phase shift mask manufactured by this method. A circuit pattern 105, a light-shielding band 109, and a reticle alignment mark 106 are formed on a glass substrate 100. Generally, the detection of the reticle alignment mark can be performed without any problem if the transmittance of the field portion to the detection light is 15% or less. Here, the reticle alignment mark is formed on the halftone film. However, since a KrF excimer laser beam having a wavelength of 248 nm, which is exposure light, is used as the reticle alignment mark detection light, the transmittance of the field is 6%, and the reticle alignment mark has no problem. Could be detected. Here, the reticle alignment mark 106 is formed outside the light-shielding band 109, but this positional relationship changes depending on the system of the exposure apparatus, and the reticle alignment mark may be placed inside the light-shielding band. In this embodiment, the thickness of the film to be etched 101 is about 1 / th of the film thickness of the film to be etched (halftone film) of the normal halftone phase shift mask.
5, the pattern dimensional accuracy of the halftone phase shift mask manufactured according to the present embodiment is 9n.
m was extremely high. In the conventional method, it was 15 nm. (Embodiment 2) In Embodiment 2, the resist film thickness in Embodiment 1 is 383 nm, and the phase difference of the halftone pattern portion is 3π. By increasing the thickness of the resist in this manner, the occurrence of pinhole defects during wet etching can be prevented, and a halftone phase shift mask having a low defect density can be obtained. Note that the pinhole defect still generated is due to defect correction by carbon deposition used in a normal halftone phase shift mask, and the black defect generated by particle generation is FIB.
Was able to correct the defect. Also, defect inspection could be performed in the same manner as a normal halftone phase shift mask. (Embodiment 3) In Embodiment 3, a light-shielding band and a pellicle made of a water-soluble negative resist are used. The manufacturing process of this mask will be described below. FIGS. 4A to 4F show a method of manufacturing a halftone phase shift mask according to the third embodiment of the present invention. First, as shown in FIG. 4A, a thin film 101 is formed on a quartz glass substrate (blanks) 100, a resist film 102 is further formed thereon, and a normal bake is performed. Drawing (103) was performed using lines. Here, the dimmer thin film 101
Was used as Cr, but this is only an example and, for example, Zr
Si x O y film, SiON film, SiN film, CrF x film, M
oSi x film, CrO x F y film, W film, Ta film, Ti film,
TiN film, WN x film or the like can be used. The thickness of the Cr film was 22 nm. The thickness of the resist was 155 nm, and PMMA was used as the resist. With this film thickness, a transmittance of 6% having a phase difference of π with respect to light having a wavelength of 193 nm.
Of the halftone film. In order to reduce the phase difference to 3π in which pinhole defects are less likely to occur in wet etching described in Embodiment Mode 1, the resist film thickness may be set to 467 nm. Note that the transmittance of this film to arF excimer laser light having a wavelength of 193 nm is 85%. The phase difference due to the Cr layer is about 0.1 °, and the phase difference 3π is almost caused by this resist layer. Thereafter, as shown in FIG. 4B, development was performed to form a resist pattern 104 on which a circuit pattern 105 and a reticle alignment mark pattern 106 were formed. An opening 117 is also formed in a place where a pellicle frame which will appear in a later step is placed. Then Figure 4
As shown in (c), the Cr film, which is a dimmer, was etched to form a dimmer pattern 107. Although dry etching can be used for this etching, wet etching is performed here.

【0014】その後、図4(d)に示すように水溶性の
ネガレジスト110を塗布し、遮光帯を形成すべき部分
に露光を行い、その後現像を行って図4(e)に示すよ
うに遮光帯112を形成した。ここで用いた水溶性ネガ
レジストは下記方法で調製した。アクリルアミド6.2
6g,ジアセトンアクリルアミド6.34gをイオン交
換水に溶解して180gとし、それを200mlのセパ
ラブルフラスコに仕込み、ウオターバスで57℃まで加
熱した。これにラジカル重合開始剤として2,2’−ア
ゾビス(2−(イミダゾリン−2−イル)プロパン)ジ
ハイドロクロライドの0.02g の水溶液を注入し、
このままの状態で5時間重合反応させた。得られた共重
合体の分子量は140万であった。
Thereafter, as shown in FIG. 4D, a water-soluble negative resist 110 is applied, a portion where a light-shielding band is to be formed is exposed, and then development is performed, as shown in FIG. A light shielding band 112 was formed. The water-soluble negative resist used here was prepared by the following method. Acrylamide 6.2
6 g and diacetone acrylamide (6.34 g) were dissolved in ion-exchanged water to make 180 g, which was charged into a 200 ml separable flask and heated to 57 ° C. in a water bath. An aqueous solution of 0.02 g of 2,2′-azobis (2- (imidazolin-2-yl) propane) dihydrochloride was injected into the mixture as a radical polymerization initiator,
The polymerization reaction was carried out for 5 hours in this state. The molecular weight of the obtained copolymer was 1.4 million.

【0015】得られた7%の共重合体9.40g取り、
これに4−アジドシンナムアルデヒド−2−スルホン酸
ナトリウム0.066g、イオン交換水1.90g、1
%の水酸化ナトリウム水溶液3.6gを加え2日間反応
した後、氷酢酸で中和し、イオン交換水で固形分が1.
5% になるように希釈した。これに固形分に対して、
エチレングリコール100%、シランカップリング剤
0.01% 加えレジスト溶液とした。ネガレジストで
あるため、露光部分が遮光帯を形成するわずかな部分に
限られ、残りの部分は現像で自動的に除去されるという
特長がある。ここでは露光光としては365nmのレー
ザ光を用いたが、電子線を用いることもできる。水溶性
のレジストであるためPMMAからなるパタンには全く
影響を与えなかった。その後図4(f)に示すようにペ
リクル膜114が形成されたペリクルフレーム113を
マスクに貼り、波長193nmのArF用ハーフトーン
位相シフトマスクを製造した。以前の工程で開口117
を形成しているためペリクルフレームは直接ガラス基板
100上に形成される。これにより、ペリクルフレーム
113の剥離を防止できる。また、ペリクルフレーム1
13の取り付け位置にレジスト膜が形成されていると、
ペリクル114の取り付け取り外しの際に、レジスト膜
が剥離し異物発生の原因となる。本実施の形態3におい
ては、ペリクルフレーム113をガラス基板100に直
接接触させた状態で接合するので、そのような異物発生
を防止できる。
Take 9.40 g of the obtained 7% copolymer,
0.066 g of sodium 4-azidocinnamaldehyde-2-sulfonate, 1.90 g of ion-exchanged water,
After adding 3.6 g of an aqueous sodium hydroxide solution and reacting for 2 days, the mixture was neutralized with glacial acetic acid, and the solid content was adjusted to 1.0 with ion-exchanged water.
Diluted to 5%. In addition to this,
A resist solution was prepared by adding 100% of ethylene glycol and 0.01% of a silane coupling agent. Since it is a negative resist, the exposed portion is limited to a small portion forming a light-shielding band, and the remaining portion is automatically removed by development. Here, a 365-nm laser beam is used as the exposure light, but an electron beam can also be used. Since it was a water-soluble resist, it did not affect the pattern composed of PMMA at all. Thereafter, as shown in FIG. 4F, the pellicle frame 113 on which the pellicle film 114 was formed was adhered to a mask to manufacture a halftone phase shift mask for ArF having a wavelength of 193 nm. Opening 117 in previous process
Is formed, the pellicle frame is directly formed on the glass substrate 100. Thereby, peeling of the pellicle frame 113 can be prevented. Also, pellicle frame 1
When a resist film is formed at the mounting position of No. 13,
When the pellicle 114 is attached or detached, the resist film peels off, which causes the generation of foreign matter. In the third embodiment, since the pellicle frame 113 is joined in a state of being directly in contact with the glass substrate 100, such generation of foreign matters can be prevented.

【0016】図5(a)には本方法で製造したハーフト
ーン位相シフトマスクの上面図を示す。ガラス基板10
0上に回路パタン105、遮光帯112およびレチクル
合わせマーク106、ペリクルフレーム113が形成さ
れている。ここではレチクル合わせマーク106はペリ
クルフレーム113の外側に形成されているがこの位置
関係は露光装置のシステムによって変わり、レチクル合
わせマークがペリクルフレーム113の内側に置かれる
場合もある。図5(b)にはこのマスクを露光装置のス
テージ116に載せた状態を示す。露光装置のステージ
と接触する場所115にはレジストおよびCr膜からな
らハーフトーン膜が残らないようにし接触によるレジス
ト剥離異物の発生を防止した。
FIG. 5A is a top view of a halftone phase shift mask manufactured by this method. Glass substrate 10
On 0, a circuit pattern 105, a light shielding band 112, a reticle alignment mark 106, and a pellicle frame 113 are formed. Here, the reticle alignment mark 106 is formed outside the pellicle frame 113, but this positional relationship varies depending on the system of the exposure apparatus, and the reticle alignment mark may be placed inside the pellicle frame 113. FIG. 5B shows a state where the mask is placed on the stage 116 of the exposure apparatus. The halftone film made of the resist and the Cr film was not left at the place 115 which was in contact with the stage of the exposure apparatus, thereby preventing the occurrence of resist peeling foreign matter due to the contact.

【0017】トマスクの被エッチング膜(ハーフトーン
膜)の膜厚の約1/5と大幅に薄いため、本実施の形態
によって製造されたハーフトーン位相シフトマスクのパ
タン寸法精度は9nmと極めて高かった。従来法では1
5nmであった。
Since the thickness of the film to be etched (halftone film) of the mask is extremely thin, about 1/5, the pattern dimensional accuracy of the halftone phase shift mask manufactured according to the present embodiment was extremely high at 9 nm. . In the conventional method, 1
It was 5 nm.

【0018】(実施の形態4)実施の形態4では、実施
の形態3で用いたPMMAレジストと水溶性ネガレジス
トに代えて、感光性SOGと通常のネガレジストを用い
た。すなわちシラノールに酸発生剤を5%含有させた感
光性SOGと、フェノール樹脂系化学増幅型ネガレジス
トを用いた。遮光帯の露光には電子線を用いた。現像に
よる感光性SOGパタンの形成後に250℃のキュアベ
ークを行ってSOGパタンを硬化させ、フェノール樹脂
系化学増幅型ネガレジストに対し不溶にした。本実施の
形態では、被エッチング膜101の膜厚が通常のハーフ
トーン位相シフトマスクの被エッチング膜(ハーフトー
ン膜)の膜厚の約1/5と大幅に薄いため、本実施の形
態によって製造されたハーフトーン位相シフトマスクの
パタン寸法精度は9nmと極めて高かった。従来法では
15nmであった。キュアベークによって硬化処理され
たSOGは照射耐性に富み、機械強度が他の感光性有機
物に比べて強く、ブラシ洗浄も可能という特長がある。
また経時変化もしにくく、2年以上の耐用寿命がある。
(Embodiment 4) In Embodiment 4, photosensitive SOG and a normal negative resist are used in place of the PMMA resist and the water-soluble negative resist used in Embodiment 3. That is, photosensitive SOG containing silanol containing 5% of an acid generator and a phenolic resin chemically amplified negative resist were used. An electron beam was used for exposure of the light-shielding zone. After forming the photosensitive SOG pattern by development, the SOG pattern was cured by baking at 250 ° C. to make it insoluble in the phenolic resin-based chemically amplified negative resist. In the present embodiment, the film thickness of the film to be etched 101 is significantly thin, about 5 of the film thickness of the film to be etched (halftone film) of a normal halftone phase shift mask. The pattern dimensional accuracy of the obtained halftone phase shift mask was as extremely high as 9 nm. In the conventional method, it was 15 nm. SOG hardened by curing and baking has a feature that it is rich in irradiation resistance, has higher mechanical strength than other photosensitive organic substances, and can be brush-cleaned.
Further, it hardly changes with time, and has a service life of two years or more.

【0019】(実施の形態5)実施の形態5では遮光帯
を微細パタンで形成した。このマスクの製造工程を以下
に説明する。図6(a)から(d)は、本発明の第5の
実施の形態のハーフトーン位相シフトマスクの製造方法
を示したものである。まず図6(a)に示すように石英
ガラス基板(ブランクス)100上に減光体薄膜101
を、さらにその上にレジスト膜102を形成し、通常の
ベークを行った後、所望のパタンを電子線を用いて描画
(103)した。この露光103の際、遮光帯形成部に
は図8に示すような後述する微細パタンを露光してお
く。
(Embodiment 5) In Embodiment 5, the light-shielding band is formed by a fine pattern. The manufacturing process of this mask will be described below. FIGS. 6A to 6D show a method of manufacturing a halftone phase shift mask according to a fifth embodiment of the present invention. First, as shown in FIG.
After forming a resist film 102 thereon and performing normal baking, a desired pattern was drawn using an electron beam (103). At the time of this exposure 103, a fine pattern described later as shown in FIG.

【0020】減光体薄膜101としてはCrを用いた
が、これは一例に過ぎず例えばZrSi膜、Si
ON膜、SiN膜、CrF膜、MoSi膜、CrO
膜、W膜、Ta膜、Ti膜、TiN膜、WN
等も用いることができる。Cr膜の膜厚は20.6nm
とした。
The decline As the optical thin film 101 with Cr, this is only an example for example ZrSi x O y film, Si
ON film, SiN film, CrF x film, MoSi x film, CrO
x F y film, W film, Ta film, Ti film, TiN film, WN x film or the like can be used. The thickness of the Cr film is 20.6 nm
And

【0021】レジストの膜厚は383nmとし、レジス
トとしては電子線感光型ポジ型化学増幅系レジストを用
いた。この膜厚で波長248nmの光に対し3πの位相
差を持ったハーフトーン膜となる。
The film thickness of the resist was 383 nm, and an electron beam photosensitive positive type chemically amplified resist was used as the resist. With this film thickness, a halftone film having a phase difference of 3π with respect to light having a wavelength of 248 nm is obtained.

【0022】その後図6(b)に示すように現像を行っ
て回路パタン105、レチクルの合わせマークパタン1
06、ウエハ合わせマーク122および遮光帯用微細パ
タン121が形成されたレジストパタン104を形成し
た。後の工程で出てくるペリクルフレームの置かれる場
所も開口117を形成しておく。
Thereafter, development is performed as shown in FIG. 6B, and the circuit pattern 105 and the reticle alignment mark pattern 1 are developed.
06, a resist pattern 104 on which a wafer alignment mark 122 and a light shielding band fine pattern 121 were formed. An opening 117 is also formed in a place where a pellicle frame which will appear in a later step is placed.

【0023】その後図6(c)に示すように減光体であ
るCr膜をエッチングして減光体パタン107を形成し
た。このエッチングにはドライエッチングも用いること
ができるが、ここでは硝酸セリウム第2アンモン水溶液
によるウエットエッチングを行った。
Thereafter, as shown in FIG. 6C, the Cr film, which is a light reducer, was etched to form a light reducer pattern 107. Although dry etching can be used for this etching, here, wet etching was performed using a cerium nitrate second ammonium aqueous solution.

【0024】その後、図6(d)に示すようにペリクル
膜114が形成されたペリクルフレーム113をマスク
に貼り、KrF用ハーフトーン位相シフトマスクを製造
した。以前の工程で開口117を形成しているためペリ
クルフレームは直接ガラス基板100上に形成される。
Thereafter, as shown in FIG. 6D, the pellicle frame 113 on which the pellicle film 114 was formed was pasted on a mask to manufacture a halftone phase shift mask for KrF. The pellicle frame is directly formed on the glass substrate 100 because the opening 117 is formed in the previous step.

【0025】これにより、ペリクルフレーム113の剥
離を防止できる。また、ペリクルフレーム113の取り
付け位置にレジスト膜が形成されていると、ペリクル1
14の取り付け取り外しの際に、レジスト膜が剥離し異
物発生の原因となる。本実施の形態5においては、ペリ
クルフレーム113をガラス基板100に直接接触させ
た状態で接合するので、そのような異物発生を防止でき
る。
Thus, peeling of the pellicle frame 113 can be prevented. Further, if a resist film is formed at the mounting position of the pellicle frame 113, the pellicle 1
At the time of attaching and detaching 14, the resist film is peeled off, which causes the generation of foreign matter. In the fifth embodiment, since the pellicle frame 113 is joined in a state of being in direct contact with the glass substrate 100, such generation of foreign matter can be prevented.

【0026】図7には本方法で製造したハーフトーン位
相シフトマスクの上面図を示す。ガラス基板100上に
回路パタン105、レチクル合わせマーク106、ペリ
クルフレーム113および遮光帯121が形成されてい
る。ここではレチクル合わせマーク106はペリクルフ
レーム113の外側に形成されているがこの位置関係は
露光装置のシステムによって変わり、レチクル合わせマ
ークがペリクルフレーム113の内側に置かれる場合も
ある。遮光帯121の中にはウエハ合わせマーク122
が形成されている。ウエハ合わせマークは層間合わせを
行う際の基準マークである。このマークをウエハに転写
後エッチングなどによりパタンをウエハ上に刻んでお
き、そのマークをウエハ位置基準として検出して、以後
の合わせ基準として用いるマークのことである。このマ
ークは遮光率の高い遮光帯の中に形成しておくことが望
ましい。ハーフトーンマスクで多用されるホール形成で
は本体パタンが光回折の影響を大きく受けるのでウエハ
露光時のドーズ量が大きくなる。ウエハ合わせマークは
本体パタンに比べ1桁以上大きいので適性ドーズ量は本
体パタンに比べ小さい。さらに数%以上の光を透過させ
るハーフトーンフィールドであるとウエハ露光時のレン
ズ収差の影響も加わってサブピークの大小が変わり、パ
タンの左右対称性が崩れるなどの合わせ基準マークにと
って悪影響が生じる。遮光帯の中にウエハ合わせマーク
を形成するとフィールド部の光量が0のためこの悪影響
が小さくなるという効果がある。
FIG. 7 is a top view of a halftone phase shift mask manufactured by this method. On a glass substrate 100, a circuit pattern 105, a reticle alignment mark 106, a pellicle frame 113, and a light-shielding band 121 are formed. Here, the reticle alignment mark 106 is formed outside the pellicle frame 113, but this positional relationship varies depending on the system of the exposure apparatus, and the reticle alignment mark may be placed inside the pellicle frame 113. A wafer alignment mark 122 is provided in the light shielding zone 121.
Are formed. The wafer alignment mark is a reference mark when performing interlayer alignment. This mark is transferred to the wafer, and a pattern is carved on the wafer by etching or the like, and the mark is detected as a wafer position reference and used as a subsequent alignment reference. This mark is desirably formed in a light-shielding zone having a high light-shielding rate. In the formation of holes that are frequently used in a halftone mask, the body pattern is greatly affected by light diffraction, so that the dose during wafer exposure increases. Since the wafer alignment mark is one digit or more larger than the main body pattern, the appropriate dose is smaller than the main body pattern. Further, if the halftone field allows transmission of light of several percent or more, the size of the subpeak changes due to the influence of lens aberration during wafer exposure, which adversely affects the alignment reference mark such as the left-right symmetry of the pattern is lost. When the wafer alignment mark is formed in the light-shielding zone, the amount of light in the field portion is 0, and thus there is an effect that this adverse effect is reduced.

【0027】図8は遮光帯の要部を拡大して示した上面
図である。遮光帯は微細開口124とハーフトーン部1
23からなり微細なピッチ125でこれらのパタンが並
んでいる。微細パタンは図8(a)に示すストライプ状
のものと、図8(b)に示すホール状のもの、これらを
組み合わせたもの等がある。ストライプの場合縦線でも
横線でも斜め線でも構わない。パタン繰り返しのピッチ
125はα・λ/NAとし、開口パタン124とハーフ
トーンフィールドパタン123の面積比率Sは S=β・(T)1/2 とする。ここでλは露光波長、NAは投影レンズの開口
数、Tはハーフトーン膜の透過率、α≦0.8、0.5
≦β≦2.0とする。本遮光帯を用いることによって十
分な遮光率を得ることができる。本微細パタンを用いた
遮光帯形成方法にはウエハ合わせマークを遮光帯内に形
成でき、上記効果が得られるという特長がある。さらに
従来から用いられてきたマスク製造設備で本マスクを製
造することができ、新たな設備投資がいらないという特
長がある。
FIG. 8 is an enlarged top view showing a main part of the light shielding band. The light-shielding band includes the fine opening 124 and the halftone portion 1
23, these patterns are arranged at a fine pitch 125. The fine patterns include a stripe pattern shown in FIG. 8A, a hole pattern shown in FIG. 8B, and a combination of these. In the case of a stripe, a vertical line, a horizontal line, or an oblique line may be used. The pattern repetition pitch 125 is α · λ / NA, and the area ratio S between the aperture pattern 124 and the halftone field pattern 123 is S = β · (T) 1/2 . Here, λ is the exposure wavelength, NA is the numerical aperture of the projection lens, T is the transmittance of the halftone film, α ≦ 0.8, 0.5
≤β≤2.0. By using this light-shielding band, a sufficient light-shielding rate can be obtained. The method of forming a light-shielding band using the fine pattern has a feature that a wafer alignment mark can be formed in the light-shielding band, and the above-described effect can be obtained. Further, the present mask can be manufactured by using the mask manufacturing equipment conventionally used, and there is a feature that no new capital investment is required.

【0028】(実施の形態6)本実施例では、遮光帯の
形成方法としてインクのスキャン塗布を用いた。ここで
は硫酸鉄、タンニン酸、没食子酸、アニリンブラックお
よびヘミセルロースを水に溶かし、粘度調整剤と表面張
力調整剤を混入したインクを用いた。粘度調整剤として
はグリセリンを用いたが、ポリエチレングリコールや糖
類も用いることができる。表面張力調整剤としてはエチ
レングリコールを用いたが、これに限らずアルキルベン
ゼンスルホン酸塩、琥珀酸ジアルキルエステルスルホン
酸塩、アルキルエチレンジアミンのカルボン酸塩、アル
キルベタイン−N−アルキル−N,N−ジメチルグリシ
ン、P.O.E脂肪酸エステル、アセチレングリコール
なども用いることができる。染料としては下記化学式
(化1)に記載の有機物を用いることもできる。ここで
からRはOH基またはNH基をしめす。このほ
かの染料としてジスアゾ系染料、テオフェンジスアゾ系
染料、トリスアゾ系染料、シアヌル酸系染料等も用いる
ことができる。
(Embodiment 6) In this embodiment, scan coating of ink is used as a method of forming a light-shielding band. Here, an ink was used in which iron sulfate, tannic acid, gallic acid, aniline black and hemicellulose were dissolved in water, and a viscosity modifier and a surface tension modifier were mixed. Glycerin was used as the viscosity modifier, but polyethylene glycol and saccharides can also be used. Ethylene glycol was used as the surface tension modifier, but not limited thereto, alkyl benzene sulfonate, dialkyl succinate sulfonate, carboxylate of alkyl ethylene diamine, alkyl betaine-N-alkyl-N, N-dimethyl glycine , P. O. E fatty acid esters, acetylene glycol and the like can also be used. As the dye, an organic substance represented by the following chemical formula (Chemical Formula 1) can also be used. Here, R 1 to R 4 represent an OH group or an NH 2 group. As other dyes, disazo dyes, theofendisazo dyes, trisazo dyes, cyanuric acid dyes and the like can also be used.

【0029】[0029]

【化1】 図9に遮光帯部へのインク部分的塗布の概要を示す。図
9(a)にマスク151表面への遮光帯部152の塗布
方法をしめす。インク153は位置制御系157で位置
制御されたノズル156から噴出しマスク表面を矢印方
向に蛇行しながら帯上にインク153を形成する。ノズ
ル先端155からのインク154の噴出はインク供給系
159から導入され制御系158で電気的に制御され噴
出をオン/オフできるようになっている。制御系158
では塗布領域を指示するデータベース160を基にイン
ク噴出をオン/オフさせる。上記部分的に塗布する方法
では、インクの消費量を抑え、マスク製造コストの低減
を図ることが可能である。なお、インクとしては感光性
組成物であるレジストを溶解させず、露光光に対して遮
光性を持つ材料とする必要がある。ここでは、界面活性
剤を含んだ水溶性のインクを用いた。本インクを用いた
遮光帯形成方法は工程が簡便で、遮光体を形成するのに
かかる時間も短いという特長がある。代表的には5分以
下で遮光帯を形成できる。
Embedded image FIG. 9 shows an outline of partial application of ink to the light-shielding band. FIG. 9A shows a method of applying the light-shielding band 152 to the surface of the mask 151. The ink 153 is ejected from the nozzle 156 whose position is controlled by the position control system 157, and forms the ink 153 on the belt while meandering in the direction of the arrow on the mask surface. The ejection of the ink 154 from the nozzle tip 155 is introduced from an ink supply system 159 and is electrically controlled by a control system 158 so that the ejection can be turned on / off. Control system 158
Then, the ink ejection is turned on / off based on the database 160 indicating the application area. The above-described partial coating method can reduce the consumption of ink and reduce the mask manufacturing cost. Note that it is necessary to use a material which does not dissolve the resist which is a photosensitive composition and has a light-shielding property with respect to exposure light as the ink. Here, a water-soluble ink containing a surfactant was used. The method of forming a light-shielding band using the present ink is characterized in that the steps are simple and the time required to form a light-shielding body is short. Typically, a light-shielding band can be formed in 5 minutes or less.

【0030】(実施の形態7)第7の実施の形態では、
実施の形態1から3、5および6におけるハーフトーン
位相シフトマスクの位相角微調整方法を図10を用いて
説明する。図10(a)は実施の形態1から6で示した
ガラス基板100、減光体薄膜パタン107、レジスト
パタン104からなるハーフトーン位相シフトマスクの
要部断面構造を示すが、ここでレジストパタン104の
膜厚を位相角反転目標の膜厚に対し薄く形成しておく。
すなわち減光体薄膜107およびレジスト104の露光
光に対する(実部)屈折率をそれぞれn’、nおよび膜
厚をそれぞれd’、d、露光光の波長をλとすると、 d=(λ−2(n’−1)d’)/(2(n−1)) あるいは d=(3λ−2(n’−1)d’)/(2(n−1)) よりレジストの膜厚dを薄くしておく。
(Embodiment 7) In the seventh embodiment,
A method of finely adjusting the phase angle of the halftone phase shift mask according to the first to third, fifth, and sixth embodiments will be described with reference to FIG. FIG. 10A shows a cross-sectional structure of a main part of a halftone phase shift mask including the glass substrate 100, the dimming thin film pattern 107, and the resist pattern 104 described in the first to sixth embodiments. Is formed thinner than the target film thickness of the phase angle inversion.
That is, assuming that the refractive index (real part) of the attenuator thin film 107 and the resist 104 with respect to the exposure light is n ′, n is n, the film thickness is d ′, d, and the wavelength of the exposure light is λ, d = (λ−2) (N′−1) d ′) / (2 (n−1)) or d = (3λ−2 (n′−1) d ′) / (2 (n−1)) Keep thin.

【0031】その後図10(b)に示すようにガラス基
板露出面170をエッチングで掘って所望の位相差にな
るように調整した。エッチングにはドライエッチングも
用いることができるがウエットエッチングはレジストの
膜厚を減ずることが少なく位相角の調整が容易である。
ここでは減光膜107としてCrを用い、フッ化アンモ
ニウムを体積比で60、フッ酸を1の割合で混合したウ
エットエッチング液を用いてガラス基板のエッチングを
行った。また減光体パタン107とレジストパタン10
4を形成した図10(a)の段階で開口部と減光体とレ
ジストからなるパタン部の位相差を測定し、両者の間で
位相が反転するようにエッチング量を求めエッチングを
行った。このようにすることにより位相差を高い精度で
目標の値(位相反転状態)に追い込むことができた。実
際この方法で位相差を目標の値に対し±1°の精度に追
い込むことができた。
Thereafter, as shown in FIG. 10 (b), the exposed surface 170 of the glass substrate was dug by etching to adjust it to a desired phase difference. Dry etching can be used for the etching, but wet etching does not reduce the thickness of the resist and the phase angle can be easily adjusted.
Here, the glass substrate was etched using a wet etching solution in which Cr was used as the light reducing film 107, ammonium fluoride was mixed at a volume ratio of 60, and hydrofluoric acid was mixed at a ratio of 1. Also, the dimming pattern 107 and the resist pattern 10
At the stage of FIG. 10 (a) where 4 was formed, the phase difference between the opening, the pattern formed of the light attenuator and the resist was measured, the amount of etching was determined so that the phase was inverted between the two, and etching was performed. By doing so, the phase difference could be driven to the target value (phase inversion state) with high accuracy. In fact, this method could drive the phase difference to an accuracy of ± 1 ° with respect to the target value.

【0032】(実施の形態8)上記実施の形態1から7
に示したハーフトーン位相シフトマスクを用いてパタン
露光を行った実施例をここに示す。ここで用いた縮小投
影露光装置の一例を図11に示す。縮小投影露光装置の
光源1501から発する露光光はフライアイレンズ15
02、照明形状調整アパーチャ1503、コンデンサレ
ンズ1504,1505およびミラー1506を介して
マスク1507を照射する。マスクの上にはマスキング
ブレード1522が置かれていて露光エリアの大きさに
応じてその開口の大きさを調整できるようにしてある。
このマスク1507は、遮光パターンが形成された主面
(第1の主面)を下方(半導体ウエハ1509側)に向
けた状態で載置されている。したがって、上記露光光
は、マスク1507の裏面(第2の主面)側から照射さ
れる。これにより、マスク1507上に描かれたマスク
パターンは、投影レンズ1508を介して試料基板であ
る半導体ウエハ1509上に投影される。マスク150
7の第1の主面には、異物付着によるパターン転写不良
を防止するためのペリクル1510が場合によって設け
られている。なお、マスク1507はマスク位置制御手
段1511で制御されたマスクステージ1512上に真
空吸着され、位置検出手段1513により位置合わせさ
れ、その中心と投影レンズの光軸との位置合わせが正確
になされている。半導体ウエハ1509は,試料台15
14上に真空吸着されている。試料台1514は、投影
レンズ1508の光軸方向、すなわちZ軸方向に移動可
能なZステージ1515上に載置され、さらにXYステ
ージ1516上に搭載されている。Zステージ1515
およびXYステージ1516は、主制御系1517から
の制御命令に応じてそれぞれの駆動手段1518, 1
519によって駆動されるので,所望の露光位置に移動
可能である。その位置はZステージ1515に固定され
たミラー1520の位置として、レーザ測長器1521
で正確にモニタされている。位置検出手段1513に
は、例えばハロゲンランプが用いられている。
Embodiment 8 Embodiments 1 to 7 above
An example in which pattern exposure was performed using the halftone phase shift mask shown in FIG. FIG. 11 shows an example of the reduced projection exposure apparatus used here. Exposure light emitted from the light source 1501 of the reduction projection exposure apparatus
02, a mask 1507 is irradiated through an illumination shape adjustment aperture 1503, condenser lenses 1504 and 1505, and a mirror 1506. A masking blade 1522 is placed on the mask so that the size of the opening can be adjusted according to the size of the exposure area.
The mask 1507 is placed with the main surface (first main surface) on which the light-shielding pattern is formed facing downward (semiconductor wafer 1509 side). Therefore, the exposure light is emitted from the back surface (second main surface) side of the mask 1507. Thus, the mask pattern drawn on the mask 1507 is projected onto the semiconductor wafer 1509 as a sample substrate via the projection lens 1508. Mask 150
A pellicle 1510 for preventing a pattern transfer failure due to the adhesion of foreign matter is provided on the first main surface 7 in some cases. The mask 1507 is vacuum-adsorbed onto the mask stage 1512 controlled by the mask position control unit 1511 and is aligned by the position detection unit 1513, so that the center of the mask and the optical axis of the projection lens are accurately aligned. . The semiconductor wafer 1509 is placed on the sample stage 15
14 is vacuum-adsorbed. The sample stage 1514 is mounted on a Z stage 1515 movable in the optical axis direction of the projection lens 1508, that is, in the Z axis direction, and further mounted on an XY stage 1516. Z stage 1515
And XY stage 1516 are driven by respective driving means 1518, 1 according to a control command from main control system 1517.
Since it is driven by 519, it can be moved to a desired exposure position. The position is the position of the mirror 1520 fixed to the Z stage 1515,
Is accurately monitored by As the position detecting means 1513, for example, a halogen lamp is used.

【0033】露光装置のステージや搬送系統に接触する
部分にはレジスト膜が残らないようにマスク上のレジス
トを露光しておき搬送によって生じる異物の発生を防止
した。この処理がない場合には異物が発生し、転写欠陥
を引き起こした。
The resist on the mask was exposed so as not to leave a resist film on the part of the exposure apparatus that is in contact with the stage or the transport system, thereby preventing the generation of foreign substances caused by transport. Without this treatment, foreign matter was generated and caused transfer defects.

【0034】本マスクを本露光装置に装着し、ステップ
&スキャン露光を行ってウエハ上に転写した。レンズの
縮小率は4である。その結果、転写欠陥は発生せず、寸
法精度は従来法に比べ約8nm向上した。4xの縮小光
学系を介した露光となっているが、MEFのためマスク
の寸法精度向上がこのような大きな寸法精度向上に繋が
った。また、マスク上に遮光帯が形成されているため、
ショット間での多重露光部分にも問題は生じなかった。
The present mask was mounted on the main exposure apparatus, and step-and-scan exposure was performed to transfer the mask onto the wafer. The reduction ratio of the lens is 4. As a result, no transfer defect occurred, and the dimensional accuracy was improved by about 8 nm as compared with the conventional method. Although exposure is performed through a 4x reduction optical system, the dimensional accuracy improvement of the mask due to the MEF has led to such a large dimensional accuracy improvement. Also, since a light-shielding band is formed on the mask,
No problem occurred in the multiple exposure portion between shots.

【0035】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
Although the invention made by the inventor has been specifically described based on the embodiment, the invention is not limited to the embodiment and can be variously modified without departing from the gist of the invention. Needless to say,

【0036】[0036]

【発明の効果】本願によってハーフトーン膜の加工精度
を飛躍的に向上することができた。また、寸法精度の高
いハーフトーン位相シフトマスクを高い歩留まりで提供
することが可能となった。
According to the present invention, the processing accuracy of the halftone film can be remarkably improved. Further, it has become possible to provide a halftone phase shift mask with high dimensional accuracy at a high yield.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のマスクのハーフトーン位相シフタパタ
ンの主要な構造を示す断面図である。
FIG. 1 is a sectional view showing a main structure of a halftone phase shifter pattern of a mask of the present invention.

【図2】本発明のマスクの製造工程を示すの断面構造図
である。
FIG. 2 is a sectional structural view showing a manufacturing process of the mask of the present invention.

【図3】本発明のマスクの上面図である。FIG. 3 is a top view of the mask of the present invention.

【図4】本発明のマスクの製造工程を示すの断面構造図
である。
FIG. 4 is a sectional structural view showing a manufacturing process of the mask of the present invention.

【図5】(a)は本発明のマスクの上面図であり、
(b)は露光装置に装着したときの様子を示す説明図で
ある。
FIG. 5A is a top view of the mask of the present invention,
FIG. 4B is an explanatory diagram showing a state when the exposure apparatus is mounted.

【図6】本発明のマスクの製造工程を示す断面構造図で
ある。
FIG. 6 is a sectional structural view showing a manufacturing process of the mask of the present invention.

【図7】本発明のマスクの上面図である。FIG. 7 is a top view of the mask of the present invention.

【図8】本発明のマスクの構造を示す要部上面図であ
る。
FIG. 8 is a main part top view showing the structure of the mask of the present invention.

【図9】本発明のマスク製造工程を説明する説明図であ
る。(a)が上面図で、(b)が断面図である。
FIG. 9 is an explanatory diagram illustrating a mask manufacturing process of the present invention. (A) is a top view and (b) is a cross-sectional view.

【図10】本発明のマスクの製造工程を示す要部断面構
造図である。
FIG. 10 is a cross-sectional structural view of a main part showing a manufacturing step of the mask of the present invention.

【図11】本発明で用いた露光装置の概要を示した装置
概念図である。
FIG. 11 is an apparatus conceptual diagram showing an outline of an exposure apparatus used in the present invention.

【符号の説明】[Explanation of symbols]

3s…半導体基板、6n…nウエル、6p…pウエル、
7…フィールド絶縁膜、8, 9 …ゲート絶縁膜、1
0…nMISQnの半導体領域、11…pMISQpの
半導体領域、12 …層間絶縁膜、13L…配線、13
R…抵抗、14…HLD(酸化シリコン)膜、15…接
続孔、16L1… 第1層配線、100…ガラス基板、
101…金属含有膜、102…レジスト、103…電子
線、104…レジストパタン、105…回路パタン、1
06…レチクルマーク、107…金属含有膜パタン(減
光体パタン)、108…レーザ光、109…黒化部(遮
光帯)、110…水溶性ネガレジスト、111…露光
光、112…遮光帯パタン、113…ペリクルフレー
ム、114…ペリクル膜、115…接触部、116…レ
チクルステージ、121…遮光帯、122…ウエハ合わ
せマーク、123…ハーフトーンパタン、124…開口
パタン、125…パタンピッチ、151…マスク、15
3…遮光剤(インク)、154…遮光剤(インク)、1
55…ノズル先端、156…ノズル、157…位置制御
系、158…制御系、159…インク供給系、160…
データベース、1501…光源、1502…フライアイ
レンズ、1503…照明形状調整アパーチャ、150
4, 1505…コンデンサレンズ、1506…ミラ
ー、1507…マスク1507、1508…投影レン
ズ、1509…半導体ウエハ、1510…ペリクル、1
511…マスク位置制御手段、1512…マスクステー
ジ、1513…位置検出手段、1514…試料台、15
15…Zステージ1515、1516…XYステージ、
1517…主制御系、1518, 1519…駆動手
段、1520…ミラー、1521…レーザ測長器、15
22…マスキングブレード。
3s ... semiconductor substrate, 6n ... n well, 6p ... p well,
7 ... field insulating film, 8, 9 ... gate insulating film, 1
0 ... nMISQn semiconductor region, 11 ... pMISQp semiconductor region, 12 ... interlayer insulating film, 13L ... wiring, 13
R: resistance, 14: HLD (silicon oxide) film, 15: connection hole, 16L1: first layer wiring, 100: glass substrate,
101: metal-containing film, 102: resist, 103: electron beam, 104: resist pattern, 105: circuit pattern, 1
06: reticle mark, 107: metal-containing film pattern (dimming pattern), 108: laser light, 109: blackened portion (light-shielding band), 110: water-soluble negative resist, 111: exposure light, 112: light-shielding band pattern , 113 pellicle frame, 114 pellicle film, 115 contact portion, 116 reticle stage, 121 light shielding band, 122 wafer alignment mark, 123 halftone pattern, 124 opening pattern, 125 pattern pitch, 151 Mask, 15
3: light-blocking agent (ink), 154: light-blocking agent (ink), 1
55 ... nozzle tip, 156 ... nozzle, 157 ... position control system, 158 ... control system, 159 ... ink supply system, 160 ...
Database, 1501 ... light source, 1502 ... fly-eye lens, 1503 ... illumination shape adjustment aperture, 150
4, 1505: condenser lens, 1506: mirror, 1507: mask 1507, 1508: projection lens, 1509: semiconductor wafer, 1510: pellicle, 1
511: mask position control means, 1512: mask stage, 1513: position detection means, 1514: sample stage, 15
15 ... Z stage 1515, 1516 ... XY stage,
1517: Main control system, 1518, 1519: Driving means, 1520: Mirror, 1521: Laser length measuring device, 15
22 ... Masking blade.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/027 H01L 21/30 502P ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/027 H01L 21/30 502P

Claims (33)

【特許請求の範囲】[Claims] 【請求項1】透明基板と、前記透明基板に形成され、素
子パタンを形成するための半透明位相シフタパタンとを
有し、前記半透明位相シフタパタンは、減光膜と位相調
整のための感光性組成物膜とを有することを特徴とする
フォトマスク。
1. A transparent substrate, and a semi-transparent phase shifter pattern formed on the transparent substrate for forming an element pattern, wherein the semi-transparent phase shifter pattern is a light-reducing film and a photosensitive material for phase adjustment. A photomask comprising: a composition film.
【請求項2】前記感光性組成物膜の厚さは、前記半透明
位相シフタパタンが配置された領域の前記透明基板を透
過した露光光に対し、前記半透明位相シフタパタンが配
置されていない領域の前記透明基板を透過した前記露光
光の位相が反転するように設定されていることを特徴と
する請求項1記載のフォトマスク。
2. The method according to claim 1, wherein the thickness of the photosensitive composition film is such that the exposure light transmitted through the transparent substrate in a region where the translucent phase shifter pattern is disposed is in a region where the translucent phase shifter pattern is not disposed. 2. The photomask according to claim 1, wherein a phase of said exposure light transmitted through said transparent substrate is set to be inverted.
【請求項3】前記感光性組成物膜は、酸発生剤が添加さ
れた感光性塗布ガラス膜であることを特徴とする請求項
1又は2に記載のフォトマスク。
3. The photomask according to claim 1, wherein the photosensitive composition film is a photosensitive coated glass film to which an acid generator has been added.
【請求項4】前記減光膜は、金属を含む膜であることを
特徴とする請求項1乃至3の何れかに記載のフォトマス
ク。
4. The photomask according to claim 1, wherein said dimming film is a film containing a metal.
【請求項5】前記半透明位相シフタパタンの外側に炭素
を主成分とする遮光帯を有することを特徴とする請求項
1乃至4の何れかに記載のフォトマスク。
5. The photomask according to claim 1, wherein a light-shielding band mainly composed of carbon is provided outside the translucent phase shifter pattern.
【請求項6】前記半透明位相シフタパタンの外側に、ネ
ガレジスト膜を含む遮光帯を有することを特徴とする請
求項1乃至4の何れかに記載のフォトマスク。
6. The photomask according to claim 1, wherein a light-shielding band including a negative resist film is provided outside the translucent phase shifter pattern.
【請求項7】前記半透明位相シフタパタンの外側に、更
に微細な開口が複数形成された半透明シフタ領域からな
り、被露光面上で露光光の強度がほぼ0となる遮光帯を
有することを特徴とする請求項1乃至4の何れかに記載
のフォトマスク。
7. A semi-transparent shifter region having a plurality of finer openings formed outside said semi-transparent phase shifter pattern, and having a light-shielding band on the surface to be exposed where the intensity of the exposure light is substantially zero. The photomask according to any one of claims 1 to 4, wherein:
【請求項8】前記半透明位相シフタパタンの外側に、イ
ンクを用いて形成された遮光帯を有することを特徴とす
る請求項1乃至4の何れかに記載のフォトマスク。
8. The photomask according to claim 1, further comprising a light-shielding band formed by using ink outside said translucent phase shifter pattern.
【請求項9】前記遮光帯の中に、ウエハ位置合せマーク
が形成されていることを特徴とする請求項5乃至8の何
れかに記載のフォトマスク。
9. The photomask according to claim 5, wherein a wafer alignment mark is formed in the light-shielding band.
【請求項10】ペリクルフレームが、接着剤により前記
透明基板に直接取り付けられていることを特徴とする請
求項1乃至9の何れかに記載のフォトマスク。
10. The photomask according to claim 1, wherein the pellicle frame is directly attached to the transparent substrate with an adhesive.
【請求項11】透明基板と、前記透明基板に設けられ、
減光膜及び位相調整のための感光性組成物膜からなるハ
ーフトーン位相シフタパタンとを有し、前記感光性組成
物膜の厚さは、前記半透明位相シフタパタンが配置され
た領域の前記透明基板を透過した露光光に対し、前記半
透明位相シフタパタンが配置されていない領域の前記透
明基板を透過した前記露光光の位相差が3πとなるよう
に設定されていることを特徴とするフォトマスク。
11. A transparent substrate, provided on the transparent substrate,
A halftone phase shifter pattern comprising a light-attenuating film and a photosensitive composition film for phase adjustment, wherein the thickness of the photosensitive composition film is in a region where the translucent phase shifter pattern is disposed. A photomask, wherein a phase difference of the exposure light transmitted through the transparent substrate in a region where the translucent phase shifter pattern is not disposed with respect to the exposure light transmitted through is set to 3π.
【請求項12】前記減光膜は、金属を含む膜であること
を特徴とする請求項11に記載のフォトマスク。
12. The photomask according to claim 11, wherein the light reducing film is a film containing a metal.
【請求項13】前記減光膜の厚さは、露光光の透過率が
1〜25%の範囲となるように設定されていることを特
徴とする請求項11又は12に記載のフォトマスク。
13. The photomask according to claim 11, wherein the thickness of the light reducing film is set so that the transmittance of exposure light is in a range of 1 to 25%.
【請求項14】透明基板と、前記透明基板に設けられ、
金属を含む減光膜及び位相調整のための感光性有機膜か
らなる積層構造のハーフトーン位相シフタパタンとを有
ことを特徴とするハーフトーン位相シフトマスク。
14. A transparent substrate, provided on the transparent substrate,
A halftone phase shift mask, comprising: a halftone phase shifter pattern having a laminated structure including a light reducing film containing a metal and a photosensitive organic film for phase adjustment.
【請求項15】前記減光膜は、クロム膜であることを特
徴とする請求項14に記載のフォトマスク。
15. The photomask according to claim 14, wherein the light reducing film is a chromium film.
【請求項16】前記減光膜は、ZrSixOy膜、Si
ON膜、SiN膜、CrFx膜、MoSix膜、CrO
xFy膜、W膜、Ta膜、Ti膜、TiN膜又はWNx
膜であることを特徴とする請求項14に記載のフォトマ
スク。
16. The light attenuating film is a ZrSixOy film, a Si
ON film, SiN film, CrFx film, MoSix film, CrO
xFy film, W film, Ta film, Ti film, TiN film or WNx
The photomask according to claim 14, wherein the photomask is a film.
【請求項17】前記減光膜の厚さは、露光光の透過率が
1〜25%の範囲となるように設定されていることを特
徴とする請求項14乃至16の何れかに記載のフォトマ
スク。
17. The method according to claim 14, wherein the thickness of the light reducing film is set so that the transmittance of the exposure light is in a range of 1 to 25%. Photo mask.
【請求項18】透明基板を準備する工程と、前記透明基
板上に減光膜を形成する工程と、前記減光膜上に位相調
整のための感光性組成物膜を形成する工程と、電子線を
用いて前記感光性組成物膜を露光する工程と、その後前
記感光性組成物膜を現像し、所望の形状を有する感光性
組成物膜とする工程と、前記所望の形状を有する感光性
組成物膜をマスクとして前記減光膜を加工し、前記減光
膜と前記感光性組成物膜との積層構造を有する半透明位
相シフタパタンとする工程とを有することを特徴とする
フォトマスクの製造方法。
18. A step of preparing a transparent substrate, a step of forming a light reducing film on the transparent substrate, a step of forming a photosensitive composition film for phase adjustment on the light reducing film, Exposing the photosensitive composition film using a line, and then developing the photosensitive composition film to form a photosensitive composition film having a desired shape; and Processing the light attenuating film using a composition film as a mask to obtain a translucent phase shifter pattern having a laminated structure of the light attenuating film and the photosensitive composition film. Method.
【請求項19】前記減光膜は、金属を含む膜であること
を特徴とする請求項18に記載のフォトマスクの製造方
法。
19. The method according to claim 18, wherein the light reducing film is a film containing a metal.
【請求項20】前記感光性材料膜を形成する前に、前記
減光膜の表面は疎水化処理されることを特徴とする請求
項18又は19に記載のフォトマスクの製造方法。
20. The method for manufacturing a photomask according to claim 18, wherein a surface of the light reducing film is subjected to a hydrophobic treatment before forming the photosensitive material film.
【請求項21】前記減光膜の加工は、ウエットエッチン
グであることを特徴とする請求項18乃至20の何れか
に記載のフォトマスクの製造方法。
21. The method for manufacturing a photomask according to claim 18, wherein the processing of the light reducing film is wet etching.
【請求項22】前記感光性材料膜はレジスト膜であり、
前記半透明位相シフタパタンの周縁部に赤外線レーザを
照射して、前記レジスト膜を炭化し、遮光帯とする工程
を更に有することを特徴とする請求項18乃至21の何
れかに記載のフォトマスクの製造方法。
22. The photosensitive material film is a resist film,
The photomask according to any one of claims 18 to 21, further comprising a step of irradiating an infrared laser to a peripheral portion of the translucent phase shifter pattern to carbonize the resist film to form a light-shielding band. Production method.
【請求項23】前記半透明位相シフタパタン上にネガレ
ジスト膜を形成し、前記位相シフタの周縁部に前記ネガ
レジスト膜を残して遮光帯とすることを特徴とする請求
項18乃至21の何れかに記載のフォトマスクの製造方
法。
23. A light-shielding band according to claim 18, wherein a negative resist film is formed on the translucent phase shifter pattern, and the light-shielding band is left by leaving the negative resist film on the periphery of the phase shifter. 3. The method for manufacturing a photomask according to 1.
【請求項24】前記半透明位相シフタパタンの周縁部は
微細な開口が複数形成された半透明シフタ領域からな
り、被露光面上で露光光の強度がほぼ0となる遮光帯を
有することを特徴とする請求項18乃至21の何れかに
記載のフォトマスクの製造方法。
24. The translucent phase shifter pattern has a peripheral portion formed of a translucent shifter region in which a plurality of fine openings are formed, and has a light-shielding band on the surface to be exposed where the intensity of the exposure light is substantially zero. The method of manufacturing a photomask according to claim 18.
【請求項25】前記半透明位相シフタパタンの外側に、
インクを用いて遮光帯を形成する工程を更に有すること
を特徴とする請求項18乃至21の何れかに記載のフォ
トマスクの製造方法。
25. Outside the translucent phase shifter pattern,
22. The method for manufacturing a photomask according to claim 18, further comprising a step of forming a light-shielding band using ink.
【請求項26】前記インクはスキャン塗布されることを
特徴とする請求項25に記載のフォトマスクの製造方
法。
26. The method according to claim 25, wherein the ink is applied by scanning.
【請求項27】前記半透明位相シフタパタンの形成され
た領域と形成されていない領域とをそれぞれ透過した露
光光の位相差の微調整は、前記透明基板を掘り込んで行
うことを特徴とする請求項18乃至26の何れかに記載
のフォトマスクの製造方法。
27. The fine adjustment of the phase difference of the exposure light transmitted through the region where the translucent phase shifter pattern is formed and the region where the translucent phase shifter pattern is not formed is dug in the transparent substrate. Item 27. The method for manufacturing a photomask according to any one of Items 18 to 26.
【請求項28】前記透明基板の掘り込みは、ウエットエ
ッチングで行うことを特徴とする請求項27に記載のフ
ォトマスクの製造方法。
28. The method according to claim 27, wherein the digging of the transparent substrate is performed by wet etching.
【請求項29】透明基板を準備する工程と、減光膜及び
位相調整のための感光性組成物膜との積層構造を有する
半透明位相シフタパタンを前記透明基板上に形成する工
程とを有し、前記感光性組成物膜の厚さは、前記半透明
位相シフタパタンが配置された領域の前記透明基板を透
過した露光光に対し、前記半透明位相シフタパタンが配
置されていない領域の前記透明基板を透過した前記露光
光の位相差が3πとなるように設定されていることを特
徴とするフォトマスクの製造方法。
29. A step of preparing a transparent substrate, and a step of forming a translucent phase shifter pattern having a laminated structure of a light reducing film and a photosensitive composition film for phase adjustment on the transparent substrate. The thickness of the photosensitive composition film, the exposure light transmitted through the transparent substrate in the region where the translucent phase shifter pattern is disposed, the transparent substrate in the region where the translucent phase shifter pattern is not disposed A method for manufacturing a photomask, wherein a phase difference of the transmitted exposure light is set to be 3π.
【請求項30】透明基板を準備する工程と、減光膜及び
位相調整のための感光性有機膜との積層構造を有する半
透明位相シフタパタンを前記透明基板上に形成する工程
とを有することを特徴とするフォトマスクの製造方法。
30. A method comprising the steps of: preparing a transparent substrate; and forming a translucent phase shifter pattern having a laminated structure of a light-reducing film and a photosensitive organic film for phase adjustment on the transparent substrate. A method for manufacturing a photomask, which is a feature.
【請求項31】前記感光性有機膜は、化学増幅型電子線
レジスト膜であることを特徴とする請求項30に記載の
フォトマスクの製造方法。
31. The method according to claim 30, wherein the photosensitive organic film is a chemically amplified electron beam resist film.
【請求項32】透明基板を準備する工程と、減光膜及び
酸発生剤が添加された前位相調整のための感光性塗布ガ
ラス膜との積層構造を有する半透明位相シフタパタンを
前記透明基板上に形成する工程とを有することを特徴と
するフォトマスクの製造方法。
32. A translucent phase shifter pattern having a laminated structure of a step of preparing a transparent substrate, and a photosensitive coating glass film for phase adjustment before addition of a light reducing film and an acid generator is formed on the transparent substrate. A method of manufacturing a photomask.
【請求項33】前記感光性塗布ガラス形成後、キュアべ
ークが行われることを特徴とする請求項32に記載のフ
ォトマスクの製造方法。
33. The method according to claim 32, wherein after the formation of the photosensitive coated glass, a curing bake is performed.
JP2001161593A 2001-05-30 2001-05-30 Photomask and method for producing the same Pending JP2002351049A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001161593A JP2002351049A (en) 2001-05-30 2001-05-30 Photomask and method for producing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001161593A JP2002351049A (en) 2001-05-30 2001-05-30 Photomask and method for producing the same

Publications (1)

Publication Number Publication Date
JP2002351049A true JP2002351049A (en) 2002-12-04

Family

ID=19004847

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001161593A Pending JP2002351049A (en) 2001-05-30 2001-05-30 Photomask and method for producing the same

Country Status (1)

Country Link
JP (1) JP2002351049A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009151030A (en) * 2007-12-19 2009-07-09 Toshiba Corp Photomask, method and device for correcting line width of photomask, and electronic device using the same
KR20160044466A (en) 2013-08-21 2016-04-25 다이니폰 인사츠 가부시키가이샤 Mask blank, mask blank with negative resist film, phase shift mask, and method for producing patterned body using same
JP2018044979A (en) * 2016-09-12 2018-03-22 大日本印刷株式会社 Reflection type mask and production method thereof
JP2020140081A (en) * 2019-02-28 2020-09-03 凸版印刷株式会社 Half tone mask, and pattern formation method using the same
US20230096374A1 (en) * 2021-09-29 2023-03-30 International Business Machines Corporation Litho-litho-etch (lle) multi color resist

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009151030A (en) * 2007-12-19 2009-07-09 Toshiba Corp Photomask, method and device for correcting line width of photomask, and electronic device using the same
KR20160044466A (en) 2013-08-21 2016-04-25 다이니폰 인사츠 가부시키가이샤 Mask blank, mask blank with negative resist film, phase shift mask, and method for producing patterned body using same
US9874808B2 (en) 2013-08-21 2018-01-23 Dai Nippon Printing Co., Ltd. Mask blank, mask blank with negative resist film, phase shift mask, and method for producing pattern formed body using same
CN111913344A (en) * 2013-08-21 2020-11-10 大日本印刷株式会社 Phase shift mask and method for manufacturing patterned body using the same
KR20210054599A (en) 2013-08-21 2021-05-13 다이니폰 인사츠 가부시키가이샤 Mask blank, mask blank with negative resist film, phase shift mask, and method for producing patterned body using same
JP2018044979A (en) * 2016-09-12 2018-03-22 大日本印刷株式会社 Reflection type mask and production method thereof
JP2020140081A (en) * 2019-02-28 2020-09-03 凸版印刷株式会社 Half tone mask, and pattern formation method using the same
JP7238469B2 (en) 2019-02-28 2023-03-14 凸版印刷株式会社 Pattern formation method using halftone mask
US20230096374A1 (en) * 2021-09-29 2023-03-30 International Business Machines Corporation Litho-litho-etch (lle) multi color resist

Similar Documents

Publication Publication Date Title
US8067132B2 (en) Photomask and exposure method
US5718991A (en) Method for making photomasks having regions of different light transmissivities
JP2002131883A (en) Method for manufacturing photomask, and photomask
JP3715189B2 (en) Phase shift mask
JPH0669120A (en) Formation of fine resist pattern
TW200303573A (en) Self-aligned pattern formation using dual wavelengths
JP3188673B2 (en) Substrate manufacturing method
US20060134559A1 (en) Method for forming patterns on a semiconductor device
JP2002351049A (en) Photomask and method for producing the same
JP3130777B2 (en) Photomask and method of manufacturing the same
JPH06250376A (en) Phase shift mask and production of phase shift mask
JP2002099072A (en) Method of manufacturing photomask
US5798192A (en) Structure of a mask for use in a lithography process of a semiconductor fabrication
JP2021182099A (en) Method for manufacturing mask blank, mask blank, and photomask
US6261725B1 (en) Phase angle modulation of PSM by chemical treatment method
WO2004088421A1 (en) Mask blank, mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
JP2002148809A (en) Method for producing resist substrate and resist substrate
JP2000031001A (en) Manufacturing facilities for semiconductor device, pattern formation of semiconductor device using the same, and photoresist for semiconductor manufacture to which the facility is applied
JPH0290170A (en) Pattern forming method
US20080057410A1 (en) Method of repairing a photolithographic mask
US7629090B2 (en) Reticle and method of manufacturing method the same
JPH09211842A (en) Light reflection preventive method in formation of electronic circuit by using optical means and apparatus therefor as well as its product
KR100596276B1 (en) Method for forming photoresist pattern
JPH0950115A (en) Production of phase shift photomask having phase shift layer consisting of sog
Yang et al. Photomask and Photoresist