JP2002100553A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
JP2002100553A
JP2002100553A JP2000288229A JP2000288229A JP2002100553A JP 2002100553 A JP2002100553 A JP 2002100553A JP 2000288229 A JP2000288229 A JP 2000288229A JP 2000288229 A JP2000288229 A JP 2000288229A JP 2002100553 A JP2002100553 A JP 2002100553A
Authority
JP
Japan
Prior art keywords
resist
semiconductor device
manufacturing
thickness
developing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000288229A
Other languages
Japanese (ja)
Other versions
JP4392974B2 (en
Inventor
Takeshi Shiomi
竹史 塩見
Hitoshi Tani
仁 谷
Shigeyasu Mori
重恭 森
Masayuki Nakano
雅行 中野
Hiroshi Iwata
浩 岩田
Koichiro Adachi
浩一郎 足立
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2000288229A priority Critical patent/JP4392974B2/en
Publication of JP2002100553A publication Critical patent/JP2002100553A/en
Application granted granted Critical
Publication of JP4392974B2 publication Critical patent/JP4392974B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide the manufacturing method of a semiconductor device that prevents deviation in alignment, and at the same time can reliably carry out patterning between existing patterns even in a foundation having an extremely fine step. SOLUTION: Resist is flatly applied onto the foundation having a step. After that, the resist is positively turned into a thin film to the upper section of the step. A region from the upper section to the lower one in the step is subjected to overlap exposure and is developed for forming a resist pattern merely at the lower section of the step in a self-alignment manner. The resist pattern is low, and at the same time adheres not only onto the bottom surface of the step but also onto the side wall of the step, thus preventing deformation and collapse in the resist pattern. Also, alignment margin to a foundation pattern is not required, thus fining an element.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置の製
造方法、その方法を用いて製造されたトランジスタおよ
び携帯情報端末、並びに、レジスト塗布装置およびレジ
スト現像装置に関し、特に、段差のある下地に対して自
己整合的にパターニングするリソグラフィー技術に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, a transistor and a portable information terminal manufactured by using the method, and a resist coating apparatus and a resist developing apparatus. Lithography technology for performing self-aligned patterning.

【0002】[0002]

【従来の技術】LSI(大規模集積回路)の製造プロセス
において、パターニングを行う下地において、段差や凹
凸が多く見られるものが多く、これらの下地に対して確
実にパターニングすると共に、アライメントを精度高く
行うことができるリソグラフィー技術が求められてい
る。
2. Description of the Related Art In the process of manufacturing an LSI (Large Scale Integrated Circuit), many steps and irregularities are often found on the underlying layer for patterning. There is a need for lithographic techniques that can be performed.

【0003】従来、半導体装置の製造方法としては、図
25に示すものがある(特開平1−292829号公報
参照)。この半導体装置の製造方法では、既存パターン
1701が形成された半導体基板1702上全面に、そ
の既存パターン1701よりも厚くならない程度の厚さ
にレジスト1703を塗布する。そして、図示しない露
光マスクを用いて露光、現像を行って、各既存パターン
1701上のレジスト1703を、その既存パターン1
701よりも少し広めに除去して、図25(b)に示すよ
うに、既存パターン1701とレジストパターン170
5との間に微小な隙間1706を設ける。そして、上記
レジストパターン1705を加熱変形させて、図25
(c)に示すように、上記隙間1706を埋め込むと共
に、既存パターン1701の上面を露出させている。
Conventionally, as a method of manufacturing a semiconductor device, there is a method shown in FIG. 25 (see Japanese Patent Application Laid-Open No. 1-292829). In this method of manufacturing a semiconductor device, a resist 1703 is applied to the entire surface of a semiconductor substrate 1702 on which an existing pattern 1701 is formed so as not to be thicker than the existing pattern 1701. Then, exposure and development are performed using an exposure mask (not shown), and the resist 1703 on each existing pattern 1701 is removed from the existing pattern 1.
701 is removed to be slightly wider than 701, and the existing pattern 1701 and the resist pattern 170 are removed as shown in FIG.
5 is provided with a minute gap 1706. Then, the resist pattern 1705 is deformed by heating, and
As shown in (c), the gap 1706 is buried and the upper surface of the existing pattern 1701 is exposed.

【0004】一方、MOS型電界効果トランジスタ(M
OSFET)に関しては、大規模集積回路(LSI)の集
積度の向上に伴い、LSIを構成するのMOSFETの
サイズは益々縮小化されてきた。集積度をより一層向上
させるためには、あるいは、動作速度を高めるために
は、MOSFETのゲート長をさらに短くする必要があ
る。しかし、従来構造のMOSFETでは、ソース・ド
レイン領域と半導体基板との間に形成されるPN接合
が、どうしても半導体基板の主面から離れた位置(深い
位置)にあったため、短チャンネル効果が起こりやすか
った。従来のMOSFETでは、短チャネル効果による
特性劣化を避けるために、ゲート長を短くすることが困
難であるという問題があった。
On the other hand, a MOS type field effect transistor (M
With respect to OSFETs), the size of MOSFETs constituting LSIs has been increasingly reduced with the increase in the degree of integration of large-scale integrated circuits (LSIs). In order to further improve the degree of integration or to increase the operation speed, it is necessary to further shorten the gate length of the MOSFET. However, in the MOSFET having the conventional structure, the PN junction formed between the source / drain region and the semiconductor substrate is necessarily located at a position (deep position) apart from the main surface of the semiconductor substrate, so that the short channel effect is likely to occur. Was. The conventional MOSFET has a problem that it is difficult to shorten the gate length in order to avoid characteristic deterioration due to the short channel effect.

【0005】この問題を解決するため、図28に示すよ
うな構造を持つMOSFETが提案された(特開昭61
−196577号公報)。図28のMOSFETは、以
下のようにして製造される。
In order to solve this problem, a MOSFET having a structure as shown in FIG.
-196577). The MOSFET of FIG. 28 is manufactured as follows.

【0006】まず、図27(a)に示すように、単結晶シ
リコン基板1901の主面に活性領域とフィールド酸化
膜1902を形成する。図27(a)および(b)では、一
つの活性領域のみが示されているが、実際のLSIで
は、一つのシリコン基板1901の主面に多数の活性領
域が形成されており、それらの活性領域はフィールド酸
化膜1902によって相互に電気的に分離されている。
次に、公知の製造技術によって、ゲート絶縁膜190
3、ゲート電極1904および絶縁膜1905を形成す
る。上記絶縁膜1905はゲート電極1904の表面を
覆っている。
First, as shown in FIG. 27A, an active region and a field oxide film 1902 are formed on a main surface of a single crystal silicon substrate 1901. Although only one active region is shown in FIGS. 27A and 27B, in an actual LSI, a large number of active regions are formed on the main surface of one silicon substrate 1901. The regions are electrically separated from each other by a field oxide film 1902.
Next, the gate insulating film 190 is formed by a known manufacturing technique.
3. A gate electrode 1904 and an insulating film 1905 are formed. The insulating film 1905 covers the surface of the gate electrode 1904.

【0007】この後、上記シリコン基板1901の活性
領域のうちシリコン表面が露出している部分の上に、図
27(b)に示すように、選択的に、半導体層(シリコン
層)1906をエピタキシャル成長させる。さらに、こ
のエピタキシャル成長した半導体層(エピタキシャル成
長層)1906に不純物をドープして、エピタキシャル
成長層1906からシリコン基板1901の表面近傍に
不純物を拡散させる。こうして、シリコン基板1901
の主面から比較的に浅い位置に(深さが30nmから80n
m程度の位置に)PN接合を形成する。
Thereafter, a semiconductor layer (silicon layer) 1906 is selectively epitaxially grown on a portion of the active region of the silicon substrate 1901 where the silicon surface is exposed, as shown in FIG. Let it. Further, impurities are doped into the epitaxially grown semiconductor layer (epitaxially grown layer) 1906 to diffuse the impurities from the epitaxially grown layer 1906 to the vicinity of the surface of the silicon substrate 1901. Thus, the silicon substrate 1901
At a relatively shallow position from the main surface of the
A PN junction is formed (at a position of about m).

【0008】次に、図28に示すように、全面に層間絶
縁膜1907を堆積し、この層間絶縁膜1907の所望
の位置にコンタクト孔1908を開口し、さらに、上部
配線1909を形成して、MOSFETを得る。
Next, as shown in FIG. 28, an interlayer insulating film 1907 is deposited on the entire surface, a contact hole 1908 is opened at a desired position of the interlayer insulating film 1907, and an upper wiring 1909 is formed. Obtain a MOSFET.

【0009】図28に示すMOSFETは、「積み上げ
拡散層型MOSFET」と呼ばれる。これは、ソース・
ドレイン領域として機能する拡散層が、不純物の拡散さ
れたエピタキシャル成長層(積み上げた層)1906とシ
リコン基板1901の表面近傍の薄い不純物拡散層とに
よって形成されているからである。
The MOSFET shown in FIG. 28 is called a "stacked diffusion layer type MOSFET". This is the source
This is because a diffusion layer functioning as a drain region is formed by an epitaxially grown layer (stacked layer) 1906 in which impurities are diffused and a thin impurity diffusion layer near the surface of the silicon substrate 1901.

【0010】[0010]

【発明が解決しようとする課題】ところで、リソグラフ
イー技術に関し、微細化が進む中、従来のアライメント
技術では、確実なアライメントの制御が困難になってき
ている。
By the way, with respect to the lithographic technology, as the miniaturization progresses, it is becoming difficult to perform reliable alignment control with the conventional alignment technology.

【0011】このため、図25に示す従来の半導体装置
の製造方法を実施すると、露光の時にアライメントのず
れが起こると、図26(a)に示すように、レジストパタ
ーン1805が既存パターン1701の上に乗り上げる
一方、既存パターン1701とレジストパターン180
5との間に大きな溝1806が生じてしまう。そのた
め、レジストパターン1805を加熱変形させても、図
26(b)に示すように、既存パターン1701の上にま
で、レジスト残り1805aが発生し、また、既存パタ
ーン1701とレジストパターン1805との間の大き
な溝1806は、確実に埋めることができない。このた
め、次工程であるエッチングで、このレジストパターン
1805をマスクとして、エッチングを行ったとして
も、上記埋めることができなかった溝1806の底の領
域の半導体基板1702がエッチングされてしまうとい
う不具合が起こる。さらに、この方法では、既存パター
ン1701,1701の間にレジストを埋め込むための
加熱変形処理を行っているために、パターニングされた
既存パターン1701が変形する。このため、微細化が
困難であり、特にパターンの線幅制御が困難になる。ま
た、レジストを埋め込んだ所に、後から、第2の露光と
現像を行ったとしても、変形するまで加熱を行っている
ため、精度の高いパターニングは困難である。
For this reason, when the conventional method for manufacturing a semiconductor device shown in FIG. 25 is carried out, if a misalignment occurs at the time of exposure, as shown in FIG. The existing pattern 1701 and the resist pattern 180
5, a large groove 1806 is generated. Therefore, even if the resist pattern 1805 is deformed by heating, as shown in FIG. 26 (b), a resist residue 1805a is generated on the existing pattern 1701, and a gap between the existing pattern 1701 and the resist pattern 1805 is formed. The large groove 1806 cannot be reliably filled. Therefore, even if etching is performed by using the resist pattern 1805 as a mask in the next step of etching, the semiconductor substrate 1702 in the bottom region of the groove 1806 that cannot be filled is etched. Occur. Further, in this method, since the heating deformation process for embedding the resist between the existing patterns 1701 and 1701 is performed, the patterned existing pattern 1701 is deformed. For this reason, miniaturization is difficult, and in particular, it is difficult to control the line width of the pattern. Further, even if the second exposure and development are performed later in the area where the resist is embedded, heating is performed until the resist is deformed, so that high-precision patterning is difficult.

【0012】そこで、この発明の目的は、非常に微細な
段差を有する下地においても、アライメントのずれが起
こらず、かつ、確実に既存パターンの間にパターニング
することができる半導体装置の製造方法を提供すること
にある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a method of manufacturing a semiconductor device which does not cause misalignment and can reliably perform patterning between existing patterns even on a base having a very fine step. Is to do.

【0013】また、図28に示す従来のMOSFETに
は、以下に示すような問題点がある。
Further, the conventional MOSFET shown in FIG. 28 has the following problems.

【0014】すなわち、図27(b)に示すように、選択
的エピタキシャル成長法を用いてエピタキシャル成長層
1906を形成すると、ゲート電極1904の側面近傍
において、どうしてもファセットが形成されてしまう。
このファセットの形成された部分では、エピタキシャル
成長層1906の厚さが他の部分よりも薄くなる。この
ため、固相拡散、気相拡散またはイオン注入等の不純物
ドーピング技術を用いて不純物をエピタキシャル成長層
1906にドープして、不純物活性化のための熱処理を
施すと、シリコン基板1901中に形成された不純物拡
散層の不純物濃度プロファイルが設計値から変化してし
まう。より具体的には、シリコン基板1901中に形成
されるPN接合が、ファセットの直下において、局所的
に深くなってしまうために(例えば、100nmから15
0nm程度の深さに達するために)、短チャンネル効果を
十分に抑制することができなくなる。
That is, as shown in FIG. 27B, when the epitaxial growth layer 1906 is formed by using the selective epitaxial growth method, a facet is inevitably formed near the side surface of the gate electrode 1904.
In the portion where the facet is formed, the thickness of the epitaxial growth layer 1906 is smaller than in other portions. Therefore, when an impurity is doped into the epitaxial growth layer 1906 by using an impurity doping technique such as solid phase diffusion, vapor phase diffusion, or ion implantation and a heat treatment for activating the impurity is performed, the impurity is formed in the silicon substrate 1901. The impurity concentration profile of the impurity diffusion layer changes from the design value. More specifically, the PN junction formed in the silicon substrate 1901 becomes locally deep immediately below the facet (for example, 100 nm to 15 nm).
In order to reach a depth of about 0 nm), the short channel effect cannot be sufficiently suppressed.

【0015】また、シリコンの選択エピタキシャル成長
技術は、大量の水素を使用するため、装置の規模も大き
く、製造コストも高い。また、エピタキシャル成長の前
処理温度(1000℃以上)や、成長温度(900℃から
1100℃)が高いので、不純物が深く拡散しやすく、
不純物の濃度プロファイルを所望の形状に制御すること
が困難である。さらに、比較的に大きな熱応力が発生す
るため、ゲート電極1904の近傍、およびフィールド
酸化膜1902のエッジ近傍に結晶欠陥が生じやすく
て、り―ク電流が増大する。
Further, since the silicon selective epitaxial growth technique uses a large amount of hydrogen, the scale of the apparatus is large and the manufacturing cost is high. In addition, since the pre-treatment temperature (1000 ° C. or higher) of the epitaxial growth and the growth temperature (900 ° C. to 1100 ° C.) are high, impurities are easily diffused deeply,
It is difficult to control the impurity concentration profile to a desired shape. Further, since a relatively large thermal stress is generated, crystal defects are likely to occur near the gate electrode 1904 and near the edge of the field oxide film 1902, and the leak current increases.

【0016】また、素子の微細化が進んで、素子分離領
域1902とゲート電極1904の距離が小さくなると
(ソース・ドレイン活性領域が小さくなると)、所望の膜
厚のエピタキシャル成長層1906を制御性良く形成す
ることが難しくなる。
Further, as the miniaturization of elements progresses and the distance between the element isolation region 1902 and the gate electrode 1904 decreases,
When the source / drain active region becomes smaller, it becomes difficult to form the epitaxial growth layer 1906 having a desired thickness with good controllability.

【0017】そこで、この発明のもう1つの目的は、接
合リークが少なく、かつ、短チャンネル効果の起こりに
くいMOSFET、TFT(薄膜トランジスタ)等のトラ
ンジスタを提供することにある。
Therefore, another object of the present invention is to provide a transistor such as a MOSFET or a TFT (thin film transistor) which has a small junction leak and hardly causes a short channel effect.

【0018】[0018]

【課題を解決するための手段】上記課題を解決するため
に、この発明の半導体装置の製造方法は、段差のある下
地にレジストを平坦に塗布する工程と、上記段差のある
下地に塗布したレジストの膜厚を、そのレジストの塗布
直後の膜厚よりも積極的に薄くする工程と、上記レジス
トを露光する工程と、現像する工程とを備えて、レジス
トパターンを段差の下部に形成することを特徴としてい
る。
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention comprises a step of flatly applying a resist to a stepped base, and a step of applying a resist to the stepped base. Forming a resist pattern below the step, including a step of positively reducing the film thickness of the resist from the film thickness immediately after the application of the resist, a step of exposing the resist, and a step of developing. Features.

【0019】上記半導体装置の製造方法によると、レジ
ストパターンを形成する工程において、まず、段差のあ
る下地に対してレジストを平坦に塗布する。具体的に
は、例えば、低粘度の5cp以下のレジストを3000
rpm以下の低回転で塗布する。低粘度、低速回転によ
り、ストリエーション(ウェーハの中心側から外側に向
かう彗星が尾を引いたように発生する塗布むら)の発生
を押さえることができ、非常に平坦に塗布できる。
According to the above-described method for manufacturing a semiconductor device, in the step of forming a resist pattern, first, a resist is applied flat to a stepped base. Specifically, for example, a low-viscosity resist having a viscosity of 5 cp or less is 3000
The coating is performed at a low rotation of not more than rpm. The low viscosity and low speed rotation can suppress striation (coating unevenness that occurs as if the comet from the center side of the wafer to the outside is trailing), and can be applied very flatly.

【0020】次に、上記レジストの膜厚を、そのレジス
トの塗布直後の膜厚よりも積極的に薄くする。なお、レ
ジストは塗布後ベーク等で通常で5%程度塗布直後の膜
厚よりも薄くなリ、また、現像工程においても、通常で
5%〜10%塗布直後の膜厚よりも薄くなることが知ら
れている。しかしながら、この発明で述べている「積極
的に薄くする工程」とは、そういった膜減りではなく、
積極的にレジストの膜厚を、塗布直後の膜厚よりも薄く
することを言う。好ましくは、段差より厚くレジストを
塗布した後、段差上部まで薄くする。あるいは、段差の
上面(凸部の上面)よりも低くしてもよい。しかし、上記
レジストを全て取り除くのではなく、少なくとも、エッ
チングに耐え得る膜厚を残すことがポイントである。上
記レジストの表面が平坦であるため、段差上部までレジ
ストを薄くしても、段差の下部(凹部の底)には十分な膜
厚のレジストを残すことができる。なお、段差下部の面
積の広い所にまでレジストパターンを形成してもよい。
Next, the thickness of the resist is made positively smaller than the thickness immediately after the application of the resist. The resist is usually thinner than the film thickness immediately after the application by about 5% by baking after the application, and also in the development step, the resist is usually thinner than the film thickness immediately after the application by 5% to 10%. Are known. However, the “actively thinning step” described in the present invention is not such a film reduction,
It means that the film thickness of the resist is made thinner than the film thickness immediately after application. Preferably, after applying a resist thicker than the step, the resist is thinned to the upper part of the step. Alternatively, it may be lower than the upper surface of the step (the upper surface of the convex portion). However, the point is not to remove all the resist but to leave at least a film thickness that can withstand etching. Since the surface of the resist is flat, even if the resist is thinned to the upper part of the step, the resist having a sufficient film thickness can be left under the step (the bottom of the concave portion). Note that the resist pattern may be formed even in a large area under the step.

【0021】このようにして、上記レジストを段差下部
にだけに残すことができるので、露光工程でアライメン
トのズレの影響を考えることなく、確実に段差下部のみ
に自己整合的にレジストパターンを形成することができ
る。
In this way, since the resist can be left only below the step, the resist pattern can be securely formed only under the step in a self-aligned manner without considering the influence of misalignment in the exposure step. be able to.

【0022】さらに、上記レジストを塗布直後の膜厚よ
りも積極的に薄くする工程を含むことにより、段差上部
の不必要なレジストが除去される。このため、段差の下
部(凹部)のみに段差側壁に対して自己整合的にレジスト
をパターニングすることができる。その際、レジストパ
ターンの高さは低くなっており、かつ、レジストパター
ンが下地の段差(凹部)の底面だけでなく側面にも密着し
ているため、レジストパターンの変形や倒壊を防ぐこと
ができる。また、下地パターンに対するアライメントマ
ージンを設ける必要がなくなるので、素子が微細化でき
る。
Further, by including a step of positively reducing the thickness of the resist immediately after the application, unnecessary resist on the upper part of the step is removed. Therefore, the resist can be patterned in a self-alignment manner only with respect to the step side wall only at the lower portion (recess) of the step. At that time, the height of the resist pattern is low, and the resist pattern is in close contact with not only the bottom surface but also the side surface of the step (recess) of the base, so that deformation and collapse of the resist pattern can be prevented. . Further, since there is no need to provide an alignment margin for the underlying pattern, the element can be miniaturized.

【0023】この発明の1実施の形態では、上記レジス
トの膜厚を、そのレジストの塗布直後の膜厚よりも積極
的に薄くする工程は、上記レジストを下地に平坦に塗布
する工程と、上記レジストを露光する工程との間に行
う。
In one embodiment of the present invention, the step of positively making the film thickness of the resist smaller than the film thickness immediately after the application of the resist includes the step of flatly applying the resist on a base and the step of This is performed between the step of exposing the resist.

【0024】このようにすると、制御性良くレジストを
塗布直後の膜厚よりも積極的に薄くすることができる。
さらに、レジストを薄膜化する工程が、現像する工程よ
り前に行われるため、制御性良く現像することができ、
現像残りを抑制することができる。
This makes it possible to positively reduce the thickness of the resist immediately after coating with good controllability.
Further, since the step of thinning the resist is performed before the step of developing, the resist can be developed with good controllability.
Residual development can be suppressed.

【0025】また、この発明の1実施の形態では、上記
レジストの膜厚を、そのレジストの塗布直後の膜厚より
も積極的に薄くする工程は、上記レジストを露光する工
程と、上記現像する工程との間に行う。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist includes the step of exposing the resist and the step of developing. Performed between the steps.

【0026】このようにすると、通常のフォトリソグラ
フィーと同じように制御性良く露光することができ、パ
ターンの線幅等を安定させることができる。さらに、レ
ジストを積極的に薄膜化する工程が、現像する工程より
前に行われるため、制御性良く現像することができ、現
像残りを抑制することができる。
In this manner, exposure can be performed with good controllability, similarly to ordinary photolithography, and the line width of the pattern can be stabilized. Further, since the step of positively thinning the resist is performed before the step of developing, the resist can be developed with good controllability, and the undeveloped residue can be suppressed.

【0027】また、この発明の1実施の形態では、上記
レジストの膜厚を、そのレジストの塗布直後の膜厚より
も積極的に薄くする工程は、上記現像する工程後に行
う。
In one embodiment of the present invention, the step of positively reducing the film thickness of the resist from the film thickness immediately after the application of the resist is performed after the developing step.

【0028】このようにすると、制御性良く露光するこ
とができる。さらに、レジストパターンの形成が確認さ
れた後に、レジストの薄膜化を行うため、レジストパタ
ーンの異常などを早期に発見することができる。
By doing so, exposure can be performed with good controllability. Further, after the formation of the resist pattern is confirmed, the resist is thinned, so that an abnormality of the resist pattern can be found at an early stage.

【0029】また、この発明の1実施の形態では、上記
レジストの膜厚を薄くしたい領域を露光して現像して、
上記領域のレジストの膜厚を薄くする。
In one embodiment of the present invention, a region where the thickness of the resist is to be reduced is exposed to light and developed.
The thickness of the resist in the above region is reduced.

【0030】このようにすると、レジストを薄膜化する
ために、新たなる他の装置を使用することなく、現像工
程で使用する装置を用いることが可能であるので、新た
なる設備投資をすることなく、この実施の形態を実現で
きる。さらに、フォトリソグラフィー工程を行うエリア
内での、処理に限定されるので、運搬等によるダストの
問題が解消される。さらに、この現像する工程は、汎用
的に使用している現像液を使用することが可能なので、
新たな現像液を使用する必要がなく、この実施の形態を
実施するために新たなコストが発生しない。
In this way, it is possible to use a device used in the developing step without using another new device in order to make the resist thinner, so that no new capital investment is required. This embodiment can be realized. Further, since the processing is limited to the processing in the area where the photolithography process is performed, the problem of dust due to transportation or the like is eliminated. Furthermore, since the developing step can use a commonly used developer,
There is no need to use a new developer, and no additional costs are incurred for implementing this embodiment.

【0031】また、この発明の1実施形態では、上記レ
ジストの膜厚を、そのレジストの塗布直後の膜厚よりも
積極的に薄くする工程は、ウェットエッチング工程であ
る。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist is a wet etching step.

【0032】このようにすると、レジストをより低ダメ
ージに、レジストを塗布直後の膜厚よりも積極的に薄く
することができる。また、ウェットエッチングに使用す
る薬液の濃度、または、温度により、レジストを塗布直
後の膜厚よりも薄くするエッチングレートの制御が容易
にできる。
This makes it possible to make the resist less damaged and to positively reduce the thickness of the resist immediately after coating. Further, it is possible to easily control the etching rate for making the resist thinner than the film thickness immediately after the application of the resist, depending on the concentration or the temperature of the chemical solution used for the wet etching.

【0033】また、この発明の1実施の形態では、上記
ウェットエッチングは、現像液を用いて行なう。
In one embodiment of the present invention, the wet etching is performed using a developer.

【0034】このようにすると、現像する工程にて用い
られている装置を使用することが可能であるため、新た
な装置を使用する必要がない。また、廃液ラインの設備
なども同じ物が使用できる。したがって、新たなる設備
投資をすることなく、この実施の形態を実現できる。さ
らに、フォトリソグラフィー工程を行うエリア内での処
理に限定されるので、運搬等によるダストの問題が低減
される。さらに、通常のフォトリソグラフィーの工程よ
りも、1工程増やすことにより、この実施の形態の実現
が可能である。
In this case, since the apparatus used in the developing step can be used, it is not necessary to use a new apparatus. The same equipment can be used for the waste liquid line equipment. Therefore, this embodiment can be realized without making new capital investment. Further, since the processing is limited to the processing in the area where the photolithography process is performed, the problem of dust caused by transportation or the like is reduced. Further, this embodiment can be realized by adding one step to the ordinary photolithography step.

【0035】なお、ウェットエッチングの工程は、現像
液に限らず、イソプロピルアルコールに、アセトンまた
はプロピレングリコールモノメチルエーテルアセテート
を混合したものを用いてもよい。また、キシレンに酢酸
ブチルまたはNメチルピロリドンを混合したものを用い
てもよい。
The wet etching step is not limited to a developing solution, and a mixture of isopropyl alcohol and acetone or propylene glycol monomethyl ether acetate may be used. Further, a mixture of xylene and butyl acetate or N-methylpyrrolidone may be used.

【0036】また、この発明の1実施の形態では、上記
現像液を用いたウェットエッチングの工程において、被
エッチングレジストが、ネガ型レジストの場合、濃度が
標準値の2分の1以下のテトラメチルアンモニウムハイ
ドロオキサイド現像液を使用する。また、ポジ型レジス
トの場合、濃度が標準値の2倍以上のテトラメチルアン
モニウムハイドロオキサイド現像液を使用する。
In one embodiment of the present invention, when the resist to be etched is a negative resist, the concentration of tetramethyl is less than half the standard value in the wet etching step using the developing solution. Use an ammonium hydroxide developer. In the case of a positive resist, a tetramethylammonium hydroxide developer having a concentration of at least twice the standard value is used.

【0037】このようにすると、レジストの薄膜化を低
ダメージで行うことができる。また、レジストの薄膜化
の際のエッチングレートの制御性が向上する。
This makes it possible to reduce the thickness of the resist with low damage. Further, the controllability of the etching rate when the resist is made thinner is improved.

【0038】また、この発明の1実施の形態では、上記
レジストの膜厚を、そのレジストの塗布直後の膜厚より
も積極的に薄くする工程は、化学的機械研磨(CMP)工
程である。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist is a chemical mechanical polishing (CMP) step.

【0039】このようにすると、レジストを塗布直後の
膜厚よりも積極的に薄くする工程で、レジスト表面をよ
り平坦にすることが可能である。
In this way, the resist surface can be made flatter in the step of positively reducing the thickness of the resist immediately after coating.

【0040】また、この発明の1実施の形態では、上記
レジストの膜厚を、そのレジストの塗布直後の膜厚より
も積極的に薄くする工程は、ドライエッチング工程であ
る。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist is a dry etching step.

【0041】このようにすると、EPD(エンドポイン
ト検出)が使用できる。さらに、ウェットプロセスを用
いないオールドライプロセスに対応させる場合、クラス
ターツールを用いることができる。そうすると、レジス
トをCVD(化学的気相成長)法により基板の上に堆積し
た後、大気にさらすことなく連続処理で、レジストを薄
くすることが可能になる。
In this way, EPD (Endpoint Detection) can be used. Further, when an all-dry process that does not use a wet process is used, a cluster tool can be used. Then, after depositing the resist on the substrate by the CVD (Chemical Vapor Deposition) method, it is possible to make the resist thin by continuous processing without exposing it to the air.

【0042】また、この発明の1実施の形態では、上記
レジストを露光する工程は、実際に残したい段差下部の
レジストパターンよりも大きくて段差の上部にまで至る
領域を露光するオーバラップ露光をする工程を含む。
In one embodiment of the present invention, in the step of exposing the resist, overlapping exposure is performed to expose a region which is larger than the resist pattern below the step to be actually left and extends to the upper part of the step. Process.

【0043】この場合、レジストを段差下部に形成する
ことと、オーバーラップ露光をすることとにより、アラ
イメントのズレが起っても、必要な段差側壁部分まで確
実に露光をすることができて、段差の側壁とレジストパ
ターンとの間に隙間ができないようにでき、かつ、精確
にレジストパターンを形成することができる。
In this case, by forming the resist below the step and performing the overlap exposure, even if the alignment is misaligned, it is possible to surely expose the necessary step side wall portion. A gap can not be formed between the side wall of the step and the resist pattern, and the resist pattern can be formed accurately.

【0044】また、この発明の1実施の形態では、上記
レジストはポジ型レジストであり、上記レジストの全面
または所定の領域を露光して、上記下地の段差の側壁に
レジストによるサイドウォールを形成する。
In one embodiment of the present invention, the resist is a positive resist, and the entire surface or a predetermined region of the resist is exposed to form a side wall of the resist on the side wall of the step of the base. .

【0045】上記段差の側壁の近傍には、露光の光りが
入り込みにくいために、側壁近傍のポジ型レジストは現
像に必要な十分な感光ができないので、レジストのサイ
ドウォールを段差の側壁に自己整合的に形成できる。こ
のため、このサイドウォールをイオン注入のマスクとし
て使用することも可能である。例えば、段差がゲートで
あった場合、この実施の形態のレジストパターンの形成
方法を用いて、レジストのサイドウォールを形成した
後、イオン注入を行うことにより、ゲートに対して一定
の距離の所に自己整合的にイオンの注入を行うことがで
きる。また、露光量やPEB(露光後ベーク)の温度を変
えることにより、必要となるイオン注入のマスクを形成
することができる。
Since the exposure light does not easily enter the vicinity of the side wall of the step, the positive type resist near the side wall cannot be sufficiently exposed to light required for development. Therefore, the side wall of the resist is self-aligned with the side wall of the step. Can be formed. Therefore, it is possible to use the sidewall as a mask for ion implantation. For example, when the step is a gate, a resist is formed by using the method for forming a resist pattern according to the present embodiment, and then ion implantation is performed, so that the gate is at a certain distance from the gate. Ions can be implanted in a self-aligned manner. Further, by changing the exposure amount and the temperature of PEB (post-exposure bake), a necessary ion implantation mask can be formed.

【0046】また、この発明の1実施の形態では、上記
レジストはネガ型レジストであり、上記レジストの全面
または所定の領域を露光して、上記下地の段差の側壁と
レジストパターンとの間に隙間を形成する。
In one embodiment of the present invention, the resist is a negative type resist, and the entire surface or a predetermined region of the resist is exposed to form a gap between the side wall of the step of the base and the resist pattern. To form

【0047】上記段差側壁の近傍には露光の光りが入り
込みにくいために、側壁近傍のネガ型レジストは現像に
必要な十分な感光ができないので、段差の側壁とレジス
トパターンとの間の隙間を段差の側壁に対して自己整合
的に形成できる。このため、下地パターンがゲート電極
の場合、ソース・ドレイン領域の加工をゲート電極に対
して自己整合的に形成できる。
Since the exposure light does not easily enter the vicinity of the step side wall, the negative resist near the side wall cannot perform sufficient exposure required for development. Therefore, the gap between the side wall of the step and the resist pattern is formed in the step. Can be formed in a self-aligned manner with respect to the side wall of the substrate. Therefore, when the underlying pattern is a gate electrode, processing of the source / drain region can be formed in a self-aligned manner with respect to the gate electrode.

【0048】また、この発明の1実施の形態は、半導体
基板上に、少なくとも側壁が絶縁膜で覆われた電極また
は配線を形成する工程と、導電性膜を堆積する工程と、
レジストを平坦に塗布する工程と、そのレジストの膜厚
をレジスト塗布直後の膜厚よりも積極的に薄くする工程
と、そのレジストをマスクとして上記導電性膜をエッチ
ングして、上記電極または配線の上部の導電性膜を除去
する工程を含む。
In one embodiment of the present invention, a step of forming an electrode or a wiring having at least a side wall covered with an insulating film on a semiconductor substrate; a step of depositing a conductive film;
A step of applying a resist flat, a step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist, and etching the conductive film using the resist as a mask to form the electrode or the wiring. And removing the upper conductive film.

【0049】この場合、最小ピッチで形成された配線領
域においても、その配線間に次の配線を埋め込むことに
よって、自己整合的に配線を制御性良く形成することが
できる。このため、リソグラフィー技術の限界以上に、
上記電極もしくは配線を高密度化することができる。
In this case, even in the wiring region formed at the minimum pitch, the wiring can be formed in a self-aligned manner with good controllability by embedding the next wiring between the wirings. For this reason, beyond the limits of lithography technology,
The density of the electrodes or wirings can be increased.

【0050】また、この発明の1実施の形態は、上記電
極または配線は、素子分離領域と活性領域とに大別され
る半導体基板上に形成されており、上記ゲート電極は上
記活性領域上にゲート絶縁膜を介して形成されている。
In one embodiment of the present invention, the electrode or the wiring is formed on a semiconductor substrate roughly divided into an element isolation region and an active region, and the gate electrode is formed on the active region. It is formed via a gate insulating film.

【0051】こうした場合、エピタキシャル成長方法に
比べて、上記電極によって、ソース・ドレイン領域を自
己整合的に積み上げて、ファセットが存在しないソース
・ドレイン領域を形成できる。したがって、短チャネル
効果を抑制すると共に、駆動力の大きい素子を得ること
ができる。また、従来のエピタキシャル成長方法では、
1000℃の水素ベークが必要であるのに対して、CV
D法では水素ベークが必要なくてプロセス温度も600
℃程度と低いので、熱応力などによる接合リークが発生
しない。さらに、積み上げられたソース・ドレイン領域
を、素子分離領域に対してオーバーラップさせる(ソー
ス・ドレイン活性領域より大きくする)ことができるの
で、ソース・ドレイン上にコンタクトを形成する際の自
由度が大きくなると同時に、ゲート電極と素子分離領域
との距離を従来よりも小さくすることができて、素子の
微細化が可能になる。
In such a case, as compared with the epitaxial growth method, the source / drain regions can be stacked in a self-aligned manner by the electrodes to form the source / drain regions having no facets. Therefore, an element having a large driving force can be obtained while suppressing the short channel effect. Also, in the conventional epitaxial growth method,
While hydrogen baking at 1000 ° C is required, CV
Method D does not require hydrogen baking and has a process temperature of 600
Since the temperature is as low as about ° C., no junction leak occurs due to thermal stress or the like. Furthermore, since the stacked source / drain regions can overlap with the element isolation region (be larger than the source / drain active regions), the degree of freedom in forming a contact on the source / drain is increased. At the same time, the distance between the gate electrode and the element isolation region can be made smaller than before, and the element can be miniaturized.

【0052】また、この発明の1実施の形態では、上記
段差は、絶縁膜で覆われた電極または配線である。
In one embodiment of the present invention, the step is an electrode or a wiring covered with an insulating film.

【0053】この場合、導電性膜をエッチングする際
に、上記ゲート電極がエッチング雰囲気にさらされるこ
とがないので、素子の劣化を抑制できると共に、上記ゲ
ート電極と導電性膜に同じ材質を用いることができる。
In this case, when the conductive film is etched, the gate electrode is not exposed to the etching atmosphere, so that deterioration of the device can be suppressed and the same material is used for the gate electrode and the conductive film. Can be.

【0054】また、この発明の1実施の形態は、上記レ
ジストの膜厚をレジスト塗布直後の膜厚よりも積極的に
薄くする工程と、そのレジストを露光、現像してレジス
トパターンを形成する工程と、そのレジストパターンを
マスクとして上記導電性膜をエッチングする工程と、上
記電極または配線の両側に位置する第2の電極あるいは
任意の場所に第2の配線を形成する工程を含む。
Further, in one embodiment of the present invention, a step of positively reducing the thickness of the above-mentioned resist from the thickness immediately after application of the resist, and a step of exposing and developing the resist to form a resist pattern And etching the conductive film using the resist pattern as a mask, and forming a second wiring at a second electrode located at both sides of the electrode or the wiring or at an arbitrary position.

【0055】この場合、上記電極または配線が存在しな
い領域にも、所望の導電性膜のパターン(配線)を得るこ
とができ、設計の自由度が高くなる。さらに、ソース・
ドレイン領域を、シリコン基板の表面近傍の薄い不純物
拡散層に、不純物の拡散されたエピタキシャル成長層を
積み上げて形成する積み上げ拡散層型のMOSFETに
おいて、ソースとドレインが上記導電性膜の不要な部分
を通して短絡しないように、その不要な部分を除去する
ためのパターニングを、他の必要な部分のためのパター
ニングと同時に一回の露光で可能になる。つまり、電極
上部の導電性膜の除去と、導電性膜のパターン(配線)の
形成と、ソースとドレインの分離を行うためのレジスト
パターンの形成が、通常のリソグラフィー工程に、レジ
ストをそのレジストの塗布直後の膜厚よりも薄くする工
程を、追加するだけで実現できる。
In this case, a desired conductive film pattern (wiring) can be obtained even in a region where the above-mentioned electrodes or wirings do not exist, and the degree of design freedom is increased. In addition, the source
In a stacked diffusion layer type MOSFET in which a drain region is formed by stacking an epitaxially grown layer in which an impurity is diffused on a thin impurity diffusion layer near the surface of a silicon substrate, a source and a drain are short-circuited through unnecessary portions of the conductive film. In order to avoid this, patterning for removing unnecessary portions can be performed in a single exposure simultaneously with patterning for other necessary portions. In other words, the removal of the conductive film on the electrode, the formation of the pattern (wiring) of the conductive film, and the formation of a resist pattern for separating the source and the drain are performed in a normal lithography step, and the resist is applied to the resist. It can be realized only by adding a step of making the film thickness smaller than the film thickness immediately after application.

【0056】また、この発明の1実施の形態では、上記
導電性膜は、多結晶シリコン膜、非晶質シリコン膜、多
結晶シリコンゲルマ膜、非晶質シリコンゲルマ膜、高融
点金属膜、もしくは、多結晶シリコン膜、非晶質シリコ
ン膜、多結晶シリコンゲルマ膜または非晶質シリコンゲ
ルマ膜と高融点金属膜との複合膜である。
In one embodiment of the present invention, the conductive film is a polycrystalline silicon film, an amorphous silicon film, a polycrystalline silicon germanium film, an amorphous silicon germanium film, a refractory metal film, or , A polycrystalline silicon film, an amorphous silicon film, a polycrystalline silicon germanium film, or a composite film of an amorphous silicon germanium film and a high melting point metal film.

【0057】この場合、ソース・ドレイン領域に不純物
を拡散させて活性化させる熱処理を行う際に、上記導電
性膜と半導体基板との界面までは、拡散速度が非常に速
い一方、半導体基板中の拡散速度は遅いので、チャネル
領域より下の領域に位置するソース・ドレイン領域の深
さが、積み上げ領域の高さのバラツキにより影響されに
くくなり、浅い接合を制御性良く形成することができ
る。
In this case, when performing a heat treatment for diffusing an impurity into the source / drain region and activating the impurity, the diffusion speed is very high up to the interface between the conductive film and the semiconductor substrate, while the diffusion speed is very high. Since the diffusion speed is low, the depth of the source / drain regions located in the region below the channel region is less affected by the variation in the height of the stacked region, and a shallow junction can be formed with good controllability.

【0058】この発明の1実施の形態では、上記段差
は、導電性膜で覆われた電極または配線である。
In one embodiment of the present invention, the step is an electrode or a wiring covered with a conductive film.

【0059】この発明の1実施の形態のトランジスタ
は、上記半導体装置の製造方法を用いて製造される。
The transistor according to one embodiment of the present invention is manufactured by using the above-described method for manufacturing a semiconductor device.

【0060】この発明の1実施の形態では、上記トラン
ジスタは、積み上げ拡散層型のMOSFETである。
In one embodiment of the present invention, the transistor is a stacked diffusion layer type MOSFET.

【0061】この場合、上記半導体装置の製造方法を用
いているので、アライメントのズレがないため、アライ
メントのマージンを持たせる必要がなくなる。特に、積
み上げ拡散層型MOSFETを製造する場合、安定した
プロセスで製造することができて、短チャネル効果を抑
制でき、接合リーク電流を少なくでき、さらに、しきい
ち電圧を下げることも可能になリ、安定したMOSFE
Tが得られる。
In this case, since the above-described method for manufacturing a semiconductor device is used, there is no misalignment, so that there is no need to provide an alignment margin. In particular, when manufacturing a stacked diffusion layer type MOSFET, it can be manufactured by a stable process, the short channel effect can be suppressed, the junction leakage current can be reduced, and the threshold voltage can be reduced. , Stable MOSFE
T is obtained.

【0062】この発明の1実施の形態の携帯情報端末は
上記トランジスタを備える。
A portable information terminal according to an embodiment of the present invention includes the above-described transistor.

【0063】この場合、携帯情報端末は、上記トランジ
スタを備えるので、より安定した機能を備えることがで
きる。
In this case, since the portable information terminal includes the above transistor, a more stable function can be provided.

【0064】この発明のレジスト塗布装置は、レジスト
に溶剤を供給する手段を備えて、レジストの粘度を変化
させることができる。
The resist coating apparatus of the present invention includes means for supplying a solvent to the resist, and can change the viscosity of the resist.

【0065】1実施の形態のレジスト塗布装置は、レジ
ストやウェーハやコーターカップの温度を変化させる手
段、または、レジストの溶剤の量を変化させる手段の少
なくとも一方を備えて、レジストの粘度を最適化するこ
とができる。
The resist coating apparatus according to one embodiment is provided with at least one of a means for changing the temperature of the resist, the wafer and the coater cup, and a means for changing the amount of the solvent of the resist to optimize the viscosity of the resist. can do.

【0066】この場合、レジストの膜厚の制御を、レジ
スト吐出後のウェーハの回転数(rpm)を制御すると共
に、上記手段を用いることにより、同じレジストで、さ
らに同じ粘度であっても、レジストの膜厚を以前よりも
幅広く制御できる。
In this case, the film thickness of the resist is controlled by controlling the number of revolutions (rpm) of the wafer after discharging the resist, and by using the above-described means, even if the resist has the same viscosity and the same viscosity, Can be controlled more widely than before.

【0067】また、この発明の1実施の形態では、レジ
ストを冷却する機能を用いた装置や、加熱と冷却の両方
の機能を備える装置を備える。
In one embodiment of the present invention, there is provided an apparatus using a function of cooling a resist, or an apparatus having both functions of heating and cooling.

【0068】この場合、レジストの膜厚をより幅広く制
御できる。
In this case, the thickness of the resist can be controlled more widely.

【0069】また、この発明の1実施の形態では、レジ
スト膜厚の制御を、レジスト吐出後のウェーハの回転数
を制御すると共に、レジストの溶質と溶剤との混合比を
変化させる手段を備える。
Further, in one embodiment of the present invention, the resist film thickness is controlled by controlling the number of rotations of the wafer after discharging the resist and changing the mixing ratio between the solute and the solvent of the resist.

【0070】この場合、同じレジストであっても、任意
にレジスト膜厚を以前よりも幅広く制御できる。また、
粘度の異なるレジストの容器を、複数本レジスト塗布装
置に接続する必要がなくなり、材料管理の面からもコス
トダウンがはかれる。さらに、溶剤を加える等によりレ
ジスト使用量を抑えることができる。このため、予め高
粘度のレジストを用意して、レジストの吐出量を抑制す
ることによって、レジストの消費量を大幅に削減でき、
コストダウンをはかれる。
In this case, even with the same resist, the resist film thickness can be arbitrarily controlled more widely than before. Also,
There is no need to connect a plurality of resist containers having different viscosities to the resist coating apparatus, and the cost can be reduced in terms of material management. Further, the amount of resist used can be reduced by adding a solvent or the like. Therefore, by preparing a high-viscosity resist in advance and suppressing the discharge amount of the resist, it is possible to significantly reduce the consumption of the resist,
Reduce costs.

【0071】この発明の1実施の形態のレジスト現像装
置は、現像液の濃度を、現像液に純水を加えて変化させ
る手段を備える。
The resist developing apparatus according to one embodiment of the present invention includes means for changing the concentration of the developing solution by adding pure water to the developing solution.

【0072】また、この発明の1実施の形態では、レジ
ストの現像レートを、現像液やウェーハや現像カップの
温度を変化させる手段、または、現像液の濃度を変える
手段の少なくとも一方によって、調節する。
In one embodiment of the present invention, the developing rate of the resist is adjusted by at least one of a means for changing the temperature of the developing solution, the wafer or the developing cup, and a means for changing the concentration of the developing solution. .

【0073】この場合、現像の制御を、ウェーハを現像
液に浸す時間と上記手段の機能を用いることにより、同
じ現像液で、さらに同じ濃度であっても、以前より様々
なレジストを幅広く制御できる。
In this case, by controlling the development by using the function of the above means and the time for immersing the wafer in the developing solution, various resists can be controlled more widely than before even with the same developing solution and the same concentration. .

【0074】また、この発明の1実施の形態は、レジス
トまたは現像液を加熱する機能、または、加熱と冷却の
両方を行える機能を備える。
Further, one embodiment of the present invention has a function of heating a resist or a developer, or a function of performing both heating and cooling.

【0075】この場合、レジストの膜厚をより幅広く制
御できる。
In this case, the thickness of the resist can be controlled more widely.

【0076】また、1実施の形態では、現像工程の制御
を、現像液と純水との混合比を変化させて任意に現像工
程を制御できる。
In one embodiment, the control of the developing step can be arbitrarily controlled by changing the mixing ratio of the developer and pure water.

【0077】この場合、濃度の異なる現像液の系統を複
数本接続する必要がなくなり、材料管理の面からもコス
トダウンがはかれる。さらに、純水を加える等により現
像液使用料を抑えることができる。このため、現像液の
吐出量を抑制することはもとより、予め高濃度の現像液
を用意しておくことで、現像液の消費量を大幅に削減で
きて、コストダウンをはかれる。
In this case, there is no need to connect a plurality of developer systems having different concentrations, and the cost can be reduced from the viewpoint of material management. Further, a developer usage fee can be reduced by adding pure water or the like. Therefore, not only by suppressing the discharge amount of the developing solution but also by preparing a high-concentration developing solution in advance, the consumption amount of the developing solution can be significantly reduced, and the cost can be reduced.

【0078】[0078]

【発明の実施の形態】以下、この発明を図示の実施の形
態により詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described in detail with reference to the illustrated embodiments.

【0079】まず、実施の形態1〜6に共通な下地の構
造を図1(a),(b)により説明する。図1(a),(b)は段
差のある下地を示し、図1(a)は図1(b)の線108に
沿った断面図であり、図1(b)は平面図である。なお、
ここで段差とは凹凸を含む概念である。
First, the structure of the underlayer common to the first to sixth embodiments will be described with reference to FIGS. 1 (a) and 1 (b). 1 (a) and 1 (b) show a stepped base, FIG. 1 (a) is a cross-sectional view taken along line 108 in FIG. 1 (b), and FIG. 1 (b) is a plan view. In addition,
Here, the step is a concept including unevenness.

【0080】図1(a)に示すように、シリコン基板10
1上に、絶縁膜102および多結晶シリコン膜105を
0.25μm程度堆積し、さらに、シリコン酸化膜10
6を0.20μm程度堆積する。次に、周知のリソグラ
フイー技術を用いてレジストをパターニングし、そのレ
ジストをマスクとしてシリコン酸化膜106を加工した
後、レジストを除去する。次に、上記シリコン酸化膜1
06をマスクとして、多結晶シリコン膜105を加工し
てゲート電極を形成した後、シリコン窒化膜104を5
0nm程堆積する。
As shown in FIG. 1A, the silicon substrate 10
1, an insulating film 102 and a polycrystalline silicon film 105 are deposited on the order of 0.25 μm.
6 is deposited on the order of 0.20 μm. Next, the resist is patterned using a well-known lithographic technique, the silicon oxide film 106 is processed using the resist as a mask, and the resist is removed. Next, the silicon oxide film 1
After the gate electrode is formed by processing the polycrystalline silicon film 105 using the mask 06 as a mask, the silicon nitride film 104 is
Deposit about 0 nm.

【0081】また、上記ゲート電極である多結晶シリコ
ン膜105は、長さが0.24μm、幅が2.5μmに加
工されている。また、段差の上面107の高さは0.4
5μmである。以下の実施の形態1〜6では、段差の凹
部の底103のシリコン窒化膜104の上にレジストを
自己整合的にパターニングする。また、以後の図2から
図11においては、段差のある下地を全体として示し、
図1のような下地の詳細な構造は省略している。すなわ
ち、図2から図11における上下の図における段差のあ
る下地は、図1(a)と図1(b)と同じことを示す。
The polycrystalline silicon film 105 serving as the gate electrode has a length of 0.24 μm and a width of 2.5 μm. The height of the upper surface 107 of the step is 0.4
5 μm. In the following first to sixth embodiments, a resist is patterned on the silicon nitride film 104 at the bottom 103 of the stepped recess in a self-aligned manner. Further, in FIG. 2 to FIG. 11 described below, a base having a step is shown as a whole,
The detailed structure of the base as shown in FIG. 1 is omitted. That is, the stepped bases in the upper and lower views in FIGS. 2 to 11 indicate the same as those in FIGS. 1A and 1B.

【0082】(実施の形態1)この実施の形態1は、図2
に示す段差のある下地200に、ネガ型レジスト201
を平坦に塗布し、その後、ネガ型レジスト201を積極
的に薄膜化し、露光して現像することにより、段差下部
(凹部)だけにレジストパターン206を形成する方法で
ある。図2(a)から(d)の上段の図は断面図であり、下
段の図は平面図である。
(Embodiment 1) This embodiment 1 is different from FIG.
A negative resist 201 is provided on a base 200 having a step shown in FIG.
Is applied flatly, and then the negative resist 201 is positively thinned, exposed and developed, so that the lower part of the step is formed.
This is a method in which the resist pattern 206 is formed only in the (recess). 2A to 2D are cross-sectional views, and the lower views are plan views.

【0083】まず、図2(a)に示すように、段差の有る
下地200に、レジスト201を平坦に塗布する。この
とき、下地の段差の影響を受けないように平坦に塗布す
るために、低粘度の化学増幅系ネガ型レジストTDUR-N9
08 4.5cp(東京応化工業株式会社製)を2000rp
m程度の低回転で塗布した。その後、プリベーク(塗布
後ベーク)を110℃、90秒の条件で行った。上記レ
ジスト201の膜厚は段差下部(凹部)で、700nm程度
であリ、かつ、レジスト201の表面は図2(a)に示す
ように平坦であった。
First, as shown in FIG. 2A, a resist 201 is applied evenly on a base 200 having a step. At this time, a low-viscosity chemically amplified negative resist TDUR-N9 was used to apply the coating flat so as not to be affected by the step of the base.
08 4.5 cp (manufactured by Tokyo Ohka Kogyo Co., Ltd.) at 2000 rpm
The coating was performed at a low rotation of about m. Thereafter, prebaking (baking after application) was performed at 110 ° C. for 90 seconds. The film thickness of the resist 201 was about 700 nm below the step (recess), and the surface of the resist 201 was flat as shown in FIG.

【0084】この塗布のとき、レジスト201は、TDUR
‐N908 4.5CPに限らず次工程のエッチングや注入
等に耐え得るようなものであれば良い。また、レジスト
201の粘度は5cp以下の低粘度であれば、下地20
0の段差(凹凸)の影響を受けずに一般に平坦に塗布でき
るが、レジスト201はより低粘度である方が平坦化の
観点から好ましい。また、図示しないスピンコータを低
回転にすることによって下地200を低回転にして、下
地200にレジスト201をより厚く塗る程、レジスト
201の平坦性はより下地200の段差の影響を受けな
い。このため、できるだけ、下地200を低回転にして
レジスト201を厚く塗布するのが好ましい。しかし、
それでも、段差が大きすぎることや、凹凸が一定に存在
しないなどに理由により、レジスト201の表面を平坦
にするのが困難な場合は、予め下地200にダミーパタ
ーンを形成する方法により、下地200自体の凹凸をで
きる限り均一にするのも有力な方法である。また、プリ
ベークは110℃程度が、次の工程である薄膜化工程で
の均一性や、レートの安定を考慮すると最適条件である
が、80℃から130℃程度でも可能である。また、他
のレジストを使用する場合も通常のフォトリソグラフィ
ーで使用するプリベーク温度よりも高めの温度が好まし
い。
At the time of this coating, the resist 201 is made of TDUR
-N908 Not limited to 4.5 CP, but any material that can withstand etching, implantation, or the like in the next step may be used. If the viscosity of the resist 201 is as low as 5 cp or less, the undercoat 20
In general, the resist 201 can be applied flat without being affected by a step (unevenness) of 0, but it is preferable that the resist 201 has a lower viscosity from the viewpoint of flattening. In addition, the lower the rotation of the spin coater (not shown), the lower the rotation of the underlayer 200, and the thicker the resist 201 is applied to the underlayer 200, the less the flatness of the resist 201 is affected by the step of the underlayer 200. For this reason, it is preferable to apply the resist 201 as thickly as possible with the lower rotation of the base 200. But,
Still, if it is difficult to flatten the surface of the resist 201 due to an excessively large step or unevenness of the surface of the resist 201, a method of forming a dummy pattern on the underlayer 200 in advance may be used. It is also an effective method to make the unevenness of the surface as uniform as possible. Prebaking is about 110 ° C., which is the optimal condition in consideration of uniformity in the next step of thinning and stability of the rate. However, it is possible to perform the prebaking at about 80 ° C. to 130 ° C. Also, when using another resist, a temperature higher than the pre-bake temperature used in ordinary photolithography is preferable.

【0085】次に、通常の現像工程で使用する濃度より
も低濃度のテトラメチルアンモニウムハイドロオキサイ
ド(TMAH(住友化学工業株式会社製のSOPD‐R))である0.
1N水溶液である現像液を用意する。この現像液は、1
分間に90Åレジスト201を薄くできるから(13図
の点B参照)、この現像液にレジスト201を240秒
程浸してレジスト201の表面を350nm除去する(図
12の点A参照)。こうして、図2(b)に示すように、
レジスト201を積極的に薄膜化して、段差の上部20
2を露出させる。
Next, tetramethylammonium hydroxide (TMAH (SOPD-R manufactured by Sumitomo Chemical Co., Ltd.)) having a concentration lower than that used in the ordinary developing process is used.
A developer that is a 1N aqueous solution is prepared. This developer contains 1
Since the resist 201 can be made thinner by 90 ° per minute (see point B in FIG. 13), the resist 201 is immersed in this developing solution for about 240 seconds to remove the surface of the resist 201 by 350 nm (see point A in FIG. 12). Thus, as shown in FIG.
The resist 201 is positively thinned, and the upper part 20 of the step is formed.
Expose 2

【0086】次に、薄膜化されたレジスト201が塗布
された下地201を純水で洗浄してスピン乾燥させる
(スピン乾燥後、さらにベークプレートを用いても良
い)。
Next, the base 201 coated with the thinned resist 201 is washed with pure water and spin-dried.
(After spin drying, a bake plate may be further used).

【0087】ここで、図13は、レジスト201を、薄
膜化するための現像液の濃度とエッチングレートの関係
を示したものである。図12は、レジスト201を、図
13の点Bの現像液によりエッチングしたときの、エッ
チング量とエッチング時間の関係を示している。この関
係から、段差の上部202を露出させるために最適なエ
ッチング時間(図12の点A)を得た。
FIG. 13 shows the relationship between the concentration of the developing solution for thinning the resist 201 and the etching rate. FIG. 12 shows the relationship between the amount of etching and the etching time when the resist 201 is etched with the developing solution at point B in FIG. From this relationship, an optimum etching time (point A in FIG. 12) for exposing the upper portion 202 of the step was obtained.

【0088】このレジスト201の薄膜化工程の後の現
像工程において、レジストパターンの5%〜10%程度
の膜減りが起こる。このため、この膜減りを考慮して、
段差の上部202にある程度レジスト201を残しても
よい。
In the development step after the step of thinning the resist 201, the resist pattern is reduced in film thickness by about 5% to 10%. For this reason, taking this film reduction into account,
The resist 201 may be left to some extent on the upper part 202 of the step.

【0089】また、レジストを薄膜化させる条件は、
0.1Nの現像液がエッチングレートが安定しているた
め制御性がよい。しかし、0.005Nから0.26Nの
現像液でも処理時間をうまく調整すれば可能である。な
かでも、通常使用する濃度の半分以下の濃度がよい。し
かし、濃度が高ければ高いほどレートが早くなるため、
制御が困難になる。また、濃度が低ければ低いほどレー
トが遅くなるので、スループットが悪くなる(図13参
照)。なお、他の現像液においても、図13とほぼ同様
なエッチング特性が得られるので、他の現像液を使用し
てもこの実施の形態は実現可能である。
The conditions for thinning the resist are as follows:
Since the etching rate of the 0.1 N developer is stable, the controllability is good. However, a developer of 0.005N to 0.26N can be used if the processing time is properly adjusted. Above all, a concentration that is less than half the concentration normally used is preferred. However, the higher the concentration, the faster the rate,
Control becomes difficult. In addition, the lower the concentration, the lower the rate, and the lower the throughput (see FIG. 13). It should be noted that since the same etching characteristics as those in FIG. 13 can be obtained with other developing solutions, this embodiment can be realized even if other developing solutions are used.

【0090】次に、図2(c)に示すように、露光マスク
204を用いて、KrFエキシマレーザー(248nm)ス
テッパーで露光量580J/m2、 開口数(NA)0.6、
コヒーレンシ(σ)0.65 の条件で、レジスト201の
領域205をレーザー光203でオーバラップ露光す
る。すなわち、図2(c)に示すように、上記露光された
領域205は、実際に残すレジストパターン206(図
2(d)参照)よりも、そのレジストパターン206が密
着する段差の側壁を超えて延びる状態で、レジストパタ
ーン206にオーバラップしている。より詳しくは、図
2(d)に示す実際に残したいレジストパターン26より
も、図2(c)に示す露光された領域205が、レジスト
パターン206を段差の側壁に密着させる方向に0.0
5μm程度大きくなるように、レジスト201をオーバ
ラップ露光する。こうして、レジストパターン206を
密着させる方向にアライメントのずれ起こっても、レジ
ストパターン206を密着させる部分に確実に露光がで
きるようにする。こうすることによって、密着させる方
向のアライメントのずれが生じても、レジストパターン
206を所望の位置に精確に形成できる。
Next, as shown in FIG. 2C, using an exposure mask 204, a KrF excimer laser (248 nm) stepper was used to expose 580 J / m 2 , a numerical aperture (NA) of 0.6, and
Under the condition of coherency (σ) 0.65, the area 205 of the resist 201 is overlap-exposed with the laser beam 203. That is, as shown in FIG. 2 (c), the exposed region 205 exceeds the resist pattern 206 actually left (see FIG. 2 (d)) beyond the side wall of the step where the resist pattern 206 adheres. In an extended state, it overlaps with the resist pattern 206. More specifically, the exposed region 205 shown in FIG. 2C has a smaller thickness than the resist pattern 26 actually desired to be left shown in FIG.
The resist 201 is overlap-exposed so as to be about 5 μm larger. In this way, even if the alignment shifts in the direction in which the resist pattern 206 is brought into close contact, the portion where the resist pattern 206 is brought into close contact can be reliably exposed. By doing so, the resist pattern 206 can be accurately formed at a desired position even if a misalignment occurs in the direction of contact.

【0091】この露光のとき、長さ0.24μmのレジ
ストパターン206をより良い形状にパターニングする
には、露光量580J/m2 、開口数(NA)0.6、 コヒ
ーレンシ(σ)0.65程度の条件がベストであるが、こ
ういった条件は装置に依存する。また、オーバーラップ
露光についても、アライメントのずれの考えられる装置
のアライメント精度の2倍程度でよい。
In this exposure, in order to pattern the resist pattern 206 having a length of 0.24 μm into a better shape, the exposure amount is 580 J / m 2 , the numerical aperture (NA) is 0.6, and the coherency (σ) is 0.65. Conditions of the degree are best, but these conditions are device dependent. Also, the overlap exposure may be about twice as high as the alignment accuracy of an apparatus in which misalignment is considered.

【0092】次に、露光後ベーク(PEB)を、130℃
で、90秒間行い、現像液(2.38% TMAH水溶液 東京
応化学工業株式会社製 NMD-W)に60秒間浸し、さら
に、ポストベーク(現像液処理後ベーク)110℃で60
秒間行う。このようにして、現像を行うと、図2(d)に
示すように、下地200の段差の側壁に密着してその密
着方向に対してアライメントのずれのないレジストパタ
ーン206を形成することができた。
Next, the post-exposure bake (PEB) was performed at 130 ° C.
Immersion in a developer (2.38% TMAH aqueous solution, NMD-W manufactured by Tokyo Ohka Kogyo Co., Ltd.) for 60 seconds, and further post-baking (baking after developing solution treatment) at 110 ° C. for 60 seconds.
Perform for seconds. When the development is performed in this manner, as shown in FIG. 2D, a resist pattern 206 that is in close contact with the side wall of the step of the base 200 and has no misalignment with respect to the contact direction can be formed. Was.

【0093】このとき、現像時間は、レジスト201の
膜厚が薄いので、30秒から80秒の間で可能であり、
PEBも110〜140℃程度は可能であるが、それに
伴って露光量も大きく左右される。ポストベークは、8
0℃〜120℃程度は可能である。
At this time, the development time can be between 30 seconds and 80 seconds because the thickness of the resist 201 is small.
PEB can be about 110 to 140 ° C., but the amount of exposure greatly depends on it. Post bake is 8
About 0 ° C to 120 ° C is possible.

【0094】以上、この実施の形態1により完成したレ
ジストパターン206は、そのパターン高さがレジスト
201の塗布時よりも低くなっている上に、レジストパ
ターン206が下地200の底面だけでなく段差側面に
も密着しているので、レジストパターン206の変形や
倒壊を防ぐことができる。
As described above, the resist pattern 206 completed according to the first embodiment has a pattern height lower than that at the time of the application of the resist 201, and the resist pattern 206 is formed not only on the bottom surface of the base 200 but also on the step side surface. Therefore, the resist pattern 206 can be prevented from being deformed or collapsed.

【0095】(実施の形態2)この実施の形態2は、実施
の形態1とは、薄膜化と露光の工程の順序が逆になって
いる。
(Embodiment 2) The second embodiment is different from the first embodiment in the order of the steps of thinning and exposing.

【0096】この実施の形態2は、図3に示す段差のあ
る下地300に、ネガ型レジスト301を平坦に塗布
し、その後、露光し、ネガ型レジスト301を積極的に
薄膜化し、現像することにより、段差下部(凹部)だけに
レジストパターン307を形成する方法である。図3
(a)から(d)の上段の図は断面図であり、下段の図は平
面図である。
In the second embodiment, a negative resist 301 is applied flat to a stepped base 300 shown in FIG. 3, then exposed, and the negative resist 301 is positively thinned and developed. In this method, the resist pattern 307 is formed only in the lower part (recess) of the step. FIG.
The upper figures in (a) to (d) are cross-sectional views, and the lower figures are plan views.

【0097】まず、図3(a)に示すように、段差の有る
下地300に、ネガ型レジスト301を平坦に塗布す
る。
First, as shown in FIG. 3A, a negative resist 301 is applied flatly on a base 300 having a step.

【0098】次に、実施の形態1と同様に、図3(b)に
示すように、露光マスク303を用いて、レーザー光3
02でレジスト301の領域304を露光する。この露
光は、領域304が段差の上部306の一部に重なるよ
うに、段差の上部306も露光するオーバラップ露光で
ある。
Next, similarly to the first embodiment, as shown in FIG.
In step 02, the region 304 of the resist 301 is exposed. This exposure is an overlap exposure in which the upper portion 306 of the step is also exposed so that the region 304 partially overlaps the upper portion 306 of the step.

【0099】この露光のとき、レジスト301の表面
が、実施の形態1と異なって、レジスト301の薄膜化
前であるため、通常のフォトリソグラフィーと同じ安定
した露光ができる。
At the time of this exposure, unlike the first embodiment, the surface of the resist 301 is before the thinning of the resist 301, so that the same stable exposure as in ordinary photolithography can be performed.

【0100】次に、図3(c)に示すように、実施の形態
1と同様に、レジスト301を積極的に薄膜化して、段
差の上部306を露出させる。
Next, as shown in FIG. 3C, similarly to the first embodiment, the resist 301 is positively thinned to expose the upper portion 306 of the step.

【0101】この薄膜化のとき、実施の形態1と違って
いるのは、レジスト301を露光してから薄膜化してい
るために、露光されているところは、PEB前であるに
も拘わらず、少しは反応が進んでいるため、図12に示
すように、感光部(露光部)の方が未感光部(未露光部)よ
りも薄膜化のレートが遅くなってしまうので、実施の形
態1よりも、多めの時間で薄膜化しなければならない。
The difference from the first embodiment at the time of this thinning is that the resist 301 is exposed and then thinned. Since the reaction proceeds a little, as shown in FIG. 12, the rate of thinning of the exposed portion (exposed portion) is lower than that of the unexposed portion (unexposed portion). Instead, the film must be thinned in more time.

【0102】次に、実施の形態1と同様に現像すると、
図3(d)に示すように、段差の側壁に密着してアライメ
ントのずれのないレジストパターン307が形成され
る。
Next, when development is performed in the same manner as in the first embodiment,
As shown in FIG. 3D, a resist pattern 307 having no misalignment is formed in close contact with the side wall of the step.

【0103】この実施の形態2のレジストパターン30
7は、そのパターン高さがレジスト301の塗布時より
も低くなっており、かつ、レジストパターン307が下
地300の底面だけでなく段差側面にも密着していの
で、レジストパターン307の変形や倒壊を防ぐことが
できる。
The resist pattern 30 according to the second embodiment
7 has a pattern height lower than that at the time of application of the resist 301, and the resist pattern 307 adheres not only to the bottom surface of the base 300 but also to the side surface of the step, so that the resist pattern 307 is not deformed or collapsed. Can be prevented.

【0104】(実施の形態3)この実施の形態3は、実施
の形態1のネガ型レジストをポジ型レジストに代えたも
のである。
(Embodiment 3) In Embodiment 3, the negative resist of Embodiment 1 is replaced with a positive resist.

【0105】この実施の形態3は、図4(a)に示す段差
のある下地400に、ポジ型レジスト401を平坦に塗
布し、その後、ポジ型レジスト401を積極的に薄膜化
し、露光して現像することにより、段差下部(凹部)だけ
にレジストパターン406を形成する方法である。図4
(a)から(d)の上段の図は断面図であり、下段の図は平
面図である。
In the third embodiment, a positive resist 401 is applied flat on a stepped base 400 shown in FIG. 4A, and then the positive resist 401 is positively thinned and exposed. This is a method in which a resist pattern 406 is formed only in the lower part of the step (recess) by developing. FIG.
The upper figures in (a) to (d) are cross-sectional views, and the lower figures are plan views.

【0106】まず、実施の形態1と同様に、図4(a)に
示すように、段差の有る下地400に、ポジ型レジスト
401を平坦に塗布する。
First, as in the first embodiment, as shown in FIG. 4A, a positive resist 401 is flatly applied to a base 400 having a step.

【0107】次に、通常の現像工程で使用する濃度より
も高濃度のTMAH(住友化学工業株式会社製のSOPD‐R)の
0.7N水溶液である現像液を用意する。この現像液
は、1分間に90Åレジスト401を薄くできるから
(図15の点D参照)、この現像液にレジスト401を2
40秒浸して、レジスト表面をを360nm除去する(図
14の点C参照)。こうして、図4(b)に示すように、
レジスト401を積極的に薄膜化して、段差の上部40
2を露出させる。
Next, a developing solution is prepared which is a 0.7N aqueous solution of TMAH (SOPD-R manufactured by Sumitomo Chemical Co., Ltd.) having a higher concentration than that used in the ordinary developing step. This developer can make the resist 401 thinner in one minute.
(See point D in FIG. 15).
After immersion for 40 seconds, the resist surface is removed by 360 nm (see point C in FIG. 14). Thus, as shown in FIG.
The resist 401 is positively thinned, and the upper part 40 of the step is formed.
Expose 2

【0108】ここで、図15は、レジスト401を薄膜
化するための現像液の濃度とエッチングレートとの関係
を示したものである。図14は、レジスト401を図1
5の点Dの現像液によりエッチングしたときのエッチン
グ量とエッチング時間との関係を示している。この関係
から、段差上部402を確実に露出させる最適なエッチ
ング時間を得た(図14の点C参照)。
Here, FIG. 15 shows the relationship between the concentration of the developing solution for thinning the resist 401 and the etching rate. FIG. 14 shows the resist 401 in FIG.
5 shows the relationship between the etching amount and the etching time when etching is performed with the developing solution at point D of No. 5. From this relationship, an optimal etching time for surely exposing the step upper portion 402 was obtained (see point C in FIG. 14).

【0109】この薄膜化のとき、現像液の濃度は、0.
7N程度が制御し易い。しかし、0.05Nから2.6N
でも処理時間をうまく調整すれば可能である。なかで
も、通常使用する濃度の2倍以上の濃度がよい。しか
し、濃度が高ければ高いほどレートが早くなって、制御
がし難く、また、逆に濃度が低ければ低いほどレートが
遅くなってスループットが悪くなる(図15参照)。
At the time of this thinning, the concentration of the developing solution is 0.1.
About 7N is easy to control. However, from 0.05N to 2.6N
However, it is possible if the processing time is adjusted appropriately. Above all, a concentration that is at least twice the concentration that is usually used is preferred. However, the higher the density, the faster the rate becomes, making it difficult to control, and conversely, the lower the density, the slower the rate becomes, and the throughput becomes worse (see FIG. 15).

【0110】次に、実施の形態1と同様に、図4(c)に
示すように、マスク404を用いて、レーザー光403
で領域405以外の領域をオーバーラップ露光する。こ
のオーバラップ露光では、露光されない領域405が段
差の上部402の一部に重なる。さらに、現像すると、
図4(d)に示すように、段差の側壁に密着してアライメ
ントのずれのないレジストパターン406が形成され
る。
Next, similarly to the first embodiment, as shown in FIG.
The area other than the area 405 is overlap exposed. In this overlap exposure, an unexposed area 405 overlaps a part of the upper part 402 of the step. Furthermore, when developed,
As shown in FIG. 4D, a resist pattern 406 having no misalignment is formed in close contact with the side wall of the step.

【0111】この実施の形態3のレジストパターン40
6は、そのパターン高さがレジスト401の塗布時より
も低くなっており、かつ、レジストパターン406が下
地400の底面だけでなく段差側面にも密着していの
で、レジストパターン406の変形や倒壊を防ぐことが
できる。
The resist pattern 40 according to the third embodiment
In No. 6, the pattern height is lower than that when the resist 401 is applied, and the resist pattern 406 adheres not only to the bottom surface of the underlayer 400 but also to the step side surface. Can be prevented.

【0112】(実施の形態4)この実施の形態4は、実施
の形態3とは、薄膜化と露光の工程の順序が逆になって
いる。
(Embodiment 4) Embodiment 4 is different from Embodiment 3 in the order of the steps of thinning and exposure.

【0113】この実施の形態4は、図5に示す段差のあ
る下地500に、ポジ型レジスト501を平坦に塗布
し、その後、露光し、ポジ型レジスト501を積極的に
薄膜化し、現像することにより、段差下部(凹部)だけに
レジストパターン507を形成する方法である。図5
(a)から(d)の上段の図は断面図であり、下段の図は平
面図である。
In the fourth embodiment, a positive resist 501 is applied flatly to a stepped base 500 shown in FIG. 5, then exposed, and the positive resist 501 is positively thinned and developed. In this method, the resist pattern 507 is formed only in the lower part (recess) of the step. FIG.
The upper figures in (a) to (d) are cross-sectional views, and the lower figures are plan views.

【0114】まず、図5(a)に示すように、段差の有る
下地500に、ポジ型レジスト501を平坦に塗布す
る。
First, as shown in FIG. 5A, a positive resist 501 is applied evenly to a stepped base 500.

【0115】次に、実施の形態2と同様に、図5(b)に
示すように、露光マスク503を用いて、レーザー光5
02でレジスト501の領域504以外の領域をオーバ
ラップ露光する。このオーバラップ露光では、露光され
ない領域504が段差の上部506の一部に重なる。
Next, similarly to the second embodiment, as shown in FIG.
In 02, an area other than the area 504 of the resist 501 is subjected to overlap exposure. In this overlap exposure, the unexposed area 504 overlaps a part of the upper part 506 of the step.

【0116】この露光をするときのレジスト501の表
面が、実施の形態3と異なって、薄膜化前であるので、
安定した露光ができる。
Since the surface of the resist 501 at the time of this exposure is different from that of the third embodiment before being made thinner,
Stable exposure is possible.

【0117】次に、実施の形態3と同様に、図5(c)に
示すように、レジスト501を薄膜化する。
Next, as in the third embodiment, the resist 501 is thinned as shown in FIG.

【0118】この薄膜化のとき、実施の形態3と違って
いるのは、レジスト501を露光してから薄膜化してい
るために、露光されているところ(領域504以外の領
域)はPEB前であるに拘わらず、少しは反応が進んで
いるため、未露光部よりも露光部の方が薄膜化のレート
が早くなる現象が起こる。
This thinning is different from the third embodiment in that the resist 501 is exposed and then thinned, so that the exposed portion (the region other than the region 504) is located before the PEB. Regardless of the fact, since the reaction is a little advanced, a phenomenon occurs in which the rate of thinning is higher in the exposed part than in the unexposed part.

【0119】次に、実施の形態3と同様に現像すると、
図5(d)に示すように、段差の側壁に密着してアライメ
ントのずれのないレジストパターン507が形成され
る。
Next, when development is performed in the same manner as in the third embodiment,
As shown in FIG. 5D, a resist pattern 507 having no misalignment is formed in close contact with the side wall of the step.

【0120】この実施の形態4のレジストパターン50
7は、そのパターン高さがレジスト501の塗布時より
も低くなっており、かつ、レジストパターン507が下
地500の底面だけでなく段差側面にも密着していの
で、レジストパターン507の変形や倒壊を防ぐことが
できる。
The resist pattern 50 according to the fourth embodiment
7 has a lower pattern height than when the resist 501 is applied, and the resist pattern 507 adheres not only to the bottom surface of the base 500 but also to the side surface of the step, so that the resist pattern 507 is not deformed or collapsed. Can be prevented.

【0121】(実施の形態5)この実施の形態5は、実施
の形態4とは違って、露光、現像工程の後に、さらに露
光して、積極的にレジストの薄膜化を行う工程を行う。
(Fifth Embodiment) In the fifth embodiment, different from the fourth embodiment, after the exposure and development steps, a step of performing further exposure to positively reduce the thickness of the resist is performed.

【0122】この実施の形態5は、図6に示す段差のあ
る下地600に、ポジ型レジスト601を平坦に塗布
し、その後、露光し、現像し、さらに、形成されたレジ
ストパターン601を図7に示すように積極的に薄膜化
することにより、段差下部(凹部)だけにレジストパター
ン606を形成する方法である。図6(a)から図7(e)
の上段の図は断面図であり、下段の図は平面図である。
In the fifth embodiment, a positive resist 601 is applied flatly on a stepped base 600 shown in FIG. 6, then exposed and developed, and furthermore, the formed resist pattern 601 is formed as shown in FIG. In this method, the resist pattern 606 is formed only in the lower part (recess) of the step by actively thinning as shown in FIG. 6 (a) to 7 (e)
The upper diagram is a cross-sectional view, and the lower diagram is a plan view.

【0123】まず、図6(a)に示すように、段差の有る
下地600に、ポジ型レジスト601を平坦に塗布す
る。
First, as shown in FIG. 6A, a positive resist 601 is applied flatly on a base 600 having a step.

【0124】このポジ型レジスト601は、透過率の低
いレジストの方が、後に行う薄膜化の制御性がよい。
As for the positive resist 601, a resist having a lower transmittance has better controllability of the thinning performed later.

【0125】次に、実施の形態4と同様に、図6(b)に
示すように、レーザー光602と露光マスク603を用
いてオーバーラップ露光して、現像する。そうすると、
実施の形態4と同様に、図6(c)に示すように、露光さ
れていない領域604に対応するレジストパターン60
8が、段差上部609に確実に乗り上げる。
Next, as in the fourth embodiment, as shown in FIG. 6B, overlap exposure is performed using a laser beam 602 and an exposure mask 603, and development is performed. Then,
As in the fourth embodiment, as shown in FIG. 6C, the resist pattern 60 corresponding to the unexposed region 604 is formed.
8 surely rides on the step upper part 609.

【0126】次に、上記レジストパターン608を薄膜
化するために、図7(d)に示すように、レジストパター
ン608の表面部605が感光する程度の少ない露光量
で、露光マスク無しの全面露光をする。なお、全面露光
でなくとも、薄膜化したい部分だけの露光でもよい。
Next, in order to reduce the thickness of the resist pattern 608, as shown in FIG. 7 (d), the entire surface of the resist pattern 608 is exposed with a small amount of light enough to expose the surface 605 of the resist pattern 608 without an exposure mask. do. It is to be noted that the exposure may be performed not on the entire surface but on only a portion to be thinned.

【0127】次に、上記レジストパターン608を積極
的に薄膜化するために、第2の現像をすると、上記感光
した表面部605だけが現像液に溶解して、図7(e)に
示すように、レジストパターン606が得られる。この
レジストパターン606は、そのレジストパターン60
6の高さが段差上部609よりも低くなっており、か
つ、段差の側壁に密着してアライメントのずれのないも
のである。
Next, in order to positively reduce the thickness of the resist pattern 608, a second development is performed, and only the exposed surface portion 605 is dissolved in the developing solution, as shown in FIG. Then, a resist pattern 606 is obtained. The resist pattern 606 is the same as the resist pattern 60
6 is lower than the upper portion 609 of the step and closely adheres to the side wall of the step so that there is no misalignment.

【0128】この実施の形態5のレジストパターン60
6は、そのパターン高さがレジスト601の塗布時より
も低くなっており、かつ、レジストパターン606が下
地600の底面だけでなく段差側面にも密着していの
で、レジストパターン606の変形や倒壊を防ぐことが
できる。
The resist pattern 60 according to the fifth embodiment
6 has a pattern height lower than that at the time of application of the resist 601, and the resist pattern 606 adheres not only to the bottom surface of the base 600 but also to the step side surface, so that the resist pattern 606 is not deformed or collapsed. Can be prevented.

【0129】上記第2の現像の時、露光によりレジスト
601の表面に発生した酸を、現像時のPEBの温度や
時間を変化させることにより、レジスト601の表面に
発生した酸がレジスト601の下の方に拡散する範囲を
制御できる。すなわち、PEBの温度や時間によって、
薄膜化できる膜厚を制御できる。また、波長の違いによ
るレジストの吸光度の違いを用いて、露光して現像して
もよい。すなわち、i線などでエキシマレジストを、露
光し現像するのも薄膜化の手段である。
At the time of the second development, the acid generated on the surface of the resist 601 due to the exposure is changed by changing the temperature and time of the PEB at the time of development, so that the acid generated on the surface of the resist 601 is removed under the resist 601. Can control the range of diffusion toward. That is, depending on the temperature and time of PEB,
The film thickness that can be made thin can be controlled. Exposure may be performed using the difference in absorbance of the resist due to the difference in wavelength. In other words, exposing the excimer resist to i-line and developing it is also a means of thinning.

【0130】(実施の形態6)この実施の形態6は、実施
の形態5とは、レジストの積極的な薄膜化を、レジスト
のパターンニングの前におこなう点が異なる。
(Embodiment 6) Embodiment 6 is different from Embodiment 5 in that the resist is actively thinned before patterning the resist.

【0131】この実施の形態6は、図8に示す段差のあ
る下地700に、透過率の低いレジスト701を平坦に
塗布し、全面露光して現像して積極的に薄膜化し、さら
に、図9に示すように、露光し、現像して、段差下部だ
けにレジスト701をパターニングする方法である。
In the sixth embodiment, a resist 701 having a low transmittance is applied flat on a base 700 having a step shown in FIG. 8, and the entire surface is exposed and developed to positively reduce the thickness. As shown in FIG. 7, a method of exposing, developing, and patterning the resist 701 only at the lower part of the step is used.

【0132】まず、図8(a)に示すように、透過率の低
い染料入りポジ型レジスト701(レジスト膜厚1.0
μmにおいて透過率40%)を下地700に平坦に塗布す
る。なお、レジストの透過率は20%〜60%程度が露
光のとき制御し易い。
First, as shown in FIG. 8A, a dye-containing positive resist 701 having a low transmittance (resist film thickness of 1.0
(Transmittance of 40% at μm) is applied evenly to base 700. The transmittance of the resist is easily controlled when the exposure is about 20% to 60%.

【0133】次に、図8(b)に示すように、露光マスク
無しで、レジスト701に全面露光すると、露光の光7
02は、レジスト701が染料入りであり、しかも厚く
塗って有るため、段差の上部704あたりまでの領域7
03のみが感光する。なお、少ない露光量で、薄膜化し
たい部分だけを感光させてもよい。
Next, as shown in FIG. 8B, when the entire surface of the resist 701 is exposed without an exposure mask, the exposure light 7
In the area 02, the resist 701 contains a dye and is thickly applied.
Only 03 is exposed. Note that only a portion to be thinned may be exposed with a small exposure amount.

【0134】その後、現像することによって、図8(c)
に示すように、レジスト701を段差下部(凹部)だけに
残して、積極的にレジスト701を薄膜化する。
Thereafter, development is performed to obtain FIG.
As shown in (5), the resist 701 is positively thinned while leaving the resist 701 only below the step (recess).

【0135】上記レジスト701を露光して現像すると
き、露光量やレジスト701の透過率やPEBの温度を
調整することにより、現像での薄膜化の制御が可能であ
る。
When the resist 701 is exposed and developed, the thinning in development can be controlled by adjusting the exposure amount, the transmittance of the resist 701, and the temperature of PEB.

【0136】次に、図9(d)に示すように段差下部だけ
に残されたレジスト701に、実施の形態3と同様に、
図9(d)に示すように、レーザー光702と露光マスク
705を用いて、オーバーラップ露光をする。このオー
バラップ露光によって、領域706以外の領域が露光さ
れる。次に、現像すると、図9(e)に示すように、レジ
ストパターン707が得られる。このレジストパターン
707は、そのレジストパターン707の高さが段差上
部704よりも低くなっており、かつ、段差の側壁に密
着してアライメントのずれのないものである。
Next, as shown in FIG. 9D, the resist 701 left only under the step is added to the resist 701 in the same manner as in the third embodiment.
As shown in FIG. 9D, overlap exposure is performed using a laser beam 702 and an exposure mask 705. By this overlap exposure, an area other than the area 706 is exposed. Next, when development is performed, a resist pattern 707 is obtained as shown in FIG. The resist pattern 707 is such that the height of the resist pattern 707 is lower than the upper part of the step 704, and the resist pattern 707 is in close contact with the side wall of the step so that there is no misalignment.

【0137】この実施の形態6のレジストパターン70
7は、そのパターン高さがレジスト701の塗布時より
も低くなっており、かつ、レジストパターン707が下
地700の底面だけでなく段差側面にも密着しているの
で、レジストパターン707の変形や倒壊を防ぐことが
できる。
The resist pattern 70 according to the sixth embodiment
7 has a lower pattern height than when the resist 701 is applied, and the resist pattern 707 adheres not only to the bottom surface of the base 700 but also to the side surface of the step, so that the resist pattern 707 is deformed or collapsed. Can be prevented.

【0138】なお、上記レジスト701は、ある程度の
透過率が低い方が制御しやすいが、透過率の低いレジス
トでなくとも、表面を少ない露光量で、薄膜化したい部
分、あるいは、露光マスク無しでの全面露光することに
よって、同じように、レジスト701を薄膜化すること
ができる。また、レジストの透過率が低くない場合で
も、同じように露光量やPEBの温度で薄膜化を制御で
きる。
It is to be noted that the resist 701 is easier to control if it has a low transmittance to some extent. However, even if the resist 701 is not a resist having a low transmittance, a portion where the surface is to be thinned with a small exposure dose, or without using an exposure mask. By exposing the entire surface of the resist 701, the resist 701 can be similarly thinned. Even when the transmittance of the resist is not low, the thinning can be controlled in the same manner by the exposure dose and the temperature of PEB.

【0139】上記実施の形態1から6のレジストは、次
工程であるエッチングの時に耐え得るものであればよ
い。また、上記レジストの平坦化の平坦具合が定まる塗
布の方法は、レジストの積極的な薄膜化工程以後、レジ
ストのパターニング終了の時に最もレジストの薄い部分
が次工程であるエッチングの時に耐え得る程度の膜厚に
安定するものであればよい。
The resists of the first to sixth embodiments may be any resists that can withstand the next step of etching. Further, the coating method that determines the flatness of the flattening of the resist is such that after the positive resist thinning step, when the patterning of the resist is completed, the thinnest part of the resist can withstand the etching in the next step. Any material can be used as long as the film thickness is stable.

【0140】また、上記レジストを積極的に薄膜化する
工程は、現像液による方法、あるいは、露光して現像す
る方法に限らず、ドライエツチング、RIE(リアクテ
ィブイオンエッチング)、ウェットエッチング、研磨ま
たはCMP(化学的機械研磨)等のレジストを積極的に薄
膜化できる工程であればどのような工程であってもよ
い。また、上記実施の形態1〜6は、現像液を用いてレ
ジストの積極的な薄膜化を行っているが、現像液に限ら
ず、イソプロピルアルコールに、アセトンまたはプロピ
レングリコールモノメチルエーテルアセテートを3〜5
0重量%混合したものを用いてもよい。そのなかでも、
5重量%混合したものが最もよい。また、キシレンに、
酢酸ブチルまたはNメチルピロリドンを3〜50重量%
混合したものを用いてもよい。なかでも、5重量%混合
したものが最もよい。
The step of positively thinning the resist is not limited to a method using a developing solution or a method of developing by exposure, but may be dry etching, RIE (reactive ion etching), wet etching, polishing or polishing. Any process such as CMP (chemical mechanical polishing) may be used as long as the process can positively thin the resist. In the first to sixth embodiments, the resist is positively thinned using a developing solution. However, the present invention is not limited to the developing solution, and acetone or propylene glycol monomethyl ether acetate may be used in isopropyl alcohol for 3 to 5 times.
A mixture of 0% by weight may be used. Among the,
5% by weight is best. In addition, to xylene,
3 to 50% by weight of butyl acetate or N-methylpyrrolidone
You may use what mixed. Among them, those mixed with 5% by weight are the best.

【0141】また、見かけ上、特に薄膜化する工程を保
有しないで、通常のレジストで考えられる膜減りより
も、通常考えられない程度に格別に膜減りの大きいレジ
ストを使用するのも積極的にレジストの薄膜化を行って
いることに含める。また、通常の現像工程で考えられる
膜減りよりも、特に膜減りの大きい現像液を使用するの
も積極的にレジストの薄膜化を行っていることに含め
る。また、段差下部のみに塗布できるレジストを用いて
もよい。また、段差上部にもレジストが塗布されてもよ
いが、その段差上部のレジストは、現像工程で取り除か
れる程度のレジスト膜厚にするのが好ましい。
In addition, without using a process for thinning the film, it is also possible to actively use a resist whose film thickness is significantly larger than expected with a normal resist. Includes thinning the resist. In addition, the use of a developing solution having a particularly large film reduction compared to the film reduction conceivable in a normal development step is also included in the fact that the resist is made thinner positively. Alternatively, a resist that can be applied only to the lower part of the step may be used. A resist may be applied to the upper part of the step, but it is preferable that the resist on the upper part of the step has a thickness enough to be removed in the developing step.

【0142】また、露光工程については、KrFエキシ
マレーザー光に限らず、i線、電子線、X線、ArFエ
キシマレーザー光またはEUV(超紫外)光等のレジスト
を感光させるものであればよい。現像についても、NMD
−W現像液に限らず、有機溶媒等、その感光したレジス
トを現像できるものであればよい。
The exposure step is not limited to KrF excimer laser light, but may be any method that exposes a resist such as i-ray, electron beam, X-ray, ArF excimer laser light or EUV (ultra-ultraviolet) light. For development, NMD
The developer is not limited to the -W developer, but may be any organic solvent or the like that can develop the exposed resist.

【0143】また、オーバーラップ露光の方法について
は、予め露光マスク自体を大きくしておく方法の他に、
露光量を増やす方法やスキャン露光(露光時にステージ
を動かす露光)等の方法がある。
As for the overlap exposure method, in addition to the method in which the exposure mask itself is enlarged in advance,
There are methods such as a method of increasing the exposure amount and a method of scanning exposure (exposure that moves the stage at the time of exposure).

【0144】上記実施の形態1、3、6は、レジストの
積極的な薄膜化工程の後に露光マスクを用いてレジスト
のパターニングを行っているが、積極的な薄膜化のみ
で、段差下部だけにレジストを残した状態、例えば図2
(b)の状態のレジストをレジストパターンとして、イオ
ン注入またはエッチング等に利用することも可能であ
る。
In the first, third, and sixth embodiments, the resist is patterned using an exposure mask after the step of aggressively thinning the resist. The state where the resist is left, for example, FIG.
It is also possible to use the resist in the state (b) as a resist pattern for ion implantation or etching.

【0145】また、上記積極的に薄膜化する技術を用い
て薄膜化したポジ型レジストに、少ない露光量で全面露
光することによって、図10に示すように、段差の側壁
にレジストのサイドウォールを形成することができる。
以下、必要に応じて、実施の形態3の図4を援用しなが
ら説明する。
Further, the entire surface of the positive resist thinned by the above-described technique for positively thinning is exposed with a small exposure amount, so that the side wall of the resist is formed on the side wall of the step as shown in FIG. Can be formed.
Hereinafter, description will be made with reference to FIG. 4 of the third embodiment as necessary.

【0146】実施の形態3の工程と同じように、図10
に示す段差のある下地800にポジ型レジスト801を
平坦に塗布し、積極的に薄膜化し、段差上部を確実に露
出させる(図4(a)、(b)参照)。その後、ポジ型レジス
ト801が感光するのに必要な最少の露光量で、露光マ
スク無しの全面露光、または、所望の領域の露光を行
う。そうすると、段差の側壁近傍のポジ型レジスト80
1は、側壁に露光の光が吸収されるため感光しにくい。
次に、そのポジ型レジストを現像すると、図10に示す
ように、段差の側壁に0.03μm程度の薄いレジスト
のサイドウォール801が形成される。
As in the process of the third embodiment, FIG.
4A, a positive resist 801 is applied evenly to the underlayer 800 having a step, and is positively thinned to surely expose the upper part of the step (see FIGS. 4A and 4B). Thereafter, the entire surface exposure without an exposure mask or the exposure of a desired region is performed with a minimum exposure amount necessary for exposing the positive resist 801 to light. Then, the positive resist 80 near the side wall of the step is formed.
No. 1 is hardly exposed because the light for exposure is absorbed by the side wall.
Next, when the positive resist is developed, a side wall 801 of a thin resist of about 0.03 μm is formed on the side wall of the step as shown in FIG.

【0147】また、上記レジストのサイドウォール80
1は、露光量、あるいは、PEB(露光後ベーク)の温度
や時間を調節することにより形成できる。また、レジス
トに下地依存性がでる下地を使用したり、下地依存性を
受けやすいレジストを使用したり、露光で波長の長さの
違う光を使用したり、あるいは、現像液に浸す時間や現
像液の濃度を変化させたりして、レジストのサイドウォ
ールの厚さを調節することができる。
Further, the side wall 80 of the above resist is used.
1 can be formed by adjusting the amount of exposure or the temperature and time of PEB (post-exposure bake). In addition, use an underlayer that depends on the underlayer for the resist, use a resist that is easily dependent on the underlayer, use light with a different wavelength length for exposure, or use a solution immersed in The thickness of the resist sidewall can be adjusted by changing the concentration of the solution.

【0148】また、上記積極的に薄膜化する技術を用い
て薄膜化したネガ型レジストに、少ない露光量で全面露
光することによって、図11に示すように、段差の側壁
とレジストパターン901との間に隙間902を形成す
ることができる。以下、必要に応じて、実施の形態1の
図2を援用しながら説明する。
Further, the entire surface of the negative resist thinned by the above-described technique for positively thinning is exposed with a small exposure amount, thereby forming the resist pattern 901 between the side wall of the step and the resist pattern 901 as shown in FIG. A gap 902 can be formed therebetween. Hereinafter, description will be made with reference to FIG. 2 of Embodiment 1 as necessary.

【0149】実施の形態1の工程と同じように、図11
に示す段差のある下地900にネガ型レジスト901を
平坦に塗布し、積極的に薄膜化し、段差上部を確実に露
出させる(図2(a)、(b)参照)。その後、ネガ型レジス
ト901が感光するのに必要な最少の露光量で、露光マ
スク無しの全面露光、または、所望の領域の露光を行
う。そうすると、段差の側壁近傍のネガ型レジストは、
側壁に露光の光が吸収されるため感光しにくい。次に、
そのネガ型レジストを現像すると、図11に示すよう
に、段差の側壁とレジストパターン901との間に0.
03μm程度の細い隙間902が形成される。
As in the first embodiment, FIG.
A negative resist 901 is applied flat to the underlayer 900 having a step shown in FIG. 4A, and is actively thinned to surely expose the upper portion of the step (see FIGS. 2A and 2B). Thereafter, the entire surface exposure without an exposure mask or the exposure of a desired region is performed with the minimum exposure amount necessary for exposing the negative resist 901 to light. Then, the negative resist near the side wall of the step becomes
Since the exposure light is absorbed by the side walls, it is difficult to be exposed. next,
When the negative type resist is developed, as shown in FIG.
A narrow gap 902 of about 03 μm is formed.

【0150】また、上記段差の側壁とレジストパターン
901との間の隙間は、露光量、あるいは、PEB(露
光後ベーク)の温度や時間を調節することにより調節で
きる。また、レジストに下地依存性がでる下地を使用し
たり、下地依存性を受けやすいレジストを使用したり、
露光で波長の長さの違う光を使用したり、あるいは、現
像液に浸す時間や現像液の濃度を変化させたりして、段
差の側壁とレジストパターンとの間の隙間の幅を調節す
ることができる。
The gap between the side wall of the step and the resist pattern 901 can be adjusted by adjusting the exposure amount or the temperature and time of PEB (post exposure bake). In addition, use of an underlayer that is dependent on the underlayer of the resist, use of a resist that is easily subject to underlayer dependence,
To adjust the width of the gap between the side wall of the step and the resist pattern by using light with different wavelengths in the exposure, or by changing the time of immersion in the developer or the concentration of the developer. Can be.

【0151】(実施の形態7)この実施の形態7では、上
述のレジストを積極的に薄膜化する技術を用いて、半導
体装置の配線パターンを形成する。
(Embodiment 7) In this embodiment 7, a wiring pattern of a semiconductor device is formed by using the above-mentioned technique for positively reducing the thickness of a resist.

【0152】まず、図16(a)に示すように、半導体基
板1401上に第1の絶縁膜としてのシリコン酸化膜1
402を化学的気相成長法(CVD法)により堆積し、さ
らに、第1の配線となるアルミニウムを400nm程度ス
パッタ法により堆積する。次に、そのアルミニウムを周
知のリソグラフィー技術によりパターニングして第1の
配線1403を得た後、第2の絶縁膜であるシリコン酸
化膜1404をCVD法により100nm程度堆積し、さ
らに、第2の配線となるアルミニウム1405をスパッ
タ法により200nm程度堆積する。
First, as shown in FIG. 16A, a silicon oxide film 1 as a first insulating film is formed on a semiconductor substrate 1401.
402 is deposited by a chemical vapor deposition method (CVD method), and aluminum serving as a first wiring is deposited by a sputtering method of about 400 nm. Next, after patterning the aluminum by a well-known lithography technique to obtain a first wiring 1403, a silicon oxide film 1404 as a second insulating film is deposited to a thickness of about 100 nm by a CVD method. Is deposited to a thickness of about 200 nm by sputtering.

【0153】この実施の形態7では、第1の配線140
3のパターンは、最小配線ピッチで第1の配線1403
が密集している領域と、第1の配線1403が100μ
m以上全く存在しない領域とがある。
In the seventh embodiment, the first wiring 140
The pattern of No. 3 is the first wiring 1403 with the minimum wiring pitch.
Are dense and the first wiring 1403 has a size of 100 μm.
There is an area that does not exist more than m at all.

【0154】次に、第1の配線1403による段差の影
響を受けないで、平坦にレジストを塗布するために、低
粘度の化学増幅系ネガ型レジスTDUR−N908 4.5cp
(東京応化工業株式会社製)を2000rpm程度の低回
転で塗布した。その後、レジストを110℃、90秒の
条件にてプリベーク(塗布後ベーク)を行った。その後、
現像液を用いて、図16(b)に示すように、レジスト1
406を下地の段差上部が露出するまで積極的に薄膜化
した。
Next, a low-viscosity chemically amplified negative resist TDUR-N908 4.5 cp was applied in order to apply the resist evenly without being affected by steps due to the first wiring 1403.
(Manufactured by Tokyo Ohka Kogyo Co., Ltd.) was applied at a low rotation speed of about 2000 rpm. Thereafter, the resist was prebaked (baked after coating) at 110 ° C. for 90 seconds. afterwards,
Using a developing solution, as shown in FIG.
406 was positively thinned until the upper part of the underlying step was exposed.

【0155】次に、上記レジスト1406を所定のマス
クを用いて露光した後、通常の現像処理を施すことによ
り、図17(c)に示すように、レジストパターン140
7を形成した。
Next, after exposing the resist 1406 using a predetermined mask, the resist 1406 is subjected to a normal developing process, as shown in FIG.
7 was formed.

【0156】次に、上記レジストパターン1407をマ
スクにして、第2の配線1405をドライエッチングす
ることにより、図17(d)に示すように、所望の配線パ
ターン1408を形成することができた。
Next, the second wiring 1405 was dry-etched using the resist pattern 1407 as a mask, thereby forming a desired wiring pattern 1408 as shown in FIG. 17D.

【0157】この実施の形態7では、最小配線ピッチで
形成された第1の配線1403の領域においては、第1
の配線1403間に第2の配線1408を自己整合的に
制御性よく形成することができる。これは、リソグラフ
ィー技術の限界以上に配線を高密度化できることを意味
している。また、第1の配線1403が存在しない領域
にも、所望の配線パターンを得ることができるので、設
計の自由度が飛躍的に向上する。
In the seventh embodiment, in the region of the first wiring 1403 formed at the minimum wiring pitch, the first wiring
The second wiring 1408 can be formed in a self-aligned manner with good controllability between the wirings 1403. This means that the wiring density can be increased beyond the limit of the lithography technology. In addition, since a desired wiring pattern can be obtained even in a region where the first wiring 1403 does not exist, the degree of freedom in design is dramatically improved.

【0158】この実施の形態7では、第1の配線140
3と第2の配線1408にアルミニウムを用いたが、こ
れに限るものではない。例えば、シリコンやシリコンゲ
ルマニウムに代表される半導体材料、タングステン、チ
タン、チタンナイトライド、銅、金、アルミニウムなど
の金属材料を用いてもよく、また、これらの積層配線、
および、半導体材料と金属材料との複合膜を用いてもよ
い。
In the seventh embodiment, the first wiring 140
Although aluminum was used for the third and second wirings 1408, the invention is not limited to this. For example, a semiconductor material typified by silicon or silicon germanium, a metal material such as tungsten, titanium, titanium nitride, copper, gold, or aluminum may be used.
Alternatively, a composite film of a semiconductor material and a metal material may be used.

【0159】(実施の形態8)この実施の形態8は、図1
8,19,20に示すように、半導体装置の一例として
の積み上げ拡散層型のソース・ドレイン領域を有するM
OSFETを製造する方法である。
(Embodiment 8) This embodiment 8 corresponds to FIG.
As shown in FIGS. 8, 19 and 20, M having a stacked diffusion layer type source / drain region as an example of a semiconductor device
This is a method for manufacturing an OSFET.

【0160】まず、図18(a)に示すように、周知の方
法で、半導体基板1501または半導体基板1501に
設けられたウェル領域(図示せず。)上に、素子分離領域
1502、活性領域1503、ゲート絶縁膜1504お
よびゲート電極1505を形成し、このゲート電極15
05上にシリコン酸化膜1506およびゲート電極側壁
絶縁膜1507を形成した。ここで、上記ゲート電極1
505は多結晶シリコン膜からなり、ゲート電極側壁絶
縁膜1507は、シリコン酸化膜とシリコン窒化膜との
積層膜からなる。
First, as shown in FIG. 18A, an element isolation region 1502 and an active region 1503 are formed on a semiconductor substrate 1501 or a well region (not shown) provided in the semiconductor substrate 1501 by a known method. , A gate insulating film 1504 and a gate electrode 1505 are formed.
A silicon oxide film 1506 and a gate electrode side wall insulating film 1507 were formed on the substrate 05. Here, the gate electrode 1
505 is made of a polycrystalline silicon film, and the gate electrode side wall insulating film 1507 is made of a laminated film of a silicon oxide film and a silicon nitride film.

【0161】次に、図18(b)に示すように、全面に多
結晶シリコン膜1508を100nm程度堆積した後、実
施の形態1と同様に、レジストをゲート電極1505上
の多結晶シリコン膜1508を完全に覆うように平坦に
塗布した。その後、上記レジストを現像処理で積極的に
薄膜化して、図18(b)に示す薄いレジスト1509を
得た。
Next, as shown in FIG. 18B, after a polycrystalline silicon film 1508 is deposited on the entire surface to a thickness of about 100 nm, a resist is applied to the polycrystalline silicon film 1508 on the gate electrode 1505 as in the first embodiment. Was applied flat so as to cover completely. Thereafter, the resist was positively thinned by a development process to obtain a thin resist 1509 shown in FIG.

【0162】次に、上記薄いレジスト1509をソース
・ドレイン領域の上のみに残すように、上記レジスト1
509をマスクに用いて露光した後、通常の現像液によ
って現像して、図18(c)に示すレジストパターン15
10を得た。
Next, the resist 1509 is so formed that the thin resist 1509 is left only on the source / drain regions.
After exposing using the mask 509 as a mask, the resist pattern 15 shown in FIG.
10 was obtained.

【0163】ここで、図18(b)から図18(c)への工
程を図21,図22を用いてさらに詳しく説明する。図
21は、図18(b)の状態の平面図であり、図22は、
図18(c)の状態の平面図である。図21に示すよう
に、ゲート電極1505の上部以外にレジスト1509
はパターニングされる。
Here, the steps from FIG. 18B to FIG. 18C will be described in more detail with reference to FIGS. FIG. 21 is a plan view of the state of FIG. 18B, and FIG.
FIG. 19 is a plan view of the state shown in FIG. As shown in FIG.
Is patterned.

【0164】この状態で、もし、多結晶シリコン膜15
08を加工すると、素子分離領域1502上にも多結晶
シリコン膜1508が残って、その両側のソース・ドレ
インが多結晶シリコン膜1508を通じて直接結ばれて
しまう。これを避けるために、図18(c)、図22に示
すように、活性領域1503よりも少し広いレジストパ
ターン1510を形成すると、図19(d)に示すよう
に、ソース・ドレイン領域となる所のみに多結晶シリコ
ン膜のパターン1511を形成することができる。
In this state, if the polycrystalline silicon film 15
When 08 is processed, the polycrystalline silicon film 1508 also remains on the element isolation region 1502, and the source and drain on both sides thereof are directly connected through the polycrystalline silicon film 1508. In order to avoid this, a resist pattern 1510 slightly wider than the active region 1503 is formed as shown in FIGS. 18C and 22 to form a source / drain region as shown in FIG. Only the pattern 1511 of the polycrystalline silicon film can be formed.

【0165】図19(d)に示すように、ゲート電極15
05の上部の多結晶シリコン膜1508をドライエッチ
ングにより除去した後、新たにレジストを塗布してソー
ス・ドレイン領域以外の不要な多結晶シリコン膜を除去
する方法も考えられるが、その方法は、実施の形態8に
比べて、多数の工程を追加する必要があり好ましくな
い。 この実施の形態8を用いると、簡単なプロセス
で、後述のように、ソース・ドレイン領域に所望の積み
上げられたシリコン膜を制御性良く、自己整合的に形成
できる。
As shown in FIG. 19D, the gate electrode 15
After removing the polycrystalline silicon film 1508 on the upper portion of the semiconductor device 05 by dry etching, a method of applying a new resist to remove unnecessary polycrystalline silicon films other than the source / drain regions may be considered. As compared with the eighth embodiment, it is necessary to add many steps, which is not preferable. According to the eighth embodiment, a desired stacked silicon film can be formed in the source / drain region with good controllability and self-alignment by a simple process as described later.

【0166】次に、上記多結晶シリコン膜1508を、
レジストパターン1510により覆われた以外の領域を
ケミカルドライエッチングにより除去して、図19(d)
に示すように、ソース・ドレイン領域となる所のみに多
結晶シリコン膜1511を形成した。
Next, the polycrystalline silicon film 1508 is
The area other than the area covered by the resist pattern 1510 is removed by chemical dry etching, and FIG.
As shown in FIG. 19, a polycrystalline silicon film 1511 was formed only at the portions that would be the source / drain regions.

【0167】次に、図19(d),(e)に示すように、上
記ゲート電極1505の上部のシリコン酸化膜1506
を除去した後、ソース・ドレイン領域1521を形成す
るために、多結晶シリコン膜1511に不鈍物の注入を
行った。この実施の形態8では、上記ゲート電極150
5と、ソース・ドレイン領域1521のドーピングを同
時に行っている。また、この実施の形態8におけるゲー
ト電極1505の多結晶シリコン膜の膜厚は150nmか
ら250nmである。このため、イオン注入は、nチャネ
ルトランジスタに関しては、燐イオンを10keVから8
0keV程度のエネルギーで1×1015〜1×1016/c
2程度のドーズ量で注入した。pチャネルトランジスタ
に関しては、ボロンイオンを10keVから30keV程度
のエネルギーで1×1015〜1×1016/cm2程度の
ドーズ量で注入した。次に、800℃から950℃程度
の温度で10分から120分程度の間の熱処理、もしく
は、950℃から1100℃程度の温度で10秒から6
0秒程度の急速加熱処理を行って、注入した不純物を活
性化すると共に、シリコン基板まで拡散させた。
Next, as shown in FIGS. 19D and 19E, the silicon oxide film 1506 on the gate electrode 1505 is formed.
After the removal of the impurity, a blunt substance was implanted into the polycrystalline silicon film 1511 in order to form the source / drain region 1521. In the eighth embodiment, the gate electrode 150
5 and the doping of the source / drain region 1521 is performed simultaneously. Further, the thickness of the polycrystalline silicon film of gate electrode 1505 in the eighth embodiment is from 150 nm to 250 nm. For this reason, ion implantation is performed by changing phosphorus ions from 10 keV to 8 keV for n-channel transistors.
1 × 10 15 to 1 × 10 16 / c with energy of about 0 keV
The injection was performed at a dose of about m 2 . As for the p-channel transistor, boron ions are implanted at an energy of about 10 keV to 30 keV and at a dose of about 1 × 10 15 to 1 × 10 16 / cm 2 . Next, a heat treatment at a temperature of about 800 ° C. to 950 ° C. for about 10 to 120 minutes, or a heat treatment at a temperature of about 950 ° C. to 1100 ° C. for 10 seconds to 6 minutes
By performing a rapid heating process for about 0 seconds, the implanted impurities were activated and diffused to the silicon substrate.

【0168】次に、図19(f)に示すように、周知のサ
リサイド工程により、ソース・ドレイン領域1521お
よびゲート電極1505の上部に高融点金属シリサイド
膜1512を選択的に形成すると共に、層間絶縁膜15
13を堆積した。この実施の形態8では、高融点金属と
して、チタンを使用しているが、これに限るものではな
く、他の高融点金属として、コバルト、ニッケル、白金
などを用いてもよい。
Next, as shown in FIG. 19F, a refractory metal silicide film 1512 is selectively formed on the source / drain region 1521 and the gate electrode 1505 by a well-known salicide process. Membrane 15
13 were deposited. In the eighth embodiment, titanium is used as the high melting point metal. However, the present invention is not limited to this, and cobalt, nickel, platinum, or the like may be used as another high melting point metal.

【0169】次に、図20に示すように、上記層間絶縁
膜1513の所望の位置にコンタクト孔1514を開口
して、上部配線1515を形成して、積み上げ拡散型の
MOSFET形成することができた。
Next, as shown in FIG. 20, a contact hole 1514 was opened at a desired position in the interlayer insulating film 1513, an upper wiring 1515 was formed, and a stacked diffusion type MOSFET could be formed. .

【0170】この実施の形態8で形成された半導体装置
は、ゲート電極1505近傍の積み上げられたソース・
ドレイン拡散層にファセットが生じない。したがって、
ゲート長が小さくて素子が微細化しても、短チャネル効
果を抑制することができる。また、従来例と比べて、プ
ロセス温度が低いので、熱応力などによる接合リークが
発生することがない。さらに、積み上げられたソース・
ドレイン拡散層を素子分離領域1502に対してオーバ
ーラップさせているので、ソース・ドレイン活性領域よ
りも積み上げられたソース・ドレイン拡散層の幅のほう
が広く形成することできる。したがって、上記ソース・
ドレイン領域1521にコンタクト1514を形成する
際のマージンや自由度を大きくすることができる。上記
コンタクト1514を素子分離領域1502と部分的に
オーバーラップさせるように形成しても、ソース・ドレ
イン積み上げ拡散層に対するコンタクト1514の設置
面積が小さくならないので、コンタクト抵抗が増大する
ことはない。
The semiconductor device formed in the eighth embodiment has a stacked source / source structure near gate electrode 1505.
No facets occur in the drain diffusion layer. Therefore,
Even if the gate length is small and the device is miniaturized, the short channel effect can be suppressed. Also, since the process temperature is lower than in the conventional example, there is no occurrence of junction leak due to thermal stress or the like. In addition, the accumulated source
Since the drain diffusion layer overlaps with the element isolation region 1502, the width of the stacked source / drain diffusion layers can be wider than the source / drain active regions. Therefore, the source
The margin and the degree of freedom in forming the contact 1514 in the drain region 1521 can be increased. Even if the contact 1514 is formed so as to partially overlap the element isolation region 1502, the contact resistance does not increase because the installation area of the contact 1514 with respect to the source / drain stacked diffusion layer does not decrease.

【0171】(実施の形態9)この実施の形態9は、図2
3,24に示すように、半導体装置の一例としての積み
上げ拡散層型のソース・ドレイン領域を有するMOSF
ETを製造する方法である。
(Embodiment 9) This embodiment 9 is similar to FIG.
As shown in FIGS. 3 and 24, a MOSF having a stacked diffusion layer type source / drain region as an example of a semiconductor device
This is a method for manufacturing ET.

【0172】まず、図23(a)に示すように、周知の方
法で、半導体基板1601または半導体基板1601に
設けられたウェル領域(図示せず。)上に、素子分離領域
1602、ゲート絶縁膜1603およびゲート電極16
04を形成し、このゲート電極1604上にシリコン酸
化膜1605およびゲート電極側壁絶縁膜1606を形
成した。ここで、上記ゲート電極1604は多結晶シリ
コン膜からなり、ゲート電極側壁絶縁膜1606は、シ
リコン酸化膜とシリコン窒化膜との積層膜からなる。
First, as shown in FIG. 23A, an element isolation region 1602 and a gate insulating film are formed on a semiconductor substrate 1601 or a well region (not shown) provided in the semiconductor substrate 1601 by a known method. 1603 and gate electrode 16
04, and a silicon oxide film 1605 and a gate electrode side wall insulating film 1606 were formed on the gate electrode 1604. Here, the gate electrode 1604 is made of a polycrystalline silicon film, and the gate electrode side wall insulating film 1606 is made of a laminated film of a silicon oxide film and a silicon nitride film.

【0173】次に、図23(b)に示すように、非結晶シ
リコン膜1607を全面に10nm〜50nm程度堆積した
後、実施の形態1と同様な手法により、レジストパター
ン1608を形成した。次に、上記非結晶シリコン膜1
607のレジストパターン1608により覆われた領域
以外の領域をケミカルドライエッチングして、図23
(c)に示すように、ソース・ドレイン領域となるところ
のみに非結晶シリコン膜1609を形成した。
Next, as shown in FIG. 23 (b), after depositing an amorphous silicon film 1607 on the entire surface to a thickness of about 10 nm to 50 nm, a resist pattern 1608 was formed in the same manner as in the first embodiment. Next, the amorphous silicon film 1
Regions other than the region covered by the resist pattern 1608 of FIG.
As shown in (c), an amorphous silicon film 1609 was formed only at the portions that would be the source / drain regions.

【0174】次に、上記ゲート電極1604の上部のシ
リコン酸化膜1605を除去した後、図24(d)に示す
ように、周知のサリサイド工程により、ソース・ドレイ
ン領域およびゲート電極1604上にサリサイド膜16
10を形成した。この工程では、高融点金属材料にはチ
タンを用いた。
Next, after removing the silicon oxide film 1605 on the gate electrode 1604, as shown in FIG. 24D, a salicide film is formed on the source / drain region and the gate electrode 1604 by a well-known salicide process. 16
10 was formed. In this step, titanium was used as the high melting point metal material.

【0175】上記非結晶シリコン膜1609の膜厚は、
チタンと反応して完全に消失する膜厚に設定している。
したがって、上記ソース・ドレイン領域の非結晶シリコ
ン膜1609はチタンと全て反応してチタンシリサイド
膜1610に変わっている。
The film thickness of the amorphous silicon film 1609 is
The film thickness is set so that it reacts with titanium and completely disappears.
Therefore, the amorphous silicon film 1609 in the source / drain regions has completely reacted with titanium and turned into a titanium silicide film 1610.

【0176】次に、図24(e)に示すように、上記ソー
ス・ドレイン領域を形成するために、チタンシリサイド
膜1610に不純物(イオン)の注入を行った。この実施
の形態9においても、ゲート電極1604とソース・ド
レイン領域のドーピングを同時に行っている。また、こ
の実施の形態9においては、上記チタンシリサイド膜1
610の膜厚は20〜70nmである。このため、イオン
注入は、nチャネルトランジスタに関しては、隣イオン
を10keVから50keV程度のエネルギーで1×1015
〜1×1016/cm2程度のドーズ量で注入した。pチャ
ネルトランジスタに関しては、ボロンイオンを10keV
から20keV程度のエネルギーで1×1015〜1×10
16/cm2程度のドーズ量で注入した。次に、800℃
から900℃程度の温度で10分から120分程度の時
間の熱処理、もしくは、950℃から1050℃程度の
温度で10秒から60秒程度の急速加熱処理を行い、注
入した不純物を活性化すると共に、チタンシリサイド膜
1610中からシリコン基板1601へ拡散させてソー
ス・ドレイン活性領域1611を形成した。次に、周知
の方法により、層間絶縁膜1612を全面に堆積した。
Next, as shown in FIG. 24E, impurities (ions) were implanted into the titanium silicide film 1610 to form the source / drain regions. Also in the ninth embodiment, doping of the gate electrode 1604 and the source / drain regions is performed simultaneously. In the ninth embodiment, the titanium silicide film 1
The film thickness of 610 is 20 to 70 nm. Therefore, ion implantation, for the n-channel transistor, 1 × 10 15 to neighboring ions from 10keV at an energy of about 50keV
The implantation was performed at a dose of about 1 × 10 16 / cm 2 . For p-channel transistors, boron ions are
From 1 × 10 15 to 1 × 10 with energy of about 20 keV
The implantation was performed at a dose of about 16 / cm 2 . Next, 800 ° C
Heat treatment at a temperature of about 900 ° C. to about 10 minutes to 120 minutes, or a rapid heat treatment at a temperature of about 950 ° C. to 1050 ° C. for about 10 seconds to 60 seconds to activate the implanted impurities, The source / drain active region 1611 was formed by diffusing the titanium silicide film 1610 into the silicon substrate 1601. Next, an interlayer insulating film 1612 was deposited on the entire surface by a known method.

【0177】この実施の形態9では、高融点金属材料と
して、チタンを使用しているが、これに限るものではな
く、他の高融点金属として、コバルト、ニッケル、白金
などをもちいてもよい。
In the ninth embodiment, titanium is used as the high melting point metal material. However, the present invention is not limited to this, and other high melting point metals such as cobalt, nickel, and platinum may be used.

【0178】次に、図24(f)に示すように、上記層間
絶縁膜1612の所望の位置にコンタクト孔1613を
開口して、上部配線1614を形成した。こうして、所
望の積み上げ拡散層型のソース・ドレイン領域を有する
MOSFETを形成することができた。
Next, as shown in FIG. 24F, a contact hole 1613 was opened at a desired position in the interlayer insulating film 1612, and an upper wiring 1614 was formed. Thus, a MOSFET having a desired stacked diffusion layer type source / drain region could be formed.

【0179】この実施の形態9で形成されたMOSFE
Tは、ゲート電極1604近傍の積み上げられたソース
・ドレイン拡散層1610にファセットが生じない。し
たがって、ゲート長が小さくなって素子が微細化しても
短チャネル効果を抑制することができる。また、従来例
と比べて、プロセス温度が低いので、熱応力などによる
接合リークが発生することがない。さらに、積み上げら
れたソース・ドレイン拡散層1610を素子分離領域1
602に対して、オーバーラップさせることができる。
つまり、ソース・ドレイン活性領域1611よりも積み
上げられたソース・ドレイン拡散層1610の幅のほう
が広く形成することできる。したがって、ソース・ドレ
イン領域上にコンタクト1613を形成する際のマージ
ンや自由度を大きくすることができる。上記コンタクト
1613を素子分離領域1602とオーバーラップさせ
るように形成しても、ソース・ドレイン積み上げ拡散層
1610に対するコンタクト1613の設置面積が小さ
くならないので、コンタクト抵抗が増大することはな
い。
The MOSFE formed in the ninth embodiment
T causes no facet in the stacked source / drain diffusion layers 1610 near the gate electrode 1604. Therefore, the short channel effect can be suppressed even when the gate length is reduced and the element is miniaturized. Also, since the process temperature is lower than in the conventional example, there is no occurrence of junction leak due to thermal stress or the like. Further, the stacked source / drain diffusion layers 1610 are separated from the element isolation region 1.
602 can overlap.
That is, the stacked source / drain diffusion layers 1610 can be formed wider than the source / drain active regions 1611. Therefore, the margin and the degree of freedom when forming the contact 1613 on the source / drain region can be increased. Even if the contact 1613 is formed so as to overlap with the element isolation region 1602, the contact resistance does not increase because the installation area of the contact 1613 with respect to the source / drain stacked diffusion layer 1610 does not decrease.

【0180】さらに、この実施の形態9で形成されたM
OSFETは、実施の形態8の効果に加えて、ソース・
ドレイン拡散層を形成するための不純物注入を行う前
に、チタンシリサイド膜1610を形成するため、低抵
抗で耐熱性に優れたチタンシリサイド膜1610の利点
が利用できる。また、上記低抵抗なチタンシリサイド膜
1610がチャネル領域に極めて近いため、寄生抵抗が
低減され高駆動力を有するMOSFETが形成できる。
Further, the M formed in Embodiment 9
The OSFET has, in addition to the effect of the eighth embodiment,
Since the titanium silicide film 1610 is formed before the impurity implantation for forming the drain diffusion layer is performed, the advantages of the titanium silicide film 1610 having low resistance and excellent heat resistance can be used. In addition, since the low-resistance titanium silicide film 1610 is very close to the channel region, a parasitic resistance is reduced and a MOSFET having high driving force can be formed.

【0181】上記実施の形態1〜6の製造方法を用い
て、MOSFETに限らず、TFTやダイオード等の種
々の半導体装置を製造することができる。この場合、ア
ライメントのズレがないため、大きなアライメントのマ
ージンを持たせる必要がなくなって、半導体装置の微細
化を達成でき、かつ、半導体装置を安定したプロセスで
製造することができる。
By using the manufacturing methods of the first to sixth embodiments, not only MOSFETs but also various semiconductor devices such as TFTs and diodes can be manufactured. In this case, since there is no misalignment, it is not necessary to provide a large alignment margin, so that the semiconductor device can be miniaturized and the semiconductor device can be manufactured by a stable process.

【0182】この半導体装置を用いて、安定した機能を
備える携帯用の端末を得ることができる。
Using this semiconductor device, a portable terminal having a stable function can be obtained.

【0183】(実施の形態10)この実施の形態10は、
上記実施の形態1から9において好適に使用することが
できるレジスト塗布装置である。
(Embodiment 10) Embodiment 10
This is a resist coating apparatus that can be suitably used in the first to ninth embodiments.

【0184】従来のレジスト塗布装置では、レジストの
塗布後の膜厚は、購入したレジストをそのままウェーハ
に吐出して、その後のウエーの回転数を変化させること
によって、膜厚を制御するようにしている。
In the conventional resist coating apparatus, the thickness of the film after the application of the resist is controlled by discharging the purchased resist as it is onto the wafer and changing the rotation speed of the subsequent wafer. I have.

【0185】しかしながら、上記従来のレジスト塗布装
置では、レジストのその膜厚を薄く塗布するために回転
数を高くすることには限界があり、一方、ウェーハの回
転数を低くすることには、膜厚を均一に塗布できないた
めに限界があった。以下、制御とは、レジストの膜厚を
厚く塗布したり、薄く塗布したりする制御のことを言
う。
However, in the above-mentioned conventional resist coating apparatus, there is a limit to increasing the number of rotations in order to apply a thinner resist film, while reducing the number of rotations of the wafer requires a film. There was a limit because the thickness could not be evenly applied. Hereinafter, the control refers to control for applying a thicker or thinner resist film.

【0186】そこで、この実施の形態10のレジスト塗
布装置では、レジストの膜厚を制御するために、レジス
トや、ウェーハや、コーターカップの温度を変化させる
手段と、レジストの溶剤の量を変化させる手段を備え
て、レジストの粘度を最適化してレジストの膜厚を制御
する。
Therefore, in the resist coating apparatus according to the tenth embodiment, means for changing the temperature of the resist, the wafer, and the coater cup and the amount of the solvent in the resist are changed in order to control the thickness of the resist. Means for optimizing the viscosity of the resist and controlling the thickness of the resist.

【0187】すなわち、このレジスト塗布装置は、レジ
ストの供給源からレジストが吐出されるノズルの先まで
の間に、レジストを加熱してその温度を上げる手段を備
え、さらに、コーターカップ内の温度も上げる手段を備
える。また、このレジスト塗布装置は、ウェーハをプレ
ート等で加熱または冷却してからそのウェーハの温度を
保ったままコーターカップまで移動できるように、プレ
ートからコーターカップまでの移動の間にも加熱や冷却
を行える空調手段を備えて、レジストがウェーハに塗布
されるときの粘度を変化させることができるようになっ
ている。
That is, this resist coating apparatus is provided with a means for heating the resist to increase the temperature between the resist supply source and the tip of the nozzle from which the resist is discharged. It has means for raising. In addition, this resist coating device heats or cools the wafer during the movement from the plate to the coater cup so that the wafer can be heated or cooled with a plate or the like and then moved to the coater cup while maintaining the temperature of the wafer. An air conditioner that can be used is provided so that the viscosity when the resist is applied to the wafer can be changed.

【0188】上記構成により、レジストの塗布後の膜厚
の制御は、レジストの吐出後のウェーハの回転数を調節
すると共に、上記手段の機能により、従来と同じレジス
トで同じ粘度であっても、レジストの膜厚を以前より幅
広く制御できる。なお、レジストによっては、冷却のみ
の機能を有する手段や、加熱と冷却の両方の機能を有す
る手段で、塗布した方が、膜厚をより幅広く制御でき
る。
With the above configuration, the control of the film thickness after the application of the resist is performed by adjusting the number of rotations of the wafer after the discharge of the resist, and by the function of the above means, even if the same resist and the same viscosity as the conventional resist are used. The thickness of the resist can be controlled more widely than before. In addition, depending on the resist, the film thickness can be controlled more widely by applying with a means having only a function of cooling or a means having both functions of heating and cooling.

【0189】さらに、このレジスト塗布装置は、レジス
ト溶液中の溶質と溶剤の混合比を変化させるために、レ
ジストの供給源からレジストが吐出されるノズルの先ま
での間において、レジスト溶液にレジスト溶剤を追加し
て溶剤の量を変化させる手段を備えているから、レジス
トの粘度を変化させることができる。この溶剤の量を変
化させる手段は、吐出前のレジスト溶液とレジスト溶剤
をバッファータンクで混合してからレジスト溶液を吐出
する。
Further, in order to change the mixing ratio between the solute and the solvent in the resist solution, the resist coating apparatus adds the resist solvent to the resist solution from the source of the resist to the tip of the nozzle from which the resist is discharged. Is added to change the amount of the solvent, so that the viscosity of the resist can be changed. The means for changing the amount of the solvent discharges the resist solution after mixing the resist solution before discharge and the resist solvent in a buffer tank.

【0190】また、変形例のレジスト塗布装置では、コ
ーターカップにレジスト溶液の吐出用ノズルおよびレジ
スト溶剤の吐出用のノズルを具備する。これにより、レ
ジスト溶液とレジスト溶剤をウェーハ上で混合すること
が可能となって、レジストの粘度を任意に制御すること
が可能になって、レジストの膜厚も任意に制御すること
ができる。さらに、レジスト溶液をウェーハに吐出する
前に、レジスト溶剤をウェーハ上に吐出し、ウェーハ全
面をレジスト溶剤で前処理することで、ウェーハに対す
るレジストの塗布性(レジストがウェーハ面内に対して
均一に塗布できる度合い)を改善して、レジストの広が
り(塗布性)をよくしておいて、レジストの膜厚を薄く制
御できる。さらに、レジストの吐出量を抑制しても、均
一にレジストを塗布することが可能になる。
In the modified resist coating apparatus, the coater cup is provided with a nozzle for discharging a resist solution and a nozzle for discharging a resist solvent. As a result, the resist solution and the resist solvent can be mixed on the wafer, the viscosity of the resist can be arbitrarily controlled, and the thickness of the resist can be arbitrarily controlled. Furthermore, before discharging the resist solution onto the wafer, the resist solvent is discharged onto the wafer, and the entire surface of the wafer is pre-treated with the resist solvent, so that the resist coating property on the wafer (the resist is evenly spread over the wafer surface) The spread of the resist (applicability) can be improved by improving the degree of application, and the thickness of the resist can be controlled to be thin. Further, even if the discharge amount of the resist is suppressed, the resist can be uniformly applied.

【0191】このレジスト塗布装置によれば、レジスト
の膜厚の制御を、レジスト溶液の吐出後のウェーハの回
転数を制御すると共に、レジストの溶質と溶剤との混合
比を変化させる手段を機能させることによって、従来と
同じレジストで同じ粘度であっても、任意にレジストの
膜厚を以前より幅広く制御できる。また、レジスト塗布
装置に、粘度の異なる複数のレジスト用の複数の系を接
続する必要がなくなって、材料管理の面からもコストダ
ウンがはかれる。さらに、レジスト溶液に溶剤を加える
ことにより、レジスト溶液の使用量を抑えることができ
る。このため、レジスト溶液の吐出量を抑制することは
もとより、予め高粘度のレジストを用意しておくことに
よって、レジストの消費量を大幅に削減できて、コスト
ダウンをはかれる。
According to this resist coating apparatus, the thickness of the resist is controlled by controlling the number of revolutions of the wafer after discharging the resist solution and by functioning the means for changing the mixing ratio between the solute and the solvent of the resist. As a result, the thickness of the resist can be arbitrarily controlled more widely than before even if the same resist is used and the same viscosity is used. In addition, it is not necessary to connect a plurality of systems for a plurality of resists having different viscosities to the resist coating apparatus, so that the cost can be reduced in terms of material management. Further, by adding a solvent to the resist solution, the amount of the resist solution used can be suppressed. For this reason, not only by suppressing the discharge amount of the resist solution but also by preparing a high-viscosity resist in advance, the consumption of the resist can be significantly reduced, and the cost can be reduced.

【0192】また、変形例のレジスト塗布装置は、レジ
ストの温度とウェーハの温度とコーターカップの温度と
レジスト溶液中の溶質と溶剤の混合比とのいずれか1つ
あるいは複数と、ウェーハの回転数とを変化させる。こ
のため、レジストの膜厚の制御幅を以前よりも大きくで
きる。さらに、レジスト溶液に溶剤を加えた場合、レジ
ストの消費量を大幅に削減できて、コストダウンをはか
れる。
Further, the resist coating apparatus of the modified example has one or more of the resist temperature, the wafer temperature, the coater cup temperature, the mixing ratio of the solute and the solvent in the resist solution, and the rotational speed of the wafer. And change. For this reason, the control width of the resist film thickness can be made larger than before. Further, when a solvent is added to the resist solution, the consumption of the resist can be greatly reduced, and the cost can be reduced.

【0193】一方、EUVリソグラフィー、電子線リソ
グラフィー、F2レーザリソグラフィー、ArFエキシ
マレーザリソグラフィー等においては、50nm〜500
0nmのレジストの膜厚が要求されている。最も微細な加
工が必要なゲート加工では、50nm〜400nmのレジス
トの膜厚が要求される。また、イオン注入では、100
0nm〜5000nmのレジストの膜厚が必要になる。この
ため、上述のレジスト塗布装置が有効である。
On the other hand, in EUV lithography, electron beam lithography, F2 laser lithography, ArF excimer laser lithography, etc.
A resist thickness of 0 nm is required. In gate processing that requires the finest processing, a resist film thickness of 50 nm to 400 nm is required. In the ion implantation, 100
A resist film thickness of 0 nm to 5000 nm is required. Therefore, the above-described resist coating apparatus is effective.

【0194】また、上記レジスト塗布装置では、コータ
ーカップでも加熱または冷却が可能なため、プレートで
のウェーハの温調をコーターカップで行える。さらに、
HMDS処理や、ウェーハの温度を大気の温度にもどす
機能もコーターカップに備え付けさせることによって、
コーターカップのみで、レジスト塗布装置の一連の処理
をすることが可能である。さらに、量産用のレジスト塗
布装置では、このようなコーターカップをいくつか備え
ることによって、そのいずれかがトラブルを起こして
も、他のコーターカップで処理することができて、レジ
スト塗布装置全体としては、処理が不可能になることが
ない。
In the above resist coating apparatus, since heating or cooling can be performed even with a coater cup, the temperature of the wafer on the plate can be controlled with the coater cup. further,
By equipping the coater cup with HMDS processing and a function to return the temperature of the wafer to the temperature of the atmosphere,
It is possible to perform a series of processes of the resist coating device only with the coater cup. Furthermore, in a resist coating apparatus for mass production, by providing several such coater cups, even if one of them has a trouble, it can be processed by another coater cup, and as a whole the resist coating apparatus , Processing is not impossible.

【0195】このようなレジスト塗布装置を用いて、実
施の形態1から9のレジストの塗布を行うと、より良い
膜厚の制御が得られ、レジストの表面を平坦にできる。
さらに、この発明の半導体装置の製造方法以外の用途に
も、このレジスト塗布装置を用いることによって、レジ
ストの消費量を削減できて、コストダウンをはかれる。
When the resist of the first to ninth embodiments is applied using such a resist coating apparatus, better control of the film thickness can be obtained, and the surface of the resist can be made flat.
Further, by using the resist coating apparatus for applications other than the method of manufacturing a semiconductor device according to the present invention, it is possible to reduce the consumption of the resist and reduce the cost.

【0196】また、上記レジスト塗布装置に膜厚測定手
段と計算制御手段を備えている。
Further, the resist coating device is provided with a film thickness measuring means and a calculation control means.

【0197】この場合、まず、コーターカップでウェー
ハにレジストを塗布した後に、膜厚測定手段を有するユ
ニットにウェーハを搬送して、そのウェーハのレジスト
の膜厚を測定する。上記膜厚測定手段は、光干渉方式で
膜厚の測定を行い、レジストの感光する波長の光を使用
しない。すなわち、レジストの感光する光を取り除いた
光で使用する。例えば、KrFエキシマレーザー露光用
のレジストの膜厚測定の場合には、350nm以下の波長
を使用しない。そうすると、レジストを感光させること
がなくなる。
In this case, first, after the resist is applied to the wafer by the coater cup, the wafer is transferred to a unit having a film thickness measuring means, and the resist film thickness of the wafer is measured. The film thickness measuring means measures the film thickness by an optical interference method, and does not use light having a wavelength sensitive to the resist. That is, the light used is the light from which the resist is exposed. For example, in the case of measuring the thickness of a resist for KrF excimer laser exposure, a wavelength of 350 nm or less is not used. Then, the resist is not exposed.

【0198】次に、上記計算制御手段は、例えば、マイ
クロコンピュータからなっていて、上記膜厚測手段が測
定したレジストの膜厚値を、予め入力しておいた膜厚値
と比較して、決められた範囲以内であれば、処理を続行
する一方、決められた範囲を外れると、警報を鳴らし
て、エラー表示をして処理を停止する。あるいは、測定
したレジストの膜厚値が決められた範囲を外れても、処
理を続行するが、後でどのウェーハが異常であるかを表
示する機能を備えるようにしてもよい。
Next, the calculation control means comprises, for example, a microcomputer, and compares the resist film thickness value measured by the film thickness measurement means with a previously input film thickness value. If it is within the determined range, the process is continued. If it is out of the determined range, an alarm is sounded, an error is displayed, and the process is stopped. Alternatively, even if the measured resist film thickness value is out of the predetermined range, the process is continued, but a function for displaying which wafer is abnormal later may be provided.

【0199】また、上記計算制御手段は、レジストの膜
厚の測定値が設定範囲からはずれた場合、下記の式(1)
によって補正を行う。
When the measured value of the resist film thickness deviates from the set range, the calculation control means calculates the following equation (1).
The correction is performed by

【0200】 回転数×(膜厚)の2乗=一定値・・・・・・・・・(1) レジストの測定した膜厚値とウェーハの回転数から、上
記一定値を求め、さらに、所望のレジストの膜厚値を上
記式(1)にあてはめて、所望のレジストの膜厚値からウ
ェーハの回転数を計算して、ウェーハの実際の回転数を
自動的に変えることによって、ウェーハの膜厚を自動的
に制御するようにしている。これにより、レジストの膜
厚の管理が容易になって、ウェーハ間におけるレジスト
の膜厚のバラツキを抑制することができる。また、予め
入力されたレジストの粘度等のデータに基づいて、どの
くらいのウェーハの回転数でレジストを塗布すればよい
かを計算する機能を備えていて、レジストの膜厚が決め
られた範囲内に自動的に入るようにする機能も備えてい
る。このため、レジストを常に安定した膜厚で塗布する
ことができる。したがって、より安定したりソグラフィ
ーができる。
The number of rotations × the square of (film thickness) = constant value (1) The above-mentioned constant value is obtained from the measured film thickness value of the resist and the number of rotations of the wafer. By applying the desired resist film thickness value to the above equation (1), calculating the wafer rotation speed from the desired resist film thickness value, and automatically changing the actual rotation speed of the wafer, The film thickness is automatically controlled. This facilitates the control of the resist film thickness, and can suppress the variation in the resist film thickness between wafers. In addition, it has a function to calculate the number of rotations of the wafer to apply the resist based on data such as the viscosity of the resist input in advance, so that the film thickness of the resist falls within a predetermined range. It also has a function to automatically enter. Therefore, the resist can always be applied with a stable film thickness. Therefore, more stable and somographic images can be obtained.

【0201】また、一枚のウェーハ面内におけるレジス
トの膜厚のバラツキについても、測定したレジストの膜
厚値を、予め入力しておいた膜厚の上下のしきい値と比
較して、決められた範囲内であれば処理を続行し、一
方、決められた範囲を外れると、警報を鳴らして、エラ
ーの表示を行い、また、処理を停止させる。あるいは、
処理を進めるが、後でどのウェーハが異常であるかを表
示する機能を備えるようにしてもよい。また、予め入力
されたレジストの粘度等のデータに基づいて、どのくら
いのレジスト温度で塗布すれば均一性が向上するかを計
算する機能を備えていて、次に塗布するレジストの膜厚
の均一性を、予め決められた範囲内になるようにする機
能を備える。このため、常に安定した膜厚で塗布するこ
とができる。したがって、ウェーハにより安定した均一
性のよいレジストの塗布ができ、さらに、より安定した
リソグラフィーを行うことができる。
Also, the variation in the thickness of the resist in one wafer surface is determined by comparing the measured thickness of the resist with the upper and lower thresholds of the thickness previously input. If it is within the set range, the process is continued. If it is outside the set range, an alarm is sounded, an error is displayed, and the process is stopped. Or
Although the processing proceeds, a function of displaying which wafer is abnormal later may be provided. In addition, it has a function to calculate at what resist temperature coating will improve uniformity based on data such as viscosity of the resist input in advance. Is provided within a predetermined range. For this reason, it can always be applied with a stable film thickness. Therefore, a stable and uniform resist can be applied to the wafer, and more stable lithography can be performed.

【0202】また、上記レジストを吐出するノズルの位
置についても、レーザーでウェーハの大きさを確認し
て、上記ノズルがウェーハ中心にくるような機能を備え
て、レジストの膜厚の均一性を向上させている。これに
より、均一性のよい膜厚のレジストの塗布がより安定し
てできて、より安定したリソグラフィーを行うことがで
きる。
Also, regarding the position of the nozzle for discharging the resist, the size of the wafer is checked with a laser, and a function is provided so that the nozzle is located at the center of the wafer, thereby improving the uniformity of the resist film thickness. Let me. This makes it possible to more stably apply a resist having a uniform thickness and to perform more stable lithography.

【0203】また、データとして、下地基板の構成膜、
積層膜、露光波長、屈折率、吸光度等を入力し、ある波
長で、ある下地のときに、レジストをどの膜厚で塗布す
ればどくらいの感度が得られるかを計算できる機能を備
える。すなわち、PROLITH(Finle社製)、または、Depict
(TMA社製)等を使用して得たシュミレーションデーター
を、実際の実験結果を基に、補正する機能を備える。さ
らに、レジストの感度曲線を表示する機能を備える。こ
れらにより、レジスト塗布装置に膜厚を入力するだけ
で、瞬時に、所望の膜厚が得られるように制御を行っ
て、レジストを塗布することができる。
Further, as data, constituent films of the underlying substrate,
A function is provided for inputting a laminated film, an exposure wavelength, a refractive index, an absorbance, and the like, and calculating a film thickness and a film thickness of a resist at a certain wavelength and a certain base to obtain the sensitivity. That is, PROLITH (manufactured by Finle) or Depict
It has a function to correct simulation data obtained by using (TMA) based on actual experimental results. Further, a function for displaying a sensitivity curve of the resist is provided. Thus, by simply inputting the film thickness into the resist coating device, control can be performed instantaneously so as to obtain a desired film thickness, and the resist can be coated.

【0204】(実施の形態11)この実施の形態11は、
上記実施の形態1から9において好適に使用することが
できるレジスト現像装置である。
(Embodiment 11) Embodiment 11
This is a resist developing device that can be suitably used in the first to ninth embodiments.

【0205】従来のレジスト現像装置では、購入した現
像液を希釈することなくそのままウェーハに散布して、
その後の現像時間を変化させてレジストを現像してき
た。
In the conventional resist developing apparatus, the purchased developing solution is sprayed on the wafer without dilution,
The resist has been developed by changing the subsequent development time.

【0206】しかしながら、上記従来のレジスト現像装
置では、様々な種類のレジストを制御性良く現像するに
は限界があった。ここで、制御とは、現像不足、また
は、現像のし過ぎのため、現像の残り、または、レジス
トパターンの縮小等が起こるのを抑える制御のことを言
う。
However, the conventional resist developing apparatus described above has a limit in developing various types of resist with good controllability. Here, the control refers to control for suppressing the occurrence of the remaining development or the reduction of the resist pattern due to insufficient development or excessive development.

【0207】そこで、この実施の形態11のレジスト現
像装置は、現像を制御するために、現像液、ウェーハお
よび現像カップの温度を変化させる手段と、現像液の濃
度を変える手段とを備えて、現像のレートを変化させ
る。これにより、現像液のレートを最適化して現像を制
御する。
Therefore, the resist developing apparatus according to the eleventh embodiment is provided with means for changing the temperature of the developing solution, the wafer and the developing cup, and means for changing the concentration of the developing solution in order to control the development. Change the rate of development. Thereby, the development rate is optimized and the development is controlled.

【0208】より詳しくは、このレジスト現像装置は、
現像液の供給源から現像液が吐出されるノズルの先まで
の間において、現像液を冷却して温度を下げる手段を備
え、さらに、コーターカップ内の温度も下げる手段を備
える。また、このレジスト現像装置は、ウェーハをプレ
ート等で冷却してからそのウェーハの温度を保ったまま
現像カップまで移動できるように、プレートから現像カ
ップまでの移動の間にも温度調節を行える空調手段を備
える。したがって、現像液がウェーハに塗布されるとき
の現像液の温度は変化させることができるようになって
いる。
More specifically, this resist developing apparatus
Means are provided for cooling the developing solution to lower the temperature between the supply source of the developing solution and the tip of the nozzle from which the developing solution is discharged, and further include means for lowering the temperature inside the coater cup. In addition, this resist developing apparatus has an air conditioning means that can adjust the temperature during the movement from the plate to the developing cup so that the wafer can be cooled by a plate or the like and then moved to the developing cup while maintaining the temperature of the wafer. Is provided. Therefore, the temperature of the developer when the developer is applied to the wafer can be changed.

【0209】上記構成によれば、現像の制御を、上記ウ
ェーハを現像液に浸す時間を調節すると共に、上記手段
の機能を働かせることによって、同じ現像液でさらに同
じ濃度であっても、様々なレジストについて幅広く行う
ことができる。
According to the above-described structure, the development is controlled by adjusting the time for immersing the wafer in the developing solution, and by using the function of the above-mentioned means, even if the same developing solution is used and the same concentration is obtained. It can be performed widely for resist.

【0210】なお、レジストまたは現像液によっては、
現像液を加熱する手段や、加熱と冷却の両方を行うこと
ができる手段を働かせて現像した方が、レジストの膜厚
をより幅広く制御できる。
Incidentally, depending on the resist or the developing solution,
The film thickness of the resist can be controlled more broadly by using a means for heating the developing solution or a means capable of performing both heating and cooling to perform the development.

【0211】さらに、現像液の供給源とノズルの先まで
との間に、現像液の濃度を調節するために、現像液中に
純水を追加して現像液の濃度を変化させる手段を備えて
いる。この手段により、吐出前の現像液と純水をバッフ
ァータンクで混合してから現像液を吐出する。
Further, in order to adjust the concentration of the developing solution between the supply source of the developing solution and the tip of the nozzle, there is provided a means for adding pure water to the developing solution to change the concentration of the developing solution. ing. By this means, the developing solution before discharging is mixed with pure water in a buffer tank, and then the developing solution is discharged.

【0212】また、現像カップに現像液の吐出用ノズル
と純水の吐出用のノズルとを具備してもよい。この場
合、純水と現像液をウェーハ上で混合して、現像液の濃
度を任意に制御することができる。また、現像液をウェ
ーハ上に吐出する前に、純水をウェーハ上に吐出して、
レジストの付いたウェーハの全面を純水で前処理して、
レジストに対する現像液の広がり(塗布性)を改善してお
くことができる。こうすると、現像液の吐出量を抑制で
きる上に、現像液の吐出量を抑制しても、均一にレジス
トを現像することができる。また、少量吐出した現像液
に対して、現像時間内に、送風、超音波、または、垂直
または水平の振動を調節しながら与えると共に、ウェー
ハの回転数を制御することによって、現像をより精度高
く制御することができる。
Further, the developing cup may be provided with a nozzle for discharging a developing solution and a nozzle for discharging pure water. In this case, pure water and the developer can be mixed on the wafer, and the concentration of the developer can be arbitrarily controlled. Also, before discharging the developer onto the wafer, pure water is discharged onto the wafer,
Pretreat the entire surface of the wafer with resist with pure water,
It is possible to improve the spread (coating property) of the developer with respect to the resist. In this case, the resist can be uniformly developed even if the discharge amount of the developing solution is suppressed. In addition, for a small amount of the developing solution, within the developing time, blowing, ultrasonic waves, or vertical or horizontal vibrations are adjusted and applied, and by controlling the number of rotations of the wafer, development is performed with higher accuracy. Can be controlled.

【0213】以上のように、現像液と純水との混合比を
変化させることによって、現像工程の制御を任意に精度
高くかつ幅広く行うことができる。また、濃度の異なる
現像液を複数本接続する必要がなくなって、材料管理の
面からもコストダウンがはかれる。さらに、現像液に純
水を加えるので、予め高濃度の現像液を用意しておいて
現像液自体の消費量を大幅に削減できて、コストダウン
をはかれる。
As described above, by changing the mixing ratio between the developer and pure water, the control of the developing process can be arbitrarily performed with high accuracy and widely. Further, it is not necessary to connect a plurality of developers having different concentrations, and the cost can be reduced from the viewpoint of material management. Further, since pure water is added to the developing solution, a high-concentration developing solution is prepared in advance, so that the consumption of the developing solution itself can be greatly reduced, and the cost can be reduced.

【0214】例えば、23.8%のテトラアンモニウム
ハイドロオキサイド水溶液を現像液として用いる場合、
23.8%のテトラアンモニウムハイドロオキサイド水
溶液を原液として用意し、1/10に希釈してから使用
することにより、現像液自体の使用量を1/10に減少
できる。この場合、現像液の購入コストは、濃度に関係
なく量で定まり、かつ、純水のコストは現像液のコスト
に比べて無視できる程度であるので、現像液コストを約
1/10に減少できる。また、現像液の貯蔵タンクの容
量も1/10にできるので、設置スペースの観点からも
非常に有効である。
For example, when a 23.8% aqueous solution of tetraammonium hydroxide is used as a developer,
By preparing a 23.8% aqueous solution of tetraammonium hydroxide as a stock solution and diluting it to 1/10 before use, the amount of developer used can be reduced to 1/10. In this case, the purchase cost of the developer is determined by the amount regardless of the concentration, and the cost of pure water is negligible compared to the cost of the developer. Therefore, the cost of the developer can be reduced to about 1/10. . Further, since the capacity of the developer storage tank can be reduced to 1/10, it is very effective from the viewpoint of installation space.

【0215】上記レジスト現像装置では、現像液、ウェ
ーハおよび現像カップの温度と、現像液の濃度とを変化
させているが、そのいずれかを変化させても、レジスト
の現像工程の制御幅を以前よりも大きくできる。
In the above resist developing apparatus, the temperature of the developing solution, the wafer and the developing cup, and the concentration of the developing solution are changed. Can be larger than

【0216】EUV(超紫外線)リソグラフィー、電子線
リソグラフィー、F2レーザリソグラフィー、ArFエ
キシマレーザリソグラフィー、KrFエキシマレーザリ
ソグラフィー、i線リソグラフィー等において、露光波
長に依存してレジスト材料が変遷してきたが、従来にお
いては、プロセスを踏襲するという観点で、従来使って
きた現像液に合うようなレジストの材料の開発をしてき
た。しかし、上述のように、現像液の濃度が任意に選択
できるレジスト現像装置を使うことによって、レジスト
の材料が、最も性能を引き出す現像液の濃度を任意に選
択できるようになる。このため、レジストの性能向上を
はかれる一方、レジスト材料の開発コストを低減でき、
レジストの材料コストを低下させることが可能となる。
In EUV (ultra-ultraviolet) lithography, electron beam lithography, F2 laser lithography, ArF excimer laser lithography, KrF excimer laser lithography, i-line lithography, etc., resist materials have changed depending on the exposure wavelength. Has developed a resist material that is compatible with the developer conventionally used from the viewpoint of following the process. However, as described above, by using a resist developing device that can arbitrarily select the concentration of the developing solution, it becomes possible to arbitrarily select the concentration of the developing solution that maximizes the performance of the resist material. For this reason, while improving the performance of the resist, the development cost of the resist material can be reduced,
The material cost of the resist can be reduced.

【0217】また、上記レジスト現像装置は、現像カッ
プでも加熱または冷却が可能なため、プレートで行って
いたウェーハの温調は、現像カップで行える。さらに、
ウェーハの温度を大気の温度に戻す機能やウェーハの周
辺を露光する機能をコーターカップに備え付けることに
よって、現像カップのみで、レジスト現像装置の一連の
処理をすることも可能である。
Further, since the resist developing device can be heated or cooled even with a developing cup, the temperature control of the wafer performed by the plate can be performed by the developing cup. further,
By equipping the coater cup with a function of returning the temperature of the wafer to the temperature of the atmosphere and a function of exposing the periphery of the wafer, it is possible to perform a series of processes of the resist developing device using only the developing cup.

【0218】上記のようなレジスト現像装置を用いて実
施の形態1から9のレジストの現像を行うと、現像液の
消費量を大幅に削減できて、コストダウンをはかれる。
When the resist of the first to ninth embodiments is developed using the resist developing apparatus as described above, the consumption of the developing solution can be greatly reduced, and the cost can be reduced.

【0219】さらに、レジスト現像装置に、現像液の濃
度を変化させる手段の他に、現像液の吐出ノズルの部分
において現像液の電気抵抗によって現像液の濃度を測る
測定手段と、上記測定手段の測定結果に基づいて現像液
の濃度を制御する制御手段を設けることによって、現像
液の濃度を設定濃度に厳密に管理することができる。上
記現像液の濃度を変化させる手段は、現像液と純水を混
合するバッファータンクに機能ユニットとして設けられ
る。上記測定手段は、現像液の電気伝導度を測定して、
現像液の濃度を計算して出力する。このとき、現像液の
溶質の水に対する電離定数は温度によって変化するの
で、現像液の電気伝導度の測定は、一定温度で行う必要
がある。そのため、このレジスト現像装置は、現像液の
温調を行う手段を備えている。上記制御手段は、測定手
段の出力に基づいて、もし、現像液の濃度が設定値より
低ければ、現像液に原液を入れて濃度をあげさせる一
方、現像液の濃度が高ければ、現像液に純水をいれて濃
度を下げさせるように、制御を行う。このような現像液
の管理システムを具備するレジスト現像装置を用いるこ
とによって、一定の現像液の濃度で現像をすることが可
能になって、現像プロセスのプロセスマージンが広くな
る。
Further, in addition to the means for changing the concentration of the developing solution, the measuring means for measuring the concentration of the developing solution at the discharge nozzle portion of the developing solution by the electric resistance of the developing solution, By providing control means for controlling the concentration of the developer based on the measurement result, the concentration of the developer can be strictly controlled to the set concentration. The means for changing the concentration of the developer is provided as a functional unit in a buffer tank for mixing the developer and pure water. The measuring means measures the electric conductivity of the developer,
Calculate and output the concentration of the developer. At this time, since the ionization constant of the solute of the developing solution with respect to water changes depending on the temperature, it is necessary to measure the electric conductivity of the developing solution at a constant temperature. Therefore, this resist developing device is provided with means for controlling the temperature of the developing solution. Based on the output of the measuring means, if the concentration of the developing solution is lower than the set value, the control means causes the undiluted solution to be added to the developing solution to increase the concentration, while if the concentration of the developing solution is high, the developing solution Control is performed so as to lower the concentration by adding pure water. By using a resist developing apparatus having such a developing solution management system, development can be performed at a constant developing solution concentration, and the process margin of the developing process is widened.

【0220】また、購入する現像液は、濃度の規格を緩
くできるので、安価に購入することが可能になる。さら
に、現像液の溶質だけを購入して、溶質を純水に混ぜて
現像液の調整を行うようにすれば、より安価にプロセス
を行うことが可能になる。
Further, since the concentration of the developing solution to be purchased can be relaxed, it is possible to purchase the developing solution at low cost. Furthermore, if only the solute of the developer is purchased and the solute is mixed with pure water to adjust the developer, the process can be performed at lower cost.

【0221】また、現像工程、つまり、レジスト付のウ
ェーハを現像液に浸す工程において、レジスト現像装置
は、EPD(エンドポイント検出)機能と、オーバーエッ
チを行える機能と、現像液の濃度測定計算機能と、現像
液の濃度制御機能を働かせる。
In the developing step, that is, in the step of immersing the wafer with the resist in the developing solution, the resist developing device has an EPD (end point detection) function, a function capable of performing over-etching, and a function for calculating the concentration of the developing solution. Then, the function of controlling the concentration of the developer is activated.

【0222】現像カップでレジスト現像するときに、E
PD機能で、レジストのエッチング状態を確認して、固
定された現像液の濃度から、現像液にレジストを浸す最
適な時間を計算してレジストを現像液に浸す。このた
め、現像後のレジスト残りや、現像液に浸し過ぎによる
レジストパターンの縮小を防止することができる。ま
た、EPD(エンドポイント検出)される時間の範囲を予
め決定しておいて、その時間の範囲内でEPD(エンド
ポイント検出)ができないとき、警報が鳴って、エラー
の表示がされて、処理が停止される。あるいは、現像処
理は進むが、後でどのウェーハが異常であるかを表示す
る機能を備えてもよい。このようにすることによって、
安定したレジストの現像が行える。
When developing the resist with a developing cup,
The PD function checks the etching state of the resist, calculates the optimal time for immersing the resist in the developing solution from the concentration of the fixed developing solution, and immerses the resist in the developing solution. For this reason, it is possible to prevent the resist remaining after the development and the reduction of the resist pattern due to excessive immersion in the developing solution. In addition, a range of time for EPD (endpoint detection) is determined in advance, and when EPD (endpoint detection) cannot be performed within the time range, an alarm sounds, an error is displayed, and processing is performed. Is stopped. Alternatively, a function may be provided for displaying which wafer has an abnormality after the development process proceeds. By doing this,
Stable development of resist can be performed.

【0223】また、EPD(エンドポイント検出)には、
パターンのどこかの部分に、測定用のパットをいれてお
くと、よりEPDを正確に行える。そのパット大きさは
50μm角で十分である。また、EPD測定用のスペー
スは、ウェーハの端のレジストをパターニングしない部
分であってもよい。
Also, EPD (end point detection) includes
If a pad for measurement is placed in any part of the pattern, EPD can be performed more accurately. The pad size of 50 μm square is sufficient. Further, the space for EPD measurement may be a portion where the resist at the edge of the wafer is not patterned.

【0224】また、レジストを現像する以外に、レジス
トを薄膜化するときに、現像液にレジストを浸しなが
ら、レジストの膜厚を測る測定手段を備える。この場
合、薄膜化するレジストの目標の膜厚をレジスト現像装
置に入力するだけで、上記測定手段の出力に基づいて、
自動的により制御性よくレジストを薄膜化することがで
きる。
In addition to developing the resist, a measuring means for measuring the thickness of the resist while immersing the resist in a developing solution when thinning the resist is provided. In this case, simply by inputting the target film thickness of the resist to be thinned to the resist developing device, based on the output of the measuring means,
The resist can be automatically made thinner with better controllability.

【0225】また、現像液の濃度に関して、現像液の濃
度を変化させた場合でも、レジスト現像するときに、E
PD機能で、レジストのエッチング状態を確認して、現
像液の濃度から、現像液にレジストを浸す最適な時間を
計算して、現像液にレジストを浸すことができる。ま
た、現像液の濃度を変化させた場合に、その濃度が変化
した現像液でレジストをエッチングした場合のエッチン
グレートを表示できる機能を備えて、より最適な濃度の
現像液を選択して現像することができる。このため、安
定したレジストの現像が行える。また、現像液の濃度は
電気抵抗を用いて測定できる機能を有する。これによ
り、現像後のレジスト残りや、現像液に浸し過ぎによる
レジストパターンの縮小等を防止することがより容易に
なる。また、現像液を吐出する直前であるノズル部にお
いて、現像液の濃度を電気抵抗を用いて測定すると、吐
出直前の現像液の濃度を検出して現像液の濃度をより精
度高く制御できる。また、次に吐出される現像液濃度の
正確な値を確認することも容易になる。
Regarding the concentration of the developing solution, even when the concentration of the developing solution is changed, when developing the resist,
With the PD function, it is possible to check the etching state of the resist, calculate the optimum time for immersing the resist in the developer from the concentration of the developer, and immerse the resist in the developer. In addition, when the concentration of the developer is changed, a function capable of displaying an etching rate when the resist is etched with the developer having the changed concentration is provided, and a developer having a more optimal concentration is selected and developed. be able to. Therefore, stable development of the resist can be performed. In addition, the developer has a function of measuring the concentration using an electric resistance. This makes it easier to prevent the resist from remaining after development and the reduction of the resist pattern due to excessive immersion in the developing solution. Further, when the concentration of the developing solution is measured using an electric resistance at the nozzle portion immediately before the discharging of the developing solution, the concentration of the developing solution immediately before the discharging can be detected and the concentration of the developing solution can be controlled with higher accuracy. In addition, it is easy to confirm the correct value of the concentration of the developer discharged next.

【0226】また、現像液の測定された濃度は、予め設
定された濃度のしきい値と比較されて、決められた範囲
以内にあれば、現像処理が続行される一方、決められた
範囲から外れると、警報が鳴って、エラーの表示がされ
て、処理が停止される。あるいは、現像処理は進むが、
後でどのウェーハが異常であるかを表示する機能を備え
てもよい。こうすることによって、現像液のより厳重な
濃度の管理が可能になる。
The measured density of the developing solution is compared with a preset threshold value of the density, and if the measured density is within a predetermined range, the developing process is continued. If it deviates, an alarm sounds, an error is displayed, and the process is stopped. Alternatively, the development process proceeds,
A function for displaying which wafer is abnormal later may be provided. This enables more strict control of the concentration of the developer.

【0227】また、上記EPD(エンドポイント検出)機
能と、現像液と純水との混合機能と、現像液の濃度を測
定する機能と、こられの機能の出力と過去の実験データ
とに基づいて現像液の現像時間または現像液の濃度を計
算する機能とを備える。これにより、現像液にレジスト
を浸す時間を固定して現像液の濃度を決めたり、あるい
は、現像液の濃度を固定して現像液にレジストを浸す時
間を決めることが可能になる。このため、現像工程での
スループットの増大や、低濃度の現像液も用いての材料
費の削減を達成できる。また、現像後のレジスト残り
や、現像液に浸し過ぎによるレジストパターンの縮小を
防止することができるため、より微細なレジストパター
ンの現像に大きな効果を発揮する。
Further, the EPD (end point detection) function, the mixing function of the developer and pure water, the function of measuring the concentration of the developer, and the output of these functions and the past experimental data are used. Calculating the developing time of the developing solution or the concentration of the developing solution. This makes it possible to determine the concentration of the developer by fixing the time for immersing the resist in the developer, or to determine the time for immersing the resist in the developer by fixing the concentration of the developer. For this reason, it is possible to achieve an increase in the throughput in the developing step and a reduction in material costs using a low-concentration developer. Further, since the resist pattern after development and the reduction of the resist pattern due to excessive immersion in the developing solution can be prevented, a great effect is exhibited in developing a finer resist pattern.

【0228】また、データとして、レジストポリマーの
種類、現像液の種類、現像液の濃度、プリベーク(塗布
後ベーク)の温度および現像液の温度等を入力すること
によって、エッチングレートを計算する機能を有する。
例えば、PROLITH(Finle社製)またはDepict(TMA社製)等
を使用して得たエッチングレート等のシミュレーション
データを、実際の実験結果を基づいて補正する機能をそ
なえる。これにより、瞬時に、現像時間と現像液の濃度
との関係を知ることができる。
A function of calculating an etching rate by inputting, as data, the type of resist polymer, the type of developer, the concentration of developer, the temperature of pre-bake (bake after coating), the temperature of developer, etc. Have.
For example, it has a function of correcting simulation data such as an etching rate obtained using PROLITH (manufactured by Finle) or Depict (manufactured by TMA) based on actual experimental results. Thereby, the relationship between the developing time and the concentration of the developing solution can be instantaneously known.

【0229】[0229]

【発明の効果】以上より明らかなように、この発明によ
れば、段差のある下地にレジストを平坦に塗布する工程
後に、そのレジストの膜厚を塗布直後の膜厚よりも積極
的に薄くする工程を備えるので、アライメントのズレの
影響を考えることなく、確実に段差下部のみに自己整合
的にレジストパターンを形成することができる。また、
段差上部に乗り上げることのないレジストパターンを形
成して、レジストパターンの高さを低くすることができ
るので、レジストパターンの変形や倒壊を防ぐことがで
きる。特に、上記レジストパターンは、下地の凹部の底
面のみならず、段差の側壁にも密着させることができる
ので、レジストパターンの変形や倒壊を防ぐことができ
る。また、下地パターンに対するアライメントマージン
を設ける必要がなくなるので、半導体装置を微細化でき
る。
As is clear from the above, according to the present invention, after the step of flatly applying a resist on a stepped base, the thickness of the resist is made positively smaller than that immediately after the application. Since the process is provided, the resist pattern can be reliably formed in a self-aligned manner only on the lower portion of the step without considering the influence of the alignment deviation. Also,
By forming a resist pattern that does not run over the step and reducing the height of the resist pattern, deformation and collapse of the resist pattern can be prevented. In particular, since the resist pattern can be stuck not only to the bottom surface of the concave portion of the base but also to the side wall of the step, deformation and collapse of the resist pattern can be prevented. Further, since it is not necessary to provide an alignment margin for the underlying pattern, the semiconductor device can be miniaturized.

【0230】また、この発明によれば、段差のある下地
にレジストを平坦に塗布する工程の後に、そのレジスト
を塗布直後の膜厚よりも積極的に薄くする工程を備える
ので、ストリエーションの発生を防止できる。さらに、
上記段差のある下地にレジストを平坦に塗布した後、そ
の表面が平坦なレジストを薄膜化するので、レジストを
段差上部まで薄膜化すると、段差下部に十分な膜厚のレ
ジストパターンを残すことができる。したがって、エッ
チングに対するレジストパターンの耐久性が向上でき
る。また、段差下部の面積の広い所にまで、レジストパ
ターンを形成できる。
Further, according to the present invention, after the step of flatly applying the resist to the stepped base, the step of positively reducing the thickness of the resist immediately after the application is provided. Can be prevented. further,
After the resist is applied flat on the stepped base, the resist having a flat surface is thinned, so that when the resist is thinned to the upper part of the step, a resist pattern having a sufficient film thickness can be left at the lower part of the step. . Therefore, the durability of the resist pattern against etching can be improved. Further, a resist pattern can be formed even in a large area under the step.

【0231】また、この発明の1実施の形態では、レジ
ストの膜厚を、レジストの塗布直後の膜厚よりも積極的
に薄くする工程は、レジストを塗布する工程と、露光す
る工程との間に行うので、制御性良く、レジストを塗布
直後の膜厚よりも薄くすることができる。さらに、レジ
ストを積極的に薄膜化する工程を、レジストを現像する
工程より前に行うので、制御性良く、レジストを現像す
ることができ、現像残りや、パターンの縮小を抑制する
ことができる。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist is performed between the step of applying the resist and the step of exposing. Therefore, the resist can be made thinner than the film thickness immediately after the application with good controllability. Further, since the step of positively thinning the resist is performed before the step of developing the resist, the resist can be developed with good controllability, and the undeveloped portion and the pattern reduction can be suppressed.

【0232】また、この発明の1実施の形態では、レジ
ストを塗布直後の膜厚よりも積極的に薄くする工程を、
露光する工程と現像する工程との間に行うので、通常の
フォトリソグラフィーと同じように制御性良く露光する
ことができて、パターンの線幅等を安定させることがで
きる。さらに、レジストを積極的に薄膜化する工程を、
レジストを現像する工程より前に行うので、制御性良く
現像することができて、現像残りやパターンの縮小を抑
制することができる。
In one embodiment of the present invention, the step of positively reducing the thickness of the resist immediately after coating is described in the following.
Since it is performed between the step of exposing and the step of developing, exposure can be performed with good controllability similarly to ordinary photolithography, and the line width of the pattern can be stabilized. In addition, the process of actively thinning the resist,
Since the development is performed before the step of developing the resist, the development can be performed with good controllability, and the undeveloped portion and the reduction of the pattern can be suppressed.

【0233】また、この発明の1実施の形態では、レジ
ストを塗布直後の膜厚よりも積極的に薄くする工程を、
レジストを現像する工程の後に行うので、制御性良く、
レジストに露光することができる。さらに、レジストパ
ターンの形成が確認された後に、レジストの積極的な薄
膜化を行うので、レジストパターンの異常などを早期に
発見することができる。
Further, in one embodiment of the present invention, the step of positively reducing the thickness of the resist immediately after coating is described as follows.
Because it is performed after the step of developing the resist, it has good controllability,
The resist can be exposed. Further, since the resist is positively thinned after the formation of the resist pattern is confirmed, an abnormality of the resist pattern can be found at an early stage.

【0234】この発明の1実施の形態では、レジストの
膜厚を積極的に薄くしたい領域を露光して現像して、そ
の領域のレジストの膜厚を積極的に薄くするので、他の
新しい装置を使用することなく、現像工程で使用する装
置を用いることができ、新たなる設備投資をすることな
く、この実施の形態の製造方法を実現できる。さらに、
この実施の形態では、フォトリソグラフィー工程を行う
エリア内での処理に限定されるので、運搬等によるダス
トの問題が解消される。さらに、この現像する工程は、
汎用的に使用している現像液を使用することが可能なの
で、新たな現像液を用意する必要がなくて、この実施の
形態のために新たなコストが発生しない。
In one embodiment of the present invention, a region where the resist thickness is to be positively reduced is exposed and developed to positively reduce the resist thickness in that region. The apparatus used in the developing step can be used without using the device, and the manufacturing method of this embodiment can be realized without making new capital investment. further,
In this embodiment, since the processing is limited to the processing in the area where the photolithography process is performed, the problem of dust due to transportation or the like is solved. Further, this developing step includes:
Since a commonly used developing solution can be used, there is no need to prepare a new developing solution, and no additional cost is generated for this embodiment.

【0235】また、この発明の1実施の形態では、段差
のある下地に平坦に塗布されたレジストを、ウェットエ
ッチングを用いて積極的に薄膜化しているので、レジス
トに対するダメージを低く押さえることができる。さら
に、ウェットエッチングに現像液を用いることによっ
て、フォトリソグラフィーを行うエリア内での処理が可
能に鳴り、ダスト付着等の問題を抑えることができる。
また、この実施の形態は、通常の現像装置を用いて実施
できるので、新たな設備投資をする必要がないという利
点を有する。
Further, in one embodiment of the present invention, since the resist applied flat on the stepped base is positively thinned by wet etching, damage to the resist can be suppressed to a low level. . Furthermore, by using a developer for wet etching, processing can be performed in an area where photolithography is performed, and problems such as dust adhesion can be suppressed.
Further, since this embodiment can be carried out using a normal developing device, there is an advantage that it is not necessary to make a new capital investment.

【0236】また、この発明の1実施の形態では、予め
最小ピッチで形成されている段差である第1の配線に対
して、その第1の配線間の凹部に、その凹部に形成した
レジストパターンによって第2の配線を形成するので、
第2の配線を自己整合的に制御性良く形成することがで
きる。したがって、リソグラフィー技術の限界以上に配
線を高密度化できる。また、第1の配線が存在しない領
域にも、所望の第2の配線パターンを得ることができる
ので、設計の自由度が飛躍的に向上する。
In one embodiment of the present invention, the first wiring, which is a step formed at the minimum pitch in advance, is formed in a concave portion between the first wirings, a resist pattern formed in the concave portion is formed. To form the second wiring,
The second wiring can be formed in a self-aligned manner with good controllability. Therefore, the density of wiring can be increased beyond the limit of the lithography technology. In addition, since a desired second wiring pattern can be obtained even in a region where the first wiring does not exist, the degree of freedom in design is dramatically improved.

【0237】この発明の1実施の形態では、段差のある
下地にレジストを平坦に塗布する工程の後に、その平坦
なレジストに、段差の上部に至る領域を露光するオーバ
ーラップ露光をするので、アライメントのズレが起こっ
ても、段差の側壁部分まで確実に露光することができ
る。したがって、上記段差下部のみに自己整合的にレジ
ストをパターニングすることができる。その際、レジス
トパターンは段差の底面(凹部の底面)の他に、段差の側
壁にも密着しているので、レジストパターンの変形や倒
壊を防ぐことができる。また、下地パターンに対するア
ライメントマージンを設ける必要がなくなるので、半導
体装置を微細化できる。
In one embodiment of the present invention, after the step of applying a resist flat on a base having a step, the flat resist is subjected to overlap exposure for exposing a region reaching the upper part of the step. Even if the deviation occurs, it is possible to surely expose even the side wall portion of the step. Therefore, the resist can be patterned only in the lower part of the step in a self-aligned manner. At this time, since the resist pattern adheres not only to the bottom surface of the step (the bottom surface of the concave portion) but also to the side wall of the step, deformation and collapse of the resist pattern can be prevented. Further, since it is not necessary to provide an alignment margin for the underlying pattern, the semiconductor device can be miniaturized.

【0238】また、1実施の形態のトランジスタでは、
上記製造方法によって、ファセットのないソース・ドレ
イン積み上げ拡散層を制御性良く形成しているので、ゲ
ート長を短くして素子を微細化しても、短チャネル効果
を抑制することができる。また、プロセス温度が低いの
で、熱応力などによる接合リークが発生することがな
い。さらに、積み上げられたソース・ドレイン拡散層の
幅を自由に設定できるので、ソース・ドレイン領域上に
コンタクトを形成する際のマージンや自由度を大きくす
ることができる。また、コンタクトを素子分離領域とオ
ーバーラップさせるように形成しても、コンタクトの接
合面積が小さくならないので、コンタクト抵抗が増大す
ることはない。
In one embodiment of the transistor,
Since the source / drain stacked diffusion layers without facets are formed with good controllability by the above manufacturing method, the short channel effect can be suppressed even if the gate length is shortened and the element is miniaturized. Also, since the process temperature is low, there is no occurrence of junction leak due to thermal stress or the like. Further, since the width of the stacked source / drain diffusion layers can be set freely, the margin and the degree of freedom when forming a contact on the source / drain region can be increased. Further, even if the contact is formed so as to overlap with the element isolation region, the contact area of the contact is not reduced, so that the contact resistance does not increase.

【0239】この発明の1実施の形態のレジスト塗布装
置は、レジストやウェーハやコーターカップの温度を変
化させる手段、または、レジストの溶剤の量を変化させ
る手段を備えて、レジストの粘度を最適化しているの
で、レジストの吐出後のウェーハの回転数を制御して、
上記手段を働かせて、同じレジストで、さらに同じ粘度
であっても、膜厚を以前より幅広く制御できる。また、
1実施の形態では、レジストを冷却する手段、または、
加熱と冷却の両方が可能な手段を備えるので、膜厚をよ
り幅広く制御できる。また、上記実施の形態によれば、
粘度の異なるレジストのための複数本の容器または配管
系をレジスト塗布装置に接続する必要がなくなるので、
材料管理の面からもコストダウンがはかれる。さらに、
レジストに溶剤を加えるので、レジスト使用量を抑える
ことができる。このため、予め高粘度のレジストを用意
し、かつ、レジストの吐出量を抑制することによって、
レジストの消費量を大幅に削減できて、コストダウンを
はかれる。
The resist coating apparatus according to one embodiment of the present invention includes means for changing the temperature of a resist, a wafer, or a coater cup, or means for changing the amount of a solvent in a resist, thereby optimizing the viscosity of the resist. Therefore, by controlling the number of rotations of the wafer after the discharge of the resist,
By using the above means, the film thickness can be controlled more widely than before even with the same resist and the same viscosity. Also,
In one embodiment, a means for cooling the resist, or
Since a means capable of both heating and cooling is provided, the film thickness can be controlled more widely. Also, according to the above embodiment,
Since there is no need to connect multiple containers or piping systems for resists with different viscosities to the resist coating device,
Cost reduction can also be achieved in terms of material management. further,
Since the solvent is added to the resist, the amount of the resist used can be reduced. Therefore, by preparing a high-viscosity resist in advance, and by suppressing the discharge amount of the resist,
The consumption of the resist can be greatly reduced, and the cost can be reduced.

【0240】この発明の1実施の形態のレジスト現像装
置は、現像液やウェーハや現像カップの温度を変化させ
る手段と、現像液の濃度を変化させる手段とを備えるの
で、ウェーハを現像液に浸す時間を調整し、かつ、上記
手段を働かせて、同じ現像液で、さらに同じ濃度であっ
ても、以前よりも様々なレジストについて、現像の制御
を幅広くできる。また、レジストや現像液によっては、
加熱する手段のみならず、加熱と冷却との両方を行うこ
とが可能な手段を備えることによって、膜厚をより幅広
く制御できる。また、現像工程の制御を、現像液と純水
との混合比を変化させて、任意に現像工程を制御でき、
濃度の異なる現像液のタンクまたは配管系を複数本接続
する必要がなくなって、材料管理の面からもコストダウ
ンがはかれる。さらに、現像液に純水を加えるので、現
像液の使用量を抑えることができる。したがって、予め
高濃度の現像液を用意して、現像液の吐出量を抑制する
ことによって、現像液自体の消費量を大幅に削減でき、
コストダウンをはかれる。
Since the resist developing apparatus according to one embodiment of the present invention includes means for changing the temperature of the developing solution, the wafer and the developing cup, and means for changing the concentration of the developing solution, the wafer is immersed in the developing solution. By adjusting the time and using the above means, even with the same developing solution and the same concentration, development control can be broadened for various resists than before. Also, depending on the resist and developer,
By providing a means capable of performing both heating and cooling as well as the means for heating, the film thickness can be controlled more widely. Further, the control of the development process can be arbitrarily controlled by changing the mixing ratio of the developer and pure water,
There is no need to connect a plurality of tanks or piping systems of developer solutions having different concentrations, and the cost can be reduced in terms of material management. Further, since pure water is added to the developer, the amount of the developer used can be reduced. Therefore, by preparing a high-concentration developer in advance and suppressing the discharge amount of the developer, the consumption of the developer itself can be significantly reduced,
Reduce costs.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 この発明の実施の形態に用い段差のある下地
を説明する図であり、(a)は断面図、(b)は平面図であ
る。
FIGS. 1A and 1B are diagrams illustrating a base having a step, which is used in an embodiment of the present invention, wherein FIG. 1A is a cross-sectional view and FIG.

【図2】 この発明の実施の形態1の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 2 is a diagram illustrating each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention, wherein the upper diagram is a cross-sectional view;
The lower diagram is a plan view.

【図3】 この発明の実施の形態2の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 3 is a diagram illustrating each step of a method for manufacturing a semiconductor device according to a second embodiment of the present invention, wherein the upper diagram is a cross-sectional view;
The lower diagram is a plan view.

【図4】 この発明の実施の形態3の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 4 is a diagram illustrating each step of a method for manufacturing a semiconductor device according to a third embodiment of the present invention, wherein the upper diagram is a cross-sectional view;
The lower diagram is a plan view.

【図5】 この発明の実施の形態4の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 5 is a diagram for explaining each step of a method for manufacturing a semiconductor device according to a fourth embodiment of the present invention, wherein the upper diagram is a cross-sectional view;
The lower diagram is a plan view.

【図6】 この発明の実施の形態5の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 6 is a diagram illustrating each step of a method for manufacturing a semiconductor device according to a fifth embodiment of the present invention, wherein the upper diagram is a cross-sectional view;
The lower diagram is a plan view.

【図7】 この発明の実施の形態5の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 7 is a diagram for explaining each step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention, wherein the upper diagram is a sectional view;
The lower diagram is a plan view.

【図8】 この発明の実施の形態6の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 8 is a diagram for explaining each step of the method for manufacturing a semiconductor device according to the sixth embodiment of the present invention.
The lower diagram is a plan view.

【図9】 この発明の実施の形態6の半導体装置の製造
方法の各工程を説明する図であり、上段の図は断面図、
下段の図は平面図である。
FIG. 9 is a diagram for explaining each step of the method for manufacturing a semiconductor device according to the sixth embodiment of the present invention.
The lower diagram is a plan view.

【図10】 下地の段差の側壁にサイドウオールを設け
る実施の形態を説明する図であり、上段の図は断面図
で、下段の図は平面図である。
FIG. 10 is a diagram illustrating an embodiment in which sidewalls are provided on side walls of a step of a base, wherein an upper diagram is a cross-sectional view and a lower diagram is a plan view.

【図11】 下地の段差の側壁とレジストパターンとの
間に隙間を設ける実施の形態を説明する図であり、上段
の図は断面図で、下段の図は平面図である。
FIG. 11 is a view for explaining an embodiment in which a gap is provided between the side wall of the step on the base and the resist pattern, wherein the upper figure is a cross-sectional view and the lower figure is a plan view.

【図12】 低濃度現像液のネガ型レジストに対するエ
ッチング量と時間との関係を示すグラフである。
FIG. 12 is a graph showing a relationship between an etching amount of a low-concentration developer with respect to a negative resist and time.

【図13】 低濃度現像液のネガ型レジストに対するエ
ッチングレートと現像液の濃度との関係を示すグラフで
ある。
FIG. 13 is a graph showing the relationship between the etching rate of a low-concentration developer for a negative resist and the concentration of the developer.

【図14】 高濃度現像液のポジ型レジストに対するエ
ッチング量と時間との関係を示すグラフである。
FIG. 14 is a graph showing a relationship between an etching amount of a high-concentration developer with respect to a positive resist and time.

【図15】 高濃度現像液のポジ型レジストに対するエ
ッチングレートと現像液の濃度との関係を示すグラフで
ある。
FIG. 15 is a graph showing the relationship between the etching rate of a high-concentration developer with respect to a positive resist and the concentration of the developer.

【図16】 この発明の実施の形態7の半導体装置の製
造方法の各工程を説明する図である。
FIG. 16 is a diagram illustrating each step of the method for manufacturing the semiconductor device according to the seventh embodiment of the present invention;

【図17】 この発明の実施の形態7の半導体装置の製
造方法の各工程を説明する図である。
FIG. 17 is a diagram illustrating each step of the method for manufacturing the semiconductor device according to the seventh embodiment of the present invention;

【図18】 この発明の実施の形態8の半導体装置の製
造方法の各工程を説明する図である。
FIG. 18 is a diagram illustrating each step of a method for manufacturing a semiconductor device according to an eighth embodiment of the present invention.

【図19】 上記実施の形態8の半導体装置の製造方法
の各工程を説明する図である。
FIG. 19 is a diagram illustrating each step of the method for manufacturing a semiconductor device according to the eighth embodiment.

【図20】 上記実施の形態8の半導体装置の断面図で
ある。
FIG. 20 is a sectional view of the semiconductor device of the eighth embodiment.

【図21】 図18(b)の状態を表す平面図である。FIG. 21 is a plan view illustrating the state of FIG.

【図22】 図18(c)の状態を表す平面図である。FIG. 22 is a plan view illustrating the state of FIG.

【図23】 この発明の実施の形態9の半導体装置の製
造方法の各工程を説明する図である。
FIG. 23 is a diagram illustrating each step of the method for manufacturing the semiconductor device according to the ninth embodiment of the present invention;

【図24】 上記実施の形態9の半導体装置の製造方法
の各工程を説明する図である。
FIG. 24 is a diagram illustrating each step of the method for manufacturing a semiconductor device according to the ninth embodiment.

【図25】 従来の半導体装置の製造方法の各工程を説
明する図である。
FIG. 25 is a diagram illustrating each step of a conventional method for manufacturing a semiconductor device.

【図26】 上記従来の半導体装置の製造方法の不具合
の発生を説明する図である。
FIG. 26 is a diagram illustrating the occurrence of a defect in the conventional method of manufacturing a semiconductor device.

【図27】 従来の半導体装置の製造方法の各工程を説
明する図である。
FIG. 27 is a diagram illustrating each step of a conventional method for manufacturing a semiconductor device.

【図28】 上記従来の半導体装置の製造方法を説明す
る図である。
FIG. 28 is a diagram illustrating a method for manufacturing the conventional semiconductor device.

【符号の説明】[Explanation of symbols]

101,1401,1501,1601 基板 105,1505,1604 ゲート電極 201,301,401,501,601,701 レ
ジスト 206,307,406,507,606,707 レ
ジストパターン 200,300,400,500,600,700,8
00,900 下地 1403,1408 配線
101, 1401, 1501, 1601 Substrate 105, 1505, 1604 Gate electrode 201, 301, 401, 501, 601, 701 Resist 206, 307, 406, 507, 606, 707 Resist pattern 200, 300, 400, 500, 600, 700,8
00,900 Underlayer 1403,1408 Wiring

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/336 H01L 21/88 D 29/78 301S 301P (72)発明者 森 重恭 大阪府大阪市阿倍野区長池町22番22号 シ ャープ株式会社内 (72)発明者 中野 雅行 大阪府大阪市阿倍野区長池町22番22号 シ ャープ株式会社内 (72)発明者 岩田 浩 大阪府大阪市阿倍野区長池町22番22号 シ ャープ株式会社内 (72)発明者 足立 浩一郎 大阪府大阪市阿倍野区長池町22番22号 シ ャープ株式会社内 Fターム(参考) 2H096 AA00 AA25 CA12 DA10 EA02 EA04 EA05 EA06 EA07 FA10 GA02 GA08 GA21 HA30 JA02 JA03 JA04 5F033 HH03 HH04 HH08 HH11 HH13 HH18 HH19 HH33 QQ01 QQ08 QQ11 RR04 SS11 WW04 XX03 XX15 5F040 DA10 DC01 EC01 EC07 EC13 EF01 EF10 EH02 EK05 FA05 FA07 FA10 FB07 FC19 5F046 AA17 JA21 JA22 LA12 LA14 LA18 ──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/336 H01L 21/88 D 29/78 301S 301P (72) Inventor Shigeyasu Mori Abeno, Osaka City, Osaka 22-22, Nagaike-cho, Ward Sharp Corporation (72) Inventor Masayuki Nakano 22-22, Nagaikecho, Abeno-ku, Osaka, Osaka Prefecture Inside Sharp Corporation (72) Hiroshi Iwata 22, Nagaikecho, Abeno-ku, Osaka, Osaka No. 22 Inside Sharp Corporation (72) Inventor Koichiro Adachi No. 22-22 Nagaikecho, Abeno-ku, Osaka-shi, Osaka F-term (reference) 2H096 AA00 AA25 CA12 DA10 EA02 EA04 EA05 EA06 EA07 FA10 GA02 GA08 GA21 HA30 JA02 JA03 JA04 5F033 HH03 HH04 HH08 HH11 HH13 HH18 HH19 HH33 QQ01 QQ08 QQ11 RR04 SS11 WW04 XX03 XX15 5F040 DA10 DC01 EC01 EC07 EC13 EF01 EF10 EH0 2 EK05 FA05 FA07 FA10 FB07 FC19 5F046 AA17 JA21 JA22 LA12 LA14 LA18

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】 段差のある下地にレジストを平坦に塗布
する工程と、 上記段差のある下地に塗布したレジストの膜厚を、その
レジストの塗布直後の膜厚よりも積極的に薄くする工程
と、 上記レジストを露光する工程と、 現像する工程とを備えて、レジストパターンを段差の下
部に形成することを特徴とする半導体装置の製造方法。
A step of flatly applying a resist to a stepped base; and a step of positively reducing the thickness of the resist applied to the stepped base below the thickness immediately after the application of the resist. A method for manufacturing a semiconductor device, comprising: a step of exposing the resist; and a step of developing, wherein a resist pattern is formed below the step.
【請求項2】 請求項1に記載の半導体装置の製造方法
において、上記レジストの膜厚を、そのレジストの塗布
直後の膜厚よりも積極的に薄くする工程は、上記レジス
トを下地に平坦に塗布する工程と、上記レジストを露光
する工程との間に行うことを特徴とする半導体装置の製
造方法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein the step of positively reducing the film thickness of the resist is smaller than the film thickness immediately after the application of the resist. A method of manufacturing a semiconductor device, wherein the method is performed between a step of applying and a step of exposing the resist.
【請求項3】 請求項1に記載の半導体装置の製造方法
において、上記レジストの膜厚を、そのレジストの塗布
直後の膜厚よりも積極的に薄くする工程は、上記レジス
トを露光する工程と、上記現像する工程との間に行うこ
とを特徴とする半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 1, wherein the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist includes the step of exposing the resist. And a step of developing the semiconductor device.
【請求項4】 請求項1に記載の半導体装置の製造方法
において、上記レジストの膜厚を、そのレジストの塗布
直後の膜厚よりも積極的に薄くする工程は、上記現像す
る工程後に行うことを特徴とする半導体装置の製造方
法。
4. The method of manufacturing a semiconductor device according to claim 1, wherein the step of positively reducing the thickness of the resist from the thickness immediately after the application of the resist is performed after the step of developing. A method for manufacturing a semiconductor device, comprising:
【請求項5】 請求項1乃至4のいずれか1つに記載の
半導体装置の製造方法において、上記レジストの膜厚を
薄くしたい領域を露光して現像して、上記領域のレジス
トの膜厚を薄くすることを特徴とする半導体装置の製造
方法。
5. The method of manufacturing a semiconductor device according to claim 1, wherein a region where the thickness of the resist is to be reduced is exposed and developed, and the thickness of the resist in the region is reduced. A method for manufacturing a semiconductor device, characterized by thinning.
【請求項6】 請求項1乃至4のいずれか1つに記載の
半導体装置の製造方法において、上記レジストの膜厚
を、そのレジストの塗布直後の膜厚よりも積極的に薄く
する工程は、ウェットエッチング工程であることを特徴
とする半導体装置の製造方法。
6. The method of manufacturing a semiconductor device according to claim 1, wherein the step of positively reducing the thickness of the resist is smaller than the thickness immediately after the application of the resist. A method for manufacturing a semiconductor device, comprising a wet etching step.
【請求項7】 請求項6に記載の半導体装置の製造方法
において、上記ウェットエッチングは、現像液を用いて
行なうことを特徴とする半導体装置の製造方法。
7. The method of manufacturing a semiconductor device according to claim 6, wherein the wet etching is performed using a developing solution.
【請求項8】 請求項7に記載の半導体装置の製造方法
において、上記現像液を用いたウェットエッチングの工
程において、被エッチングレジストが、ネガ型レジスト
の場合、濃度が標準値の2分の1以下のテトラメチルア
ンモニウムハイドロオキサイド現像液を使用し、また、
ポジ型レジストの場合、濃度が標準値の2倍以上のテト
ラメチルアンモニウムハイドロオキサイド現像液を使用
することを特徴とする半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 7, wherein in the step of wet etching using the developing solution, when the resist to be etched is a negative resist, the concentration is one half of a standard value. Using the following tetramethylammonium hydroxide developer,
In the case of a positive resist, a method for manufacturing a semiconductor device, comprising using a tetramethylammonium hydroxide developer having a concentration of at least twice a standard value.
【請求項9】 請求項1乃至4のいずれか1つに記載の
半導体装置の製造方法において、上記レジストの膜厚
を、そのレジストの塗布直後の膜厚よりも積極的に薄く
する工程は、化学的機械研磨工程、あるいは、ドライエ
ッチング工程であることを特徴とする半導体装置の製造
方法。
9. The method of manufacturing a semiconductor device according to claim 1, wherein the step of positively reducing the thickness of the resist is smaller than the thickness immediately after the application of the resist, A method for manufacturing a semiconductor device, which is a chemical mechanical polishing step or a dry etching step.
【請求項10】 請求項1に記載の半導体装置の製造方
法において、上記レジストを露光する工程は、実際に残
したい段差下部のレジストパターンよりも大きくて段差
の上部にまで至る領域を露光するオーバラップ露光をす
る工程を含むことを特徴とする半導体装置の製造方法。
10. The method of manufacturing a semiconductor device according to claim 1, wherein the step of exposing the resist is performed by exposing a region which is larger than the resist pattern below the step to be actually left and extends to the upper part of the step. A method for manufacturing a semiconductor device, comprising a step of performing lap exposure.
【請求項11】 請求項1乃至10のいずれか1つに記
載の半導体装置の製造方法を用いて製造され、且つ、積
み上げ拡散層型のMOSFETであることを特徴とする
トランジスタ。
11. A transistor manufactured using the method for manufacturing a semiconductor device according to claim 1, wherein the transistor is a stacked diffusion layer type MOSFET.
【請求項12】 請求項11に記載のトランジスタを備
えることを特徴とする携帯情報端末。
12. A portable information terminal comprising the transistor according to claim 11.
【請求項13】 レジストに溶剤を供給する手段を備え
てレジストの粘度を変化させることを特徴とするレジス
ト塗布装置。
13. A resist coating apparatus comprising means for supplying a solvent to a resist to change the viscosity of the resist.
【請求項14】 現像液の濃度を、現像液に純水を加え
て変化させる手段を備えることを特徴とするレジスト現
像装置。
14. A resist developing apparatus comprising means for changing the concentration of a developing solution by adding pure water to the developing solution.
JP2000288229A 2000-09-22 2000-09-22 Manufacturing method of semiconductor device Expired - Fee Related JP4392974B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000288229A JP4392974B2 (en) 2000-09-22 2000-09-22 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000288229A JP4392974B2 (en) 2000-09-22 2000-09-22 Manufacturing method of semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009070406A Division JP2009145907A (en) 2009-03-23 2009-03-23 Resist coating device

Publications (2)

Publication Number Publication Date
JP2002100553A true JP2002100553A (en) 2002-04-05
JP4392974B2 JP4392974B2 (en) 2010-01-06

Family

ID=18771851

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000288229A Expired - Fee Related JP4392974B2 (en) 2000-09-22 2000-09-22 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4392974B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100576835B1 (en) 2004-11-27 2006-05-10 삼성전자주식회사 Photo masks used during two times of photo processes and methods of using the same
US7556916B2 (en) 2002-10-04 2009-07-07 Renesas Technology Corp. Method for burying resist and method for manufacturing semiconductor device
JP2011124352A (en) * 2009-12-10 2011-06-23 Tokyo Electron Ltd Development processing method, program, and computer storage medium
JP2018523312A (en) * 2015-08-04 2018-08-16 日本テキサス・インスツルメンツ株式会社 Method for improving CMP scratch resistance for uneven surfaces
JP2020092274A (en) * 2015-04-10 2020-06-11 東京エレクトロン株式会社 Image inversion, induction self organization, and use of sub-resolution opening part for assisting selective deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7556916B2 (en) 2002-10-04 2009-07-07 Renesas Technology Corp. Method for burying resist and method for manufacturing semiconductor device
KR100576835B1 (en) 2004-11-27 2006-05-10 삼성전자주식회사 Photo masks used during two times of photo processes and methods of using the same
JP2011124352A (en) * 2009-12-10 2011-06-23 Tokyo Electron Ltd Development processing method, program, and computer storage medium
JP2020092274A (en) * 2015-04-10 2020-06-11 東京エレクトロン株式会社 Image inversion, induction self organization, and use of sub-resolution opening part for assisting selective deposition
JP2018523312A (en) * 2015-08-04 2018-08-16 日本テキサス・インスツルメンツ株式会社 Method for improving CMP scratch resistance for uneven surfaces

Also Published As

Publication number Publication date
JP4392974B2 (en) 2010-01-06

Similar Documents

Publication Publication Date Title
US10522461B2 (en) Semiconductor device structures
US8945822B2 (en) Resist pattern thickening material, method for forming resist pattern, semiconductor device and method for manufacturing the same
US6300235B1 (en) Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US10007177B2 (en) Method to define multiple layer patterns using double exposures
US6458655B1 (en) Method of manufacturing semiconductor device and flash memory
US9847226B2 (en) Manufacturing method of semiconductor device
JPH0425126A (en) Manufacture of semiconductor device
US20240186148A1 (en) Method of manufacturing a semiconductor device
US6900141B2 (en) Method of forming a resist pattern and fabricating tapered features
JP2002100553A (en) Manufacturing method of semiconductor device
US20020076656A1 (en) Thermal reflow photolithographic process
US20050186719A1 (en) Method for fabricating thin film transistors
JP2009145907A (en) Resist coating device
US7449766B2 (en) Methods of forming a contact opening in a semiconductor assembly using a disposable hard mask
US8084192B2 (en) Method for forming resist pattern
TW473817B (en) Method for eliminating development related defects in photoresist masks
Tsiamis et al. Comparison of conventional and maskless lithographic techniques for more than Moore post-processing of foundry CMOS chips
JP2007057709A (en) Resist composition, method for forming resist pattern, semiconductor device, and method for manufacturing the same
US20220301849A1 (en) Method for reducing charging of semiconductor wafers
US20020031896A1 (en) Method for fabricating semiconductor device having trench isolations
US8778602B2 (en) Single photoresist approach for high challenge photo process
KR20060136127A (en) Method of manufacturing a NAND flash memory device
KR20070000157A (en) Method of manufacturing a nand flash memory device
JPH0997770A (en) Semiconductor device and forming method of contact hole
JPH07106238A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091006

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091013

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121023

Year of fee payment: 3

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131023

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees