JP2002090998A - Radiation-sensitive composition, pattern forming method and method for producing semiconductor device - Google Patents

Radiation-sensitive composition, pattern forming method and method for producing semiconductor device

Info

Publication number
JP2002090998A
JP2002090998A JP2000286845A JP2000286845A JP2002090998A JP 2002090998 A JP2002090998 A JP 2002090998A JP 2000286845 A JP2000286845 A JP 2000286845A JP 2000286845 A JP2000286845 A JP 2000286845A JP 2002090998 A JP2002090998 A JP 2002090998A
Authority
JP
Japan
Prior art keywords
pattern
radiation
coating film
forming method
pattern forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000286845A
Other languages
Japanese (ja)
Inventor
Yoshiyuki Yokoyama
義之 横山
Koji Hattori
孝司 服部
Hiroshi Shiraishi
洋 白石
Kaori Kimura
香織 木村
Toshihiko Tanaka
稔彦 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Priority to JP2000286845A priority Critical patent/JP2002090998A/en
Publication of JP2002090998A publication Critical patent/JP2002090998A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a negative type radiation-sensitive composition developable with an aqueous alkali developing solution without swelling a fine pattern and excellent also in shelf stability and to provide a pattern forming method using the composition and a method for producing a semiconductor device using the composition. SOLUTION: The radiation-sensitive composition is obtained by incorporating water into a radiation sensitive composition containing at least a resin having a γ- or δ-hydroxycarboxylic acid structure and a compound which generates an acid when irradiated with active radiation.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置等の製
造プロセスにおける微細加工技術に用いられる感光性組
成物及びそれを用いたマイクロリソグラフィプロセス、
及びこのマイクロリソグラフィプロセスを含む半導体装
置等の製造方法に関する。更に詳しくは、現用の紫外光
源である高圧水銀ランプやKrFエキシマレ−ザ等より
短波長の線源であるArFエキシマレ−ザ光等の波長2
20nm以下の遠紫外線を用いた光リソグラフィプロセ
スに好適なネガ型の感放射線組成物及びパタン形成法方
及び半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a photosensitive composition used for a fine processing technique in a manufacturing process of a semiconductor device and the like, and a microlithography process using the same.
And a method for manufacturing a semiconductor device or the like including the microlithography process. More specifically, a wavelength 2 of ArF excimer laser light or the like which is a shorter wavelength light source than a high-pressure mercury lamp or KrF excimer laser which is a current ultraviolet light source.
The present invention relates to a negative-type radiation-sensitive composition suitable for a photolithography process using far ultraviolet rays of 20 nm or less, a pattern forming method, and a semiconductor device manufacturing method.

【0002】[0002]

【従来の技術】半導体等の電子装置中にミクロンあるい
はサブミクロン単位の微細パタンをつくり込むフォトリ
ソグラフィ技術は、量産微細加工技術の中核を担ってき
た。最近の半導体装置の高集積化、高密度化の要求は、
微細加工技術に多くの進歩をもたらした。特に最小加工
寸法が露光波長に迫るのに伴い、高圧水銀ランプのg線
(436nm)、i線(365nm)からKrFエキシ
マレーザ(248nm)と、より短波長の光源を用いた
フォトリソグラフィ技術が開発されてきた。これら露光
波長の変更に応じて、フォトレジストもそれぞれの波長
に対応した材料が開発されてきた。従来、これらの波長
に適したフォトレジストでは、各々感光剤あるいは感光
機構は異なるが、いずれもフェノール構造を有する樹脂
あるいは高分子材料の水性アルカリ可溶性を利用した水
性アルカリ現像が工業的に利用されてきた。これら樹脂
あるいは高分子材料は必然的に芳香環を多く含み、これ
はレジストパタン形成後のドライエッチング工程でのエ
ッチング耐性を高める化学構造要素でもあった。
2. Description of the Related Art A photolithography technique for forming a fine pattern on the order of microns or submicrons in an electronic device such as a semiconductor has played a central role in mass production fine processing technology. The recent demand for higher integration and higher density of semiconductor devices is
Many advances have been made in microfabrication technology. In particular, as the minimum processing size approaches the exposure wavelength, photolithography technology using a KrF excimer laser (248 nm) from g-line (436 nm) and i-line (365 nm) of a high-pressure mercury lamp and a shorter wavelength light source is developed. It has been. In response to these changes in the exposure wavelength, materials corresponding to the respective wavelengths of the photoresist have been developed. Conventionally, photoresists suitable for these wavelengths have different photosensitizers or photosensitive mechanisms, but aqueous alkali development utilizing the aqueous alkali solubility of a resin or a polymer material having a phenol structure has been industrially used. Was. These resins or polymer materials inevitably contain a large amount of aromatic rings, which was also a chemical structural element for improving the etching resistance in a dry etching step after the formation of a resist pattern.

【0003】このようなフェノール構造を有する樹脂を
用いたネガ型レジストとしては、特開昭62−1640
45のような架橋型のものと特開平4−165359の
ような溶解阻害型のものがある。いずれの場合も、膨潤
することなくサブミクロンの微細パタンの形成が可能で
ある。
A negative resist using such a resin having a phenol structure is disclosed in JP-A-62-1640.
45 and a dissolution inhibiting type as disclosed in JP-A-4-165359. In any case, a submicron fine pattern can be formed without swelling.

【0004】近年、最小加工寸法が0.25μmより更
に小さい領域のフォトリソグラフィとしてArFエキシ
マレーザ(193nm)を光源に用いたフォトリソグラ
フィへの期待が大きくなっている。しかし、この波長は
芳香環による吸収極大にあたり、フェノール構造を主成
分とする従来工業的に利用されてきたフォトレジスト材
料では、露光潜像が形成できるのはフォトレジスト膜の
極表面に限定され、水性アルカリ現像により微細なレジ
ストパタンを形成するのが困難であった。
In recent years, expectations have been growing for photolithography using an ArF excimer laser (193 nm) as a light source as a photolithography in a region where the minimum processing dimension is smaller than 0.25 μm. However, this wavelength is the absorption maximum due to the aromatic ring, and in the conventional industrially used photoresist material having a phenol structure as a main component, an exposure latent image can be formed only on the extreme surface of the photoresist film, It was difficult to form a fine resist pattern by aqueous alkali development.

【0005】これに対して、この波長領域で透過率が高
く、かつドライエッチング耐性も高い種々のレジスト材
料が提案されている。ArFエキシマレーザの波長19
3nmを含む遠紫外線領域で透明で、芳香環に代えてド
ライエッチング耐性をレジスト材料に付与できる化学構
造として、アダマンタン骨格の利用が特開平4−396
65、特開平5−265212に、同様にノルボルナン
骨格の利用が特開平5−80515、特開平5−257
284に開示されている。また、これらの構造に加え、
トリシクロデカニル基等、脂環族構造一般が有効である
ことは特開平7−28237、特開平8−259626
に開示されている。
On the other hand, various resist materials having high transmittance in this wavelength region and high dry etching resistance have been proposed. ArF excimer laser wavelength 19
The use of an adamantane skeleton as a chemical structure that is transparent in the far ultraviolet region including 3 nm and can impart dry etching resistance to a resist material instead of an aromatic ring is disclosed in Japanese Patent Laid-Open No. 4-396.
65, JP-A-5-265212, and JP-A-5-80515 and JP-A-5-257.
284. In addition to these structures,
It is disclosed in JP-A-7-28237 and JP-A-8-259626 that general alicyclic structures such as tricyclodecanyl groups are effective.
Is disclosed.

【0006】ArFエキシマレーザの波長193nmを
含む遠紫外線領域で透明な化学構造を持った高分子で、
水性アルカリ現像性可能にしたレジスト材料に関して
は、特開平4−39665、特開平4−184345、
特開平4−226461、特開平5−80515等で開
示されているように、アクリル酸あるいはメタクリル酸
のカルボン酸構造を利用することが試みられている。こ
れらでは、水性アルカリ現像で現像液に溶解する部分の
水性アルカリ可溶性を、アクリル酸あるいはメタクリル
酸のカルボン酸構造によっている。また、特開平8−2
59626には、メタクリル酸エステル側鎖に導入され
た脂環族構造にカルボン酸基を付与した高分子化合物が
開示されている。
A polymer having a transparent chemical structure in a far ultraviolet region including a wavelength of 193 nm of an ArF excimer laser,
With respect to the resist material which has been made aqueous alkali developable, JP-A-4-39665, JP-A-4-184345,
As disclosed in JP-A-4-226461 and JP-A-5-80515, attempts have been made to utilize the carboxylic acid structure of acrylic acid or methacrylic acid. In these, the aqueous alkali solubility of the portion dissolved in the developer in aqueous alkali development depends on the carboxylic acid structure of acrylic acid or methacrylic acid. Also, JP-A-8-2
59626 discloses a polymer compound in which a carboxylic acid group is added to an alicyclic structure introduced into a methacrylic acid ester side chain.

【0007】従来アルカリ可溶性基として用いられてき
たフェノール構造では、pKa=10.0(フェノー
ル)であるのに対して、これらカルボン酸構造では、p
Ka=4.8(酢酸)と値が低く酸性度が高い。したが
って、それらをベース樹脂のアルカリ可溶性基として用
いる場合、一般に同じモル分率では、カルボン酸構造を
有する樹脂の方が水性アルカリ中での溶解速度が大き
く、またフェノール構造を有する樹脂が溶けない低濃度
のアルカリ現像液中でも、カルボン酸構造を有する樹脂
は溶解する。
In a phenol structure conventionally used as an alkali-soluble group, pKa = 10.0 (phenol), whereas in these carboxylic acid structures, pKa is
The value is low at Ka = 4.8 (acetic acid) and the acidity is high. Therefore, when they are used as the alkali-soluble groups of the base resin, the resin having a carboxylic acid structure generally has a higher dissolution rate in an aqueous alkali at the same mole fraction, and has a lower dissolution rate than the resin having a phenol structure. The resin having a carboxylic acid structure dissolves even in an alkaline developer having a high concentration.

【0008】上記のようなカルボン酸を有する樹脂を用
いた場合、特開昭62−164045に見られるような
架橋剤を用いると、架橋した部分に酸性度が高いカルボ
ン酸が残存するために、そこにアルカリ現像液が浸潤
し、膨潤して微細パタンが形成できないという問題があ
った。また、特開平4−165359に見られる、露光
で発生した酸で溶解阻害作用のある化合物が形成される
ものを用いると、カルボン酸を有する樹脂では溶解のコ
ントラストがつかず、ネガ型レジストにならないという
問題があった。
When a resin having a carboxylic acid as described above is used, if a crosslinking agent as disclosed in JP-A-62-164045 is used, a carboxylic acid having a high acidity remains in a crosslinked portion. There was a problem that the alkali developing solution infiltrated there and swelled and a fine pattern could not be formed. In addition, when a compound having a dissolution inhibiting action is formed by an acid generated upon exposure as disclosed in JP-A-4-165359, a resin having a carboxylic acid does not provide a dissolution contrast and does not produce a negative resist. There was a problem.

【0009】これに対してカルボン酸構造を有する樹脂
を用いて、非膨潤でネガ型のパタン形成をする方法とし
ては、γ−またはδ−ヒドロキシカルボン酸構造が、酸
触媒反応によりγ−ラクトンまたはδ−ラクトン構造に
変わることを利用したものが特開平11−109627
に見られる。
On the other hand, as a method for forming a non-swelling negative pattern by using a resin having a carboxylic acid structure, a γ- or δ-hydroxycarboxylic acid structure is converted into γ-lactone or γ-lactone by an acid-catalyzed reaction. Japanese Patent Application Laid-Open No. H11-109627 discloses a technique utilizing the change to a δ-lactone structure.
Seen in

【0010】[0010]

【発明が解決しようとする課題】γ−ヒドロキシカルボ
ン酸構造あるいはδ−ヒドロキシカルボン酸構造では、
酸触媒により、分子内において効率良くエステル化し
て、5員環あるいは6員環構造のラクトンを形成する。
その結果、カルボン酸の数が大きく減少する。したがっ
て、反応が分子間で起き、しかもカルボン酸の数が露光
部と未露光部でほとんど変化しない架橋反応とは異な
り、露光されて不溶化する部分に現像液の浸透が起きに
くく、従来技術の問題点であった現像後のパタンの膨潤
がない。
In a γ-hydroxycarboxylic acid structure or a δ-hydroxycarboxylic acid structure,
Efficient esterification in the molecule by an acid catalyst to form a lactone having a 5- or 6-membered ring structure.
As a result, the number of carboxylic acids is greatly reduced. Therefore, unlike the cross-linking reaction in which the reaction occurs between molecules and the number of carboxylic acids hardly changes between the exposed and unexposed parts, the penetration of the developer hardly occurs in the exposed and insolubilized parts, which is a problem of the prior art. There is no swelling of the pattern after development which was a point.

【0011】しかしながら、γ−ヒドロキシ酸またはδ
−ヒドロキシ酸構造は、溶液にした場合、分子内エステ
ル化が徐々に進行して、γ−ラクトンまたはδ−ラクト
ン構造に変化してしまうため、保存安定性が低いという
問題があった。
However, γ-hydroxy acids or δ
The -hydroxy acid structure has a problem that storage stability is low because, in a solution, intramolecular esterification gradually progresses and changes into a γ-lactone or δ-lactone structure.

【0012】本発明の第1の目的は、水性アルカリ現像
液で微細パタンが膨潤することなく現像でき、かつ保存
安定性にも優れたネガ型の感放射線組成物を提供するこ
とにある。第2の目的は、そのような感放射線組成物を
用いたネガ型のパタン形成方法を提供することである。
第3の目的は、そのようなパタン形成方法を用いた半導
体装置の製造方法を提供することである。
A first object of the present invention is to provide a negative radiation-sensitive composition which can be developed with an aqueous alkaline developer without swelling of a fine pattern and has excellent storage stability. A second object is to provide a negative pattern forming method using such a radiation-sensitive composition.
A third object is to provide a method for manufacturing a semiconductor device using such a pattern forming method.

【0013】[0013]

【課題を解決するための手段】上記第1の目的を達成す
るために、本発明の感光性組成物は、γ−ヒドロキシカ
ルボン酸構造またはδ−ヒドロキシカルボン酸構造を有
する樹脂と、活性放射線の照射により酸を発生する化合
物を少なくとも含む感放射線組成物が、更に、水を含有
するようにしたものである。
In order to achieve the first object, the photosensitive composition of the present invention comprises a resin having a γ-hydroxycarboxylic acid structure or a δ-hydroxycarboxylic acid structure, and an actinic radiation. The radiation-sensitive composition containing at least a compound capable of generating an acid upon irradiation further contains water.

【0014】γ−ヒドロキシカルボン酸構造あるいはδ
−ヒドロキシカルボン酸構造は、活性放射線の照射によ
り酸を発生する化合物から出た酸を触媒として分子内エ
ステル化反応を起こし、5員環あるいは6員環のラクト
ンを生成する。同時に生成した水は、加熱により系外へ
揮発する。この反応は、分子内エステル化反応であり、
エステル化が容易に進行し、カルボン酸の数が大きく減
少する。したがって、反応が分子間で起きて、しかもカ
ルボン酸の量が露光部と未露光部でほとんど変化しない
架橋反応とは異なり、露光されて不溶化する部分に現像
液の浸透が起きにくい。その結果、従来技術の問題点で
あった現像後のパタンの膨潤がない感光性組成物を提供
できる。
Γ-hydroxycarboxylic acid structure or δ
The hydroxycarboxylic acid structure causes an intramolecular esterification reaction using an acid generated from a compound which generates an acid upon irradiation with actinic radiation as a catalyst to generate a 5- or 6-membered lactone. The water generated at the same time volatilizes out of the system by heating. This reaction is an intramolecular esterification reaction,
Esterification proceeds easily and the number of carboxylic acids is greatly reduced. Therefore, unlike the cross-linking reaction in which the reaction occurs between the molecules and the amount of the carboxylic acid hardly changes between the exposed part and the unexposed part, the developer hardly penetrates into the exposed and insoluble part. As a result, it is possible to provide a photosensitive composition having no pattern swelling after development, which is a problem of the prior art.

【0015】γ−ヒドロキシ酸またはδ−ヒドロキシ酸
構造は、溶液にした場合、徐々に分子内エステル化反応
を起こし、γ−ラクトンまたはδ−ラクトン構造を生成
し、さらに水を副生する。この溶液中での分子内エステ
ル化反応は、γ−ヒドロキシ酸またはδ−ヒドロキシ酸
と、γ−ラクトンまたはδ−ラクトン構造、及び水との
化学平衡状態に達するまで進行する。そのため、調整し
てしばらく保存した溶液では、γ−ヒドロキシ酸または
δ−ヒドロキシ酸が、溶液の調整時よりも減少する。そ
の結果、塗膜の水性アルカリ現像液に対する溶解性が充
分得られなかったり、現像後のパタン間に残渣が残るな
どして、結果として解像度が低下するという問題があっ
た。
When a γ-hydroxy acid or δ-hydroxy acid structure is made into a solution, it gradually causes an intramolecular esterification reaction to generate a γ-lactone or δ-lactone structure, and further produces water as a by-product. The intramolecular esterification reaction in this solution proceeds until a chemical equilibrium between the γ-hydroxy acid or δ-hydroxy acid, the γ-lactone or δ-lactone structure, and water is reached. Therefore, in the solution that has been adjusted and stored for a while, the amount of γ-hydroxy acid or δ-hydroxy acid is reduced as compared to when the solution was adjusted. As a result, there has been a problem that the solubility of the coating film in the aqueous alkaline developer cannot be sufficiently obtained, or a residue remains between patterns after development, and as a result, the resolution is reduced.

【0016】この分子内エステル化反応によるヒドロキ
シ酸構造の減少とラクトン及び水の生成は、化学平衡の
関係にある。本発明では、溶液の調整時に水を多量に含
有させることによって、化学平衡状態を、ヒドロキシ酸
構造側に近づけることが可能である。その結果、溶液中
でのγ−ヒドロキシ酸またはδ−ヒドロキシ酸の分子内
エステル化を抑制することが可能となり、保存安定性に
優れた感光性組成物を提供できる。
The reduction of the hydroxy acid structure by this intramolecular esterification reaction and the production of lactone and water are in a chemical equilibrium relationship. In the present invention, it is possible to bring the chemical equilibrium state closer to the hydroxy acid structure side by adding a large amount of water when preparing the solution. As a result, intramolecular esterification of a γ-hydroxy acid or a δ-hydroxy acid in a solution can be suppressed, and a photosensitive composition having excellent storage stability can be provided.

【0017】また、水を含有させることによって、溶液
の極性を高くすることができる。その結果、溶液中のヒ
ドロキシ酸構造を安定化することができ、保存安定性の
改善が可能となる。
Further, by adding water, the polarity of the solution can be increased. As a result, the hydroxy acid structure in the solution can be stabilized, and storage stability can be improved.

【0018】本発明の感放射線組成物で用いられる水
は、上記樹脂100重量部に対して、5重量部から50
0重量部含有することが望ましい。より望ましくは、5
0重量部から200重量部含有することが望ましい。5
重量部に満たないと、充分な保存安定性の改善が見られ
ない場合があり、また、500重量部を超えると、上記
樹脂を溶媒に溶解させることができくなる場合がある。
The water used in the radiation-sensitive composition of the present invention is 5 to 50 parts by weight based on 100 parts by weight of the resin.
It is desirable to contain 0 parts by weight. More preferably, 5
Desirably, the content is 0 to 200 parts by weight. 5
If the amount is less than 10 parts by weight, sufficient storage stability may not be improved, and if it exceeds 500 parts by weight, the resin may not be dissolved in a solvent.

【0019】本発明の感光性組成物は、溶媒に溶かして
溶液として基板に回転塗布して用いられる。この際、上
記の構成成分が十分に溶解し、かつ回転塗布で均一な塗
布膜が形成可能な溶媒であればいかなる溶媒でも良い
が、溶液中の極性が高いほどヒドロキシ酸構造を安定化
できるため、より極性の高い溶媒を用いることが望まし
い。また単独でも2種類以上を混合して用いても良い。
The photosensitive composition of the present invention is used by dissolving it in a solvent and spin-coating it as a solution on a substrate. At this time, any solvent may be used as long as the above components are sufficiently dissolved and a solvent capable of forming a uniform coating film by spin coating, but the higher the polarity in the solution, the more stable the hydroxy acid structure. It is desirable to use a more polar solvent. Moreover, you may use individually or in mixture of 2 or more types.

【0020】本発明の感光性組成物で用いられる、上記
のγ−ヒドロキシカルボン酸構造またはδ−ヒドロキシ
カルボン酸構造は、下記式(1)または(2)で示され
る化学構造であることが望ましい。
The γ-hydroxycarboxylic acid structure or δ-hydroxycarboxylic acid structure used in the photosensitive composition of the present invention is preferably a chemical structure represented by the following formula (1) or (2). .

【0021】[0021]

【化1】 Embedded image

【0022】[0022]

【化2】 Embedded image

【0023】式中R1、R2、R3、R4、R5、R6
7、R8は、水素または炭素数1から10のアルキル基
を表し、それらのアルキル基は互いにつながって環状ア
ルキル基を形成していても良い。ここで特にR1とR3
またはR3とR5、またはR5とR7のいずれかが環状アル
キル基を形成している場合は、構造的に、γ−ラクトン
またはδ−ラクトンの5員環または6員環を形成しやす
いので、より望ましい。
Wherein R 1 , R 2 , R 3 , R 4 , R 5 , R 6 ,
R 7 and R 8 represent hydrogen or an alkyl group having 1 to 10 carbon atoms, and these alkyl groups may be connected to each other to form a cyclic alkyl group. Where R 1 and R 3 ,
Or when R 3 and R 5 , or R 5 and R 7 , form a cyclic alkyl group, structurally form a 5- or 6-membered ring of γ-lactone or δ-lactone. It is more desirable because it is easy.

【0024】上記式(1)または(2)で示されるカル
ボン酸構造は、感光性組成物を構成する膜形成成分に含
まれることが望ましい。膜形成成分としては、一般的に
は重量平均分子量1、000〜300、000程度の高
分子化合物が挙げられるが、高分子化合物でなくても、
溶媒によって塗布が可能であれば良く、膜の形成が可能
なオリゴマ−や低分子化合物であっても良い。なお上記
カルボン酸構造が膜形成成分に含まれる数は、その膜形
成成分が用いる現像液に可溶になる量以上であれば良
い。
The carboxylic acid structure represented by the above formula (1) or (2) is desirably contained in a film forming component constituting the photosensitive composition. The film-forming component generally includes a high molecular compound having a weight average molecular weight of about 1,000 to 300,000.
An oligomer or a low molecular compound capable of forming a film may be used as long as it can be applied with a solvent. The number of the carboxylic acid structure contained in the film-forming component may be at least the amount at which the film-forming component becomes soluble in the developer used.

【0025】ここで式(1)または(2)で表されるカ
ルボン酸構造が高分子化合物に含まれて、しかも主鎖に
直接含まれる場合は、立体的に式(1)または(2)中
のカルボン酸部分とヒドロキシ基の部分が遠くなる場合
があり、ラクトン化の反応が起こりにくい場合がある。
これに対して式(1)または(2)で表されるカルボン
酸構造が、側鎖に含まれた場合は、立体的にそのカルボ
ン酸部分とヒドロキシル基の部分が遠くなりにくいの
で、ラクトン化が容易に起こりやすく高感度でパタン形
成ができるので、より望ましい。
Here, when the carboxylic acid structure represented by the formula (1) or (2) is contained in the polymer compound and is directly contained in the main chain, it is sterically represented by the formula (1) or (2). In some cases, the carboxylic acid portion and the hydroxy group portion may be far from each other, and the lactonization reaction may not easily occur.
On the other hand, when the carboxylic acid structure represented by the formula (1) or (2) is contained in the side chain, the carboxylic acid portion and the hydroxyl group portion are hardly distant from each other in a steric manner. This is more desirable because it easily occurs and a pattern can be formed with high sensitivity.

【0026】上記の膜形成成分、あるいはそれを含む感
光性組成物は、さらに脂環式構造を含むことが望まし
い。脂環族構造としては、アダマンチル、ノルボルナ
ン、トリシクロデカンやアンドロスタンの構造があげら
れる。これらの構造は、ドライエッチング耐性が高く、
遠紫外光、特にArFエキシマレーザ光の波長領域で透
明であることから、そのような構造含有させることによ
り、透過率を低減させることなく、ドライエッチング耐
性を向上できる。
The above-mentioned film-forming component or the photosensitive composition containing the same preferably further contains an alicyclic structure. Examples of the alicyclic structure include adamantyl, norbornane, tricyclodecane and androstane structures. These structures have high dry etching resistance,
Since it is transparent in the wavelength region of far ultraviolet light, particularly ArF excimer laser light, by including such a structure, dry etching resistance can be improved without reducing transmittance.

【0027】ここで活性放射線の照射により酸を発生す
る化合物としては、トリフェニルスルホニウムトリフレ
ート、ジメチルフェニルスルホニウムトリフレート、ジ
メチル−4−ヒドロキシナフチルトリフレート等のオニ
ウム塩、N−トリフルオロメタンスルホニルオキシナフ
チルイミド、N−メタンスルホニルオキシナフチルイミ
ド、N−トリフルオロメタンスルホニルオキシスクシイ
ミド、N−パーフルオロオクタンスルホニルオキシスク
シイミド等のスルホニルオキシイミド、さらにはスルホ
ン酸エステル等が挙げられるが、活性放射線、例えばA
rFエキシマレーザ等の照射により酸を発生するもので
あれば良く、これらに限定されるものではない。またこ
れらの酸発生剤は、2種類以上を同時に用いても良い。
Examples of the compound capable of generating an acid upon irradiation with actinic radiation include onium salts such as triphenylsulfonium triflate, dimethylphenylsulfonium triflate and dimethyl-4-hydroxynaphthyl triflate, and N-trifluoromethanesulfonyloxynaphthyl. Imides, N-methanesulfonyloxynaphthylimide, N-trifluoromethanesulfonyloxysuccinimide, N-perfluorooctanesulfonyloxysuccinimide and other sulfonyloxyimides, and further include sulfonic acid esters; For example, A
It is sufficient that an acid is generated by irradiation with an rF excimer laser or the like, and the invention is not limited thereto. Further, two or more of these acid generators may be used simultaneously.

【0028】それらの酸発生剤は、上記樹脂100重量
部に対して、0.1重量部から50重量部用いるのが望
ましく、0.5重量部から20重量部の範囲で用いるの
がより望ましい。
The acid generator is preferably used in an amount of 0.1 to 50 parts by weight, more preferably 0.5 to 20 parts by weight, based on 100 parts by weight of the resin. .

【0029】また本発明の感光性組成物には、解像性向
上やプロセス安定性及び保存安定性向上のための、2−
ベンジルピリジン、フェニルピリジン、トリペンチルア
ミン、トリエタノールアミンなどの塩基性化合物や、ヨ
ウ化テトラメチルアンモニウム、塩化テトラペンチルア
ンモニウム、ヨウ化テトラエチルホスホニウムなどの塩
を添加しても良い。これら塩基性化合物や塩は、用いる
酸発生剤に対して、0.01重量部から100重量部を
添加することが望ましい。
Further, the photosensitive composition of the present invention contains 2-, for improving resolution, process stability and storage stability.
A basic compound such as benzylpyridine, phenylpyridine, tripentylamine, or triethanolamine, or a salt such as tetramethylammonium iodide, tetrapentylammonium chloride, or tetraethylphosphonium iodide may be added. It is desirable to add 0.01 to 100 parts by weight of these basic compounds and salts to the acid generator used.

【0030】また本発明の感光性組成物には、形成した
パタンの耐熱性を高めるために、架橋剤としてヘキサメ
トキシメチルメラミン、1、3、4、6−テトラキス
(メトキシメチル)グルコルウリル、1、4−ジオキサン
−2、3−ジオールなどを含有させることができる。こ
れらの架橋剤は、感光性組成物の膜形成成分100重量
部に対して、0.1重量部から50重量部用いるのが望
ましい。
The photosensitive composition of the present invention contains hexamethoxymethylmelamine, 1,3,4,6-tetrakis as a crosslinking agent in order to enhance the heat resistance of the formed pattern.
(Methoxymethyl) glucoururil, 1,4-dioxane-2,3-diol and the like can be contained. These crosslinking agents are desirably used in an amount of 0.1 to 50 parts by weight based on 100 parts by weight of the film forming component of the photosensitive composition.

【0031】上記第2の目的を達成するために、本発明
のパタン形成法方は、上記記載のいずれかの感放射線組
成物からなる塗膜を、所定の基板上に形成する工程と、
その基板を加熱する工程、塗膜に所定のパタンの活性放
射線を照射する工程、活性放射線の照射後に基板を加熱
する工程、基板の加熱後に塗膜をアルカリ水溶液にさら
して、活性放射線の未照射部を除去する工程を含むよう
にしたものである。
In order to achieve the second object, the pattern forming method of the present invention comprises a step of forming a coating film comprising any one of the above-described radiation-sensitive compositions on a predetermined substrate;
Heating the substrate, irradiating the coating film with a predetermined pattern of actinic radiation, heating the substrate after irradiation with the actinic radiation, exposing the coating film to an aqueous alkali solution after heating the substrate, and not irradiating the coating with actinic radiation. The method includes a step of removing a part.

【0032】γ−またはδ−ヒドロキシカルボン酸構造
は、γ−ラクトンまたはδ−ラクトン構造に、酸触媒反
応により変化することにより、水性アルカリ現像液に可
溶であったものが、不溶になる。その結果、水性アルカ
リによる現像でネガ型のパタンが形成される。生成した
γ−ラクトンまたはδ−ラクトン構造は、通常用いられ
ているテトラアルキルアンモニウムヒドロキシド水溶液
では加水分解されず、現像中も安定である。なお酸触媒
反応を起こすための酸は、活性放射線の照射により酸を
発生する酸発生剤を用いることにより実現される。
The γ- or δ-hydroxycarboxylic acid structure is changed to a γ-lactone or δ-lactone structure by an acid-catalyzed reaction, so that those soluble in an aqueous alkali developer become insoluble. As a result, a negative pattern is formed by development with an aqueous alkali. The generated γ-lactone or δ-lactone structure is not hydrolyzed by a commonly used aqueous solution of tetraalkylammonium hydroxide and is stable during development. The acid for causing the acid-catalyzed reaction is realized by using an acid generator that generates an acid upon irradiation with actinic radiation.

【0033】本発明のパタン形成方法では、上記記載の
感放射線組成物からなる塗膜を所定の基板上に形成する
工程の後、塗膜に所定のパタンの活性放射線を照射する
工程の前に、塗膜を加熱し、塗膜中の水の含有量を減少
させる工程を含むことが望ましい。この塗膜を加熱する
工程によって塗膜中の水が揮発し、水の含有量が減少す
ることによって、γ−ヒドロキシ酸またはδ−ヒドロキ
シ酸から、γ−ラクトンまたはδ−ラクトン構造、及び
水が生成する分子内エステル化反応がより効率的に進行
する。
In the pattern forming method of the present invention, after the step of forming a coating film composed of the above-described radiation-sensitive composition on a predetermined substrate, before the step of irradiating the coating film with actinic radiation of a predetermined pattern, Preferably, the method further includes a step of heating the coating film to reduce the content of water in the coating film. The water in the coating film is volatilized by the step of heating the coating film, and the content of water is reduced, so that the γ-lactone or δ-lactone structure and water are formed from the γ-hydroxy acid or δ-hydroxy acid. The resulting intramolecular esterification reaction proceeds more efficiently.

【0034】本発明に用いる活性放射線は波長250n
m以下の遠紫外光、ArFエキシマレーザ光のような真
空紫外光が挙げられる。なお電子線、EUV、エックス
線等も用いることが出来る。
The actinic radiation used in the present invention has a wavelength of 250 n.
m or less, and vacuum ultraviolet light such as ArF excimer laser light. Note that an electron beam, EUV, X-ray, or the like can also be used.

【0035】本発明で所定のパタンの活性放射線を照射
する際は、通常ArFエキシマレーザ光のような真空紫
外光をマスクやレチクルを介して所定のパタン状にす
る。この際、変形照明法や位相シフトマスクに代表され
る超解像技術を用いた場合に、より高解像性のパタンが
得られるので望ましい。
In the present invention, when irradiating a predetermined pattern of actinic radiation, vacuum ultraviolet light such as ArF excimer laser light is usually formed into a predetermined pattern through a mask or a reticle. At this time, it is desirable to use a super-resolution technique typified by a modified illumination method or a phase shift mask because a pattern with higher resolution can be obtained.

【0036】本発明で用いる水性アルカリ現像液は、炭
素数1から5のテトラアルキルアンモニウムヒドロキシ
ド水溶液であることが望ましい。またi線及びg線レジ
スト、KrFエキシマレーザレジストの現像に使われて
きた汎用の2.38%のテトラメチルアンモニウムヒド
ロキシド水溶液、及びそれを適当に希釈したものが適し
ている。
The aqueous alkaline developer used in the present invention is preferably an aqueous solution of a tetraalkylammonium hydroxide having 1 to 5 carbon atoms. Also suitable are general-purpose 2.38% tetramethylammonium hydroxide aqueous solutions used in the development of i-line and g-line resists and KrF excimer laser resists, and those appropriately diluted.

【0037】本発明のパタン形成方法では、活性放射線
を照射する工程の後、水性アルカリ現像液を用いて現像
する工程の前に、塗膜を加熱する工程を含むことが望ま
しい。この塗膜を加熱する工程により、ラクトンが生成
する分子内エステル化反応がより効率的に進行する。
The pattern forming method of the present invention desirably includes a step of heating the coating film after the step of irradiating with actinic radiation and before the step of developing with an aqueous alkaline developer. By the step of heating the coating film, the intramolecular esterification reaction for producing lactone proceeds more efficiently.

【0038】上記第3の目的を達成するために、本発明
の半導体装置の製造方法は、半導体基板上に上記記載の
いずれかのパタン形成方法によりレジストパタンを形成
し、それをもとに、基板をエッチング加工する工程か、
もしくは基板にイオンを打ち込む工程を含むようにした
ものである。
In order to achieve the third object, a method of manufacturing a semiconductor device according to the present invention comprises forming a resist pattern on a semiconductor substrate by any one of the pattern forming methods described above, and The process of etching the substrate,
Alternatively, the method includes a step of implanting ions into the substrate.

【0039】本発明の半導体の製造方法で用いられるエ
ッチング加工法としては、プラズマエッチング、反応性
イオンエッチング、反応性イオンエッチング、反応性イ
オンビームエッチング等のドライエッチング法や、ウエ
ットエッチング法が挙げられる。
Examples of the etching method used in the semiconductor manufacturing method of the present invention include dry etching methods such as plasma etching, reactive ion etching, reactive ion etching, and reactive ion beam etching, and wet etching methods. .

【0040】本発明の半導体装置の製造方法において加
工される基板としては、CVD法や熱酸化法で形成され
た二酸化珪素膜、塗布性ガラス膜などの酸化膜、あるい
は窒化珪素膜等の窒化膜が挙げられる。またアルミニウ
ムやその合金、タングステンなどの各種金属膜、多結晶
シリコン等が挙げられる。
The substrate to be processed in the method for manufacturing a semiconductor device of the present invention is an oxide film such as a silicon dioxide film or a coatable glass film formed by a CVD method or a thermal oxidation method, or a nitride film such as a silicon nitride film. Is mentioned. In addition, various metal films such as aluminum and its alloys, tungsten, and polycrystalline silicon can be used.

【0041】本発明の半導体装置の製造方法で作られる
素子、特にメモリ素子は、微細なパタン形成が可能であ
ることから、その集積度を上げることができる。したが
って、素子を小さく作ることができるため、1枚のウエ
ハから取れる素子の数が増えて、歩留まりが向上する。
またビットコストの低減が可能である。したがって、不
揮発性半導体記憶装置であるフラッシュメモリや、DRAM
(ダイナミック・ランダム・アクセス・メモリー)の製造
に適している。
An element, particularly a memory element, manufactured by the method for manufacturing a semiconductor device of the present invention can form a fine pattern, so that the degree of integration can be increased. Therefore, since the elements can be made small, the number of elements that can be obtained from one wafer increases, and the yield improves.
Also, the bit cost can be reduced. Therefore, a flash memory, which is a nonvolatile semiconductor memory device, or a DRAM
(Dynamic random access memory).

【0042】[0042]

【発明の実施の形態】以下、実施例により本発明をさら
に詳細に説明するが、本発明はこれらの実施例にのみ限
定されるものではない。まず実施例に先立ち、本発明で
用いた材料の合成例を示す。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described in more detail by way of examples, but the present invention is not limited to these examples. First, prior to the examples, synthetic examples of the materials used in the present invention will be described.

【0043】〈合成例1〉γ−ヒドロキシ酸構造を有す
るポリマー(3b)の合成 温度計、冷却管、窒素導入管をつけた500ml3つ口
フラスコに、5−メチレンビシクロ[2.2.1]ヘプト
−2−エン26.4g、シトラコン酸無水物25.2
g、2、2’−アゾビス(イソブチロニトリル)4.1
g、テトラヒドロフラン300gを入れ、窒素を導入し
ながら70℃で加熱環流して、8時間重合を行った。重
合後、n−ヘキサン1000mlへ溶液を注ぎ、ポリマ
ーを析出させ乾燥して5−メチレンビシクロ[2.2.
1]ヘプト−2−エン−シトラコン酸無水物共重合体
(3a)19.4gを得た(収率38%)。得られたポ
リマーの構造は、種々の分析法から下記の構造が主であ
ることがわかった。
<Synthesis Example 1> Synthesis of polymer (3b) having γ-hydroxy acid structure In a 500 ml three-necked flask equipped with a thermometer, a cooling tube and a nitrogen inlet tube, 5-methylenebicyclo [2.2.1] was added. Hept-2-ene 26.4 g, citraconic anhydride 25.2
g, 2,2'-Azobis (isobutyronitrile) 4.1
g and 300 g of tetrahydrofuran, and the mixture was refluxed at 70 ° C. while introducing nitrogen to carry out polymerization for 8 hours. After the polymerization, the solution was poured into 1000 ml of n-hexane, and the polymer was precipitated and dried, followed by drying with 5-methylenebicyclo [2.2.
1] 19.4 g of hept-2-ene-citraconic anhydride copolymer (3a) was obtained (yield 38%). The following structures were found to be the main structures of the obtained polymer from various analytical methods.

【0044】[0044]

【化3】 Embedded image

【0045】式中、nは整数を表す。In the formula, n represents an integer.

【0046】またゲルパーミエーションクロマトグラフ
ィー(GPC)によりテトラヒドロフラン中で、このポ
リマーのポリスチレン換算の分子量を調べたところ、重
量平均分子量が2、200、数平均分子量が1、600
であった。
Further, when the molecular weight of this polymer in tetrahydrofuran was determined by gel permeation chromatography (GPC), the weight average molecular weight was 2,200, and the number average molecular weight was 1,600.
Met.

【0047】500ml3つ口フラスコに水素化ホウ素
ナトリウム3.0gとテトラヒドロフラン100gを入
れ、窒素下でアイスバスで0℃に冷却して攪拌しなが
ら、上記のように合成した5−メチレンビシクロ[2.
2.1]ヘプト−2−エン−シトラコン酸無水物共重合
体17.4gをテトラヒドロフラン120gに溶解した
ものを約1時間かけて滴下した。滴下後、数時間攪拌し
た後、一晩放置した。
In a 500 ml three-necked flask were placed 3.0 g of sodium borohydride and 100 g of tetrahydrofuran, and the mixture was cooled to 0 ° C. in an ice bath under nitrogen and stirred, and 5-methylenebicyclo [2.
2.1] A solution of 17.4 g of hept-2-ene-citraconic anhydride copolymer in 120 g of tetrahydrofuran was added dropwise over about 1 hour. After the dropwise addition, the mixture was stirred for several hours and left overnight.

【0048】溶液を約300mlの水に注ぎ攪拌した
後、それに約1N塩酸水溶液を徐々に加えて、pH4程
度の弱酸性にした。この溶液に酢酸エチル約200ml
を加えて抽出を2回行い、得られた有機層を150ml
の水で3回洗浄した。洗浄後、有機層を無水硫酸ナトリ
ウムで乾燥し、乾燥後、溶媒を減圧留去して減らして、
n−ヘキサン800mlへ注ぎ、沈殿したポリマーを乾
燥して白色粉末状のポリマー13.8gを得た。
After the solution was poured into about 300 ml of water and stirred, about 1N aqueous hydrochloric acid was gradually added to the solution to make it weakly acidic at about pH 4. About 200 ml of ethyl acetate
Was added and extraction was performed twice, and the obtained organic layer was added to 150 ml.
Of water three times. After washing, the organic layer was dried over anhydrous sodium sulfate, and after drying, the solvent was removed by distillation under reduced pressure.
The precipitate was poured into 800 ml of n-hexane, and the precipitated polymer was dried to obtain 13.8 g of a white powdery polymer.

【0049】得られたポリマーの構造は、種々の分析法
から(3a)の無水物の部分が還元されてラクトン化し
た構造及びそれがさらに開環したγ−ヒドロキシ酸構造
を少なくとも有するポリマー(3b)であることがわか
った。
According to various analytical methods, the structure of the obtained polymer was determined to be a structure in which the anhydride portion of (3a) was reduced to a lactone and a polymer (3b) having at least a ring-opened γ-hydroxy acid structure. ).

【0050】[0050]

【化4】 Embedded image

【0051】またはOr

【0052】[0052]

【化5】 Embedded image

【0053】式中、l及びmは整数を表す。In the formula, l and m represent integers.

【0054】〈合成例2〉δ−ヒドロキシ酸構造を有す
るポリマー(4d)の合成 アンドロステロン5.0g、ピリジン1.5gをテトラ
ヒドロフラン200mlに溶解し、そこにアクリル酸ク
ロリド1.6gをテトラヒドロフラン30mlに溶解し
た溶液を0℃で滴下した。滴下後、更に室温で数時間攪
拌後、沈殿しているピリジンの塩酸塩を濾別した。濾液
に酢酸エチル150mlを加え、水100mlで4回水
洗した。水洗後、有機層を無水硫酸ナトリウムで乾燥し
たのち、減圧下に溶媒を取り除くと、残分は結晶化し
た。これをエタノール/テトラヒドロフラン混合溶媒か
ら再結晶を行い、白色の化合物(4a)を得た。
<Synthesis Example 2> Synthesis of polymer (4d) having δ-hydroxy acid structure 5.0 g of androsterone and 1.5 g of pyridine were dissolved in 200 ml of tetrahydrofuran, and 1.6 g of acrylic acid chloride was added to 30 ml of tetrahydrofuran. The dissolved solution was added dropwise at 0 ° C. After the dropwise addition, the mixture was further stirred at room temperature for several hours, and the precipitated hydrochloride of pyridine was separated by filtration. 150 ml of ethyl acetate was added to the filtrate, and the mixture was washed four times with 100 ml of water. After washing with water, the organic layer was dried over anhydrous sodium sulfate, and the solvent was removed under reduced pressure. The residue crystallized. This was recrystallized from a mixed solvent of ethanol / tetrahydrofuran to obtain a white compound (4a).

【0055】[0055]

【化6】 Embedded image

【0056】得られた上記のモノマー(4a)4.0g
をTHF40mlに溶解し、反応開始剤として2、2’
−アゾビス(イソブチロニトリル)0.19gを加え、
70℃で過熱還流して、6時間重合を行った。重合後、
n−ヘキサン500mlに溶液を注ぎ、ポリマーを析出
させ乾燥して、モノマー(4a)の重合体(4b)を得
た。
4.0 g of the obtained monomer (4a)
Was dissolved in 40 ml of THF, and 2, 2 ′ was used as a reaction initiator.
0.19 g of azobis (isobutyronitrile) was added,
The mixture was refluxed by heating at 70 ° C. and polymerized for 6 hours. After polymerization,
The solution was poured into 500 ml of n-hexane to precipitate a polymer and dried to obtain a polymer (4b) of the monomer (4a).

【0057】[0057]

【化7】 Embedded image

【0058】式中、nは整数を表す。In the formula, n represents an integer.

【0059】ゲルパーミエーションクロマトグラフィー
(GPC)によりテトラヒドロフラン中で、このポリマ
ーのポリスチレン換算の分子量を調べたところ、重量平
均分子量が2、800、数平均分子量が2、300であ
った。
When the molecular weight of this polymer in terms of polystyrene was examined in tetrahydrofuran by gel permeation chromatography (GPC), the weight average molecular weight was 2,800 and the number average molecular weight was 2,300.

【0060】上記のように合成したポリマー(4b)
3.0gをテトラヒドロフラン100mlに溶解し、そ
こに酢酸100mlと過酸化水素水50mlを加え、5
0℃で数時間撹拌した。反応後、溶媒を減圧留去して減
らし、500mlの水の中に注いだ。沈殿物を濾別、乾
燥して、δ−ラクトン構造を有する重合体(4c)を得
た。
The polymer (4b) synthesized as described above
3.0 g was dissolved in 100 ml of tetrahydrofuran, and 100 ml of acetic acid and 50 ml of hydrogen peroxide were added thereto.
Stirred at 0 ° C. for several hours. After the reaction, the solvent was removed by distillation under reduced pressure, and the mixture was poured into 500 ml of water. The precipitate was separated by filtration and dried to obtain a polymer (4c) having a δ-lactone structure.

【0061】[0061]

【化8】 Embedded image

【0062】式中、nは整数を表す。In the formula, n represents an integer.

【0063】上記のように合成したポリマー(4c)
2.5gをテトラヒドロフラン100mlに溶解し、
0.2N水酸化ナトリウム水溶液150mlを加え、1
時間撹拌した。それに塩酸水溶液を徐々に加えて弱酸性
にした。この溶液に酢酸エチル約150mlを加えて抽
出を2回行い、得られた有機層を100mlの水で2回
洗浄した。洗浄後、有機層を無水硫酸ナトリウムで乾燥
し、その後溶媒を減圧留去して減らし、n−ヘキサン3
00mlへ注ぎ、ポリマーを析出させ乾燥して白色粉末
状のポリマー(4d)2.3gを得た。得られたポリマ
ーの構造は、種々の分析法から下記の構造が主であるこ
とがわかった。
The polymer (4c) synthesized as described above
2.5 g is dissolved in 100 ml of tetrahydrofuran,
Add 150 ml of 0.2N sodium hydroxide aqueous solution and add 1
Stirred for hours. An aqueous solution of hydrochloric acid was gradually added thereto to make it weakly acidic. About 150 ml of ethyl acetate was added to this solution and extraction was performed twice, and the obtained organic layer was washed twice with 100 ml of water. After washing, the organic layer was dried over anhydrous sodium sulfate.
The mixture was poured into 00 ml, and the polymer was precipitated and dried to obtain 2.3 g of a white powdery polymer (4d). The following structures were found to be the main structures of the obtained polymer from various analytical methods.

【0064】[0064]

【化9】 Embedded image

【0065】式中、l及びmは整数を表す。In the formula, l and m represent integers.

【0066】〈実施例1〉合成例1で合成したγ−ヒド
ロキシ酸構造を有するポリマー(3b)100重量部、
酸発生剤トリフェニルスルホニウムノナフレート3重量
部、2−ベンジルピリジン0.01重量部をジアセトン
アルコール600重量部に溶解し、更に、水20重量部
を加えた。これを孔径0.20μmのテフロン(登録商
標)フィルターを用いて濾過し、レジスト溶液とした。
Example 1 100 parts by weight of the polymer (3b) having a γ-hydroxy acid structure synthesized in Synthesis Example 1,
3 parts by weight of the acid generator triphenylsulfonium nonaflate and 0.01 part by weight of 2-benzylpyridine were dissolved in 600 parts by weight of diacetone alcohol, and 20 parts by weight of water were further added. This was filtered using a Teflon (registered trademark) filter having a pore size of 0.20 μm to obtain a resist solution.

【0067】ヘキサメチルジシラザンで処理したシリコ
ン基板上に、上記のレジスト溶液を回転塗布し、塗布後
100℃で2分間加熱処理して、膜厚0.35μmのレ
ジスト膜を形成した。 ArFエキシマレーザステッパ
ー(ISI Microstep、NA=0.60)を
用いて、レベンソン型の位相シフトマスクを介してこの
レジスト膜の露光をおこなった。露光後100℃で2分
間露光後ベークを行った。23℃のテトラメチルアンモ
ニウムヒドロキシド水溶液(2.38重量%)にレジス
ト膜を浸漬したところ、膜の未露光部は9秒で溶解し
た。そこで現像は、その2倍の時間の18秒間行い、続
いて15秒間純水でリンスした。その結果、露光量30
mJ/cm2で、ネガ型の0.12μmラインアンドス
ペースパタンが得られた。この際、パタンの膨潤は見ら
れなかった。なお得られたパタンのついた基板を、テト
ラヒドロフランに浸漬したところ、パタンは瞬時に溶解
し、架橋が起きていないことがわかった。
On a silicon substrate treated with hexamethyldisilazane, the above-mentioned resist solution was spin-coated, followed by heating at 100 ° C. for 2 minutes to form a resist film having a thickness of 0.35 μm. This resist film was exposed through an Levenson-type phase shift mask using an ArF excimer laser stepper (ISI Microstep, NA = 0.60). After exposure, baking was performed at 100 ° C. for 2 minutes. When the resist film was immersed in an aqueous solution of tetramethylammonium hydroxide (2.38% by weight) at 23 ° C., the unexposed portion of the film dissolved in 9 seconds. Therefore, the development was performed for 18 seconds, twice as long, and then rinsed with pure water for 15 seconds. As a result, the exposure amount 30
At mJ / cm 2 , a negative 0.12 μm line and space pattern was obtained. At this time, no swelling of the pattern was observed. When the obtained substrate with the pattern was immersed in tetrahydrofuran, the pattern was instantaneously dissolved, and it was found that no cross-linking had occurred.

【0068】また、このレジスト溶液を冷蔵庫(6℃)
で保存したところ、7日間は感度、解像度に変化はほと
んど見られず、保存安定性が良いことがわかった。
Further, this resist solution was placed in a refrigerator (6 ° C.).
After storage for 7 days, little change was observed in sensitivity and resolution for 7 days, indicating that storage stability was good.

【0069】〈実施例2〉合成例1で合成したγ−ヒド
ロキシ酸構造を有するポリマー(3b)100重量部、
酸発生剤トリフェニルスルホニウムノナフレート3重量
部、2−ベンジルピリジン0.01重量部をジアセトン
アルコール600重量部に溶解し、更に、水200重量
部を加えた。これを孔径0.20μmのテフロンフィル
ターを用いて濾過し、レジスト溶液とした。
Example 2 100 parts by weight of the polymer (3b) having a γ-hydroxy acid structure synthesized in Synthesis Example 1
3 parts by weight of an acid generator triphenylsulfonium nonaflate and 0.01 parts by weight of 2-benzylpyridine were dissolved in 600 parts by weight of diacetone alcohol, and 200 parts by weight of water were further added. This was filtered using a Teflon filter having a pore size of 0.20 μm to obtain a resist solution.

【0070】ヘキサメチルジシラザンで処理したシリコ
ン基板上に、上記のレジスト溶液を回転塗布し、塗布後
100℃で2分間加熱処理して、膜厚0.35μmのレ
ジスト膜を形成した。 ArFエキシマレーザステッパ
ー(ISI Microstep、NA=0.60)を
用いて、レベンソン型の位相シフトマスクを介してこの
レジスト膜の露光をおこなった。露光後100℃で2分
間露光後ベークを行った。23℃のテトラメチルアンモ
ニウムヒドロキシド水溶液(2.38重量%)にレジス
ト膜を浸漬したところ、膜の未露光部は9秒で溶解し
た。そこで現像は、その2倍の時間の18秒間行い、続
いて15秒間純水でリンスした。その結果、露光量30
mJ/cm2で、ネガ型の0.12μmラインアンドス
ペースパタンが得られた。この際、パタンの膨潤は見ら
れなかった。なお得られたパタンのついた基板を、テト
ラヒドロフランに浸漬したところ、パタンは瞬時に溶解
し、架橋が起きていないことがわかった。
On a silicon substrate treated with hexamethyldisilazane, the above-mentioned resist solution was spin-coated, followed by heating at 100 ° C. for 2 minutes to form a resist film having a thickness of 0.35 μm. This resist film was exposed through an Levenson-type phase shift mask using an ArF excimer laser stepper (ISI Microstep, NA = 0.60). After exposure, baking was performed at 100 ° C. for 2 minutes. When the resist film was immersed in an aqueous solution of tetramethylammonium hydroxide (2.38% by weight) at 23 ° C., the unexposed portion of the film dissolved in 9 seconds. Therefore, the development was performed for 18 seconds, twice as long, and then rinsed with pure water for 15 seconds. As a result, the exposure amount 30
At mJ / cm 2 , a negative 0.12 μm line and space pattern was obtained. At this time, no swelling of the pattern was observed. When the obtained substrate with the pattern was immersed in tetrahydrofuran, the pattern was instantaneously dissolved, and it was found that no cross-linking had occurred.

【0071】このレジスト溶液は、冷蔵庫(6℃)で1
4日間保存しても感度、解像度に変化がなく、保存安定
性が良いことがわかった。また、実施例1で用いた樹脂
100重量部に対して水を20重量部の含有した溶液よ
りも、更に保存安定性が向上したことがわかった。
This resist solution was placed in a refrigerator (6 ° C.) for 1 hour.
It was found that there was no change in sensitivity and resolution even after storage for 4 days, and that storage stability was good. It was also found that storage stability was further improved as compared with a solution containing 20 parts by weight of water with respect to 100 parts by weight of the resin used in Example 1.

【0072】〈実施例3〉合成例2で合成したδ−ヒド
ロキシ酸構造を有するポリマー(4d)を100重量
部、酸発生剤トリフェニルスルホニウムノナフレート1
重量部、フェニルピリジン0.01重量部を1−メトキ
シ−2−プロパノール600重量部に溶解し、更に、水
100重量部を加えた。これを孔径0.20μmのテフ
ロンフィルターを用いて濾過し、レジスト溶液とした。
Example 3 100 parts by weight of the polymer having a δ-hydroxy acid structure (4d) synthesized in Synthesis Example 2 was used, and the acid generator triphenylsulfonium nonaflate 1
Parts by weight and 0.01 parts by weight of phenylpyridine were dissolved in 600 parts by weight of 1-methoxy-2-propanol, and 100 parts by weight of water were further added. This was filtered using a Teflon filter having a pore size of 0.20 μm to obtain a resist solution.

【0073】実施例1と同様にヘキサメチルジシラザン
で処理したシリコン基板上に、上記のレジスト溶液を回
転塗布し、塗布後100℃で2分間加熱処理して、膜厚
0.35μmのレジスト膜を形成した。
The resist solution described above was spin-coated on a silicon substrate treated with hexamethyldisilazane in the same manner as in Example 1, and after coating, heat-treated at 100 ° C. for 2 minutes to form a resist film having a thickness of 0.35 μm. Was formed.

【0074】実施例1と同様に位相シフトマスクを通じ
てArFエキシマレーザステッパで露光し、その後12
0℃で2分間露光後ベークを行った。23℃のテトラメ
チルアンモニウムヒドロキシド水溶液(0.048%)
にレジスト膜を浸漬したところ、膜の未露光部は5.0
秒で溶解した。そこで現像は、その2倍の時間の10秒
間行い、続けて15秒間純水でリンスした。その結果、
露光量26mJ/cm2で、ネガ型の0.12μmライ
ンアンドスペースパタンが得られた。この際、パタンの
膨潤は見られなかった。
As in the case of the first embodiment, exposure is performed by an ArF excimer laser stepper through a phase shift mask.
A post-exposure bake was performed at 0 ° C. for 2 minutes. 23 ° C aqueous solution of tetramethylammonium hydroxide (0.048%)
When the resist film was immersed, the unexposed portion of the film was 5.0
Dissolved in seconds. Therefore, the development was performed twice as long as that for 10 seconds, followed by rinsing with pure water for 15 seconds. as a result,
At an exposure of 26 mJ / cm 2 , a negative 0.12 μm line and space pattern was obtained. At this time, no swelling of the pattern was observed.

【0075】またこのレジスト膜をKrFエキシマレー
ザステッパー(NA=0.45)を用いて、レベンソン
型の位相シフトマスクを介して露光をおこなった。露光
後ベークを120℃で2分間行った後、23℃のテトラ
メチルアンモニウムヒドロキシド水溶液(0.048重
量%)にレジスト膜を浸漬したところ、膜の未露光部は
5.0秒で溶解した。そこで現像は、その2倍の時間の
10秒間行い、続けて15秒間純水でリンスした。その
結果、露光量50mJ/cm2で、ネガ型の0.18μ
mラインアンドスペースパタンが得られた。この際、パ
タンの膨潤は見られなかった。
The resist film was exposed through a Levenson-type phase shift mask using a KrF excimer laser stepper (NA = 0.45). After baking at 120 ° C. for 2 minutes after exposure, the resist film was immersed in an aqueous solution of tetramethylammonium hydroxide (0.048% by weight) at 23 ° C., and the unexposed portion of the film dissolved in 5.0 seconds. . Therefore, the development was performed twice as long as that for 10 seconds, followed by rinsing with pure water for 15 seconds. As a result, at an exposure dose of 50 mJ / cm 2, a negative 0.18 μm
An m-line and space pattern was obtained. At this time, no swelling of the pattern was observed.

【0076】また、このレジスト溶液は、室温(23
℃)で10日間保存しても感度、解像度に変化がなく、
保存安定性が良いことがわかった。
This resist solution was heated at room temperature (23 ° C.).
℃) for 10 days, there is no change in sensitivity and resolution.
It was found that the storage stability was good.

【0077】〈実施例4〉図1に公知のMOS(金属−
酸化物−半導体)型トランジスタの断面図を示す。同ト
ランジスタは、ゲート電極18に印加する電圧により、
ソース電極16及びドレイン電極17間に流れるドレイ
ン電流を制御する構造となっている。
<Embodiment 4> FIG. 1 shows a well-known MOS (metal-
1 shows a cross-sectional view of an (oxide-semiconductor) transistor. The transistor operates according to the voltage applied to the gate electrode 18.
The structure is such that a drain current flowing between the source electrode 16 and the drain electrode 17 is controlled.

【0078】ここでこのような構造を作る工程は、十数
工程からなるが、それらを大きく分けるとフィールド酸
化膜形成までの工程と、ゲート形成までの工程と、最終
工程の3つにグループわけする事ができる。ここではじ
めのフィールド酸化膜形成までの工程(図2)には、窒
化シリコン膜上でレジストパタンを形成する工程が含ま
れる。このフィールド酸化膜形成を以下の実施例の様に
して行った。
Here, the steps for fabricating such a structure consist of dozens of steps. These steps can be broadly divided into three groups: steps up to field oxide film formation, steps up to gate formation, and final steps. You can do it. Here, the process up to the first field oxide film formation (FIG. 2) includes a process of forming a resist pattern on the silicon nitride film. This field oxide film was formed as in the following examples.

【0079】公知の方法により、図2(a)の様にp型
シリコンウエハ21上に50nmの酸化膜22を形成
し、その上にプラズマCVDにより、200nmの窒化
シリコン膜を形成し基板とする。この基板に、実施例1
に示した材料、方法により0.30μmラインのレジス
トパタン24の形成を行う(図2(b))。このレジス
トパタンをマスクとして、公知の方法で窒化シリコン膜
をエッチングした後(図2(c))、このレジストを再
びマスクにして、チャンネルストッパのためのホウ素の
イオン打ち込みを行う。レジストを剥離後(図2
(d))、窒化シリコン膜をマスクとする選択酸化によ
り、素子分離領域に1.2μmのフィールド酸化膜を形
成する(図2(e))。
As shown in FIG. 2A, a 50 nm oxide film 22 is formed on a p-type silicon wafer 21 by a known method, and a 200 nm silicon nitride film is formed thereon by plasma CVD to form a substrate. . Example 1
A resist pattern 24 having a 0.30 μm line is formed by the materials and methods shown in FIG. 2 (FIG. 2B). After the silicon nitride film is etched by a known method using the resist pattern as a mask (FIG. 2C), boron ions for channel stopper are implanted using the resist as a mask again. After removing the resist (Fig. 2
(D)) A 1.2 μm field oxide film is formed in the element isolation region by selective oxidation using the silicon nitride film as a mask (FIG. 2E).

【0080】このあと公知の方法に従い、ゲート形成の
工程と、最終工程を行った。窒化シリコン膜をエッチン
グ後、ゲートを酸化し、多結晶シリコンの成長を行う
(図2(f))。この基板に、実施例3に示したパタン
形成方法を用いて、0.12μmラインのレジストパタ
ンの形成を行う(図2(g))。このレジストパタンを
マスクとして、公知の方法で多結晶シリコンのエッチン
グを行い、ゲートを形成する(図2(h))。ソース、
ドレインの薄い酸化膜をエッチングし、ついで多結晶シ
リコンゲートとソース、ドレインにヒ素を拡散し、多結
晶シリコンゲートとソース、ドレイン領域に酸化膜を形
成する。ゲート、ソース、ドレインへのアルミニウム配
線のためのコンタクトを開口視、アルミニウム上着とパ
タンニングを行い、さらに保護膜を形成し、ボンディン
グのためのパッドを開講する。このようにして図1のよ
うなMOS型トランジスタが形成される。
Thereafter, a gate forming step and a final step were performed according to a known method. After etching the silicon nitride film, the gate is oxidized to grow polycrystalline silicon (FIG. 2 (f)). A resist pattern of 0.12 μm line is formed on this substrate by using the pattern forming method described in the third embodiment (FIG. 2G). Using this resist pattern as a mask, the polysilicon is etched by a known method to form a gate (FIG. 2 (h)). Source,
The thin oxide film of the drain is etched, and then arsenic is diffused in the polysilicon gate, source and drain, and an oxide film is formed in the polysilicon gate, source and drain regions. The contacts for aluminum wiring to the gate, source, and drain are viewed from the opening, aluminum coating and patterning are performed, a protective film is formed, and pads for bonding are opened. Thus, a MOS transistor as shown in FIG. 1 is formed.

【0081】ここではMOS型トランジスタについて、
特にフィールド酸化膜の形成方法を記述したが、本発明
はこれに限らないのは言うまでもなく、他の半導体素子
の製造方法、工程に適用できる。
Here, regarding the MOS transistor,
In particular, a method for forming a field oxide film has been described. However, it is needless to say that the present invention is not limited to this, but can be applied to other semiconductor device manufacturing methods and processes.

【0082】〈実施例5〉本発明の実施例1から3のい
ずれかに示したパタン形成方法を使って半導体メモリ素
子を作製した。図3は素子の製造の主な工程を示す断面
図である。図3(a)に示すように、P型のSi半導体31を
基板に用い、その表面に公知の素子分離技術を用い素子
分離領域32を形成する。次に、例えば厚さ150nmの多
結晶Siと厚さ200nmのSiO2を積層した構造のワード線3
3を形成し、さらに化学気相成長法を用いて例えば150n
mのSiO2を被着し、異方的に加工してワード線の側壁にS
iO2のサイドスペーサ34を形成する。次に、通常の方
法でn拡散層35を形成する。次に図3(b)に示すよう
に、通常の工程を経て多結晶Siまたは高融点金属金属シ
リサイド、あるいはこれらの積層膜からなるデータ線3
6を形成する。次に図3(c)に示すように、通常の工程
を経て多結晶Siからなる蓄積電極38を形成する。その
後、Ta2O5、 Si3N4、 SiO2、 BST、 PZT、 強誘電体、
あるいはこれらの複合膜などを被着し、キャパシタ用絶
縁膜39を形成する。引き続き多結晶Si、高融点金属、
高融点金属シリサイド、あるいはAl、 Cu等の低抵抗な
導体を被着しプレート電極40を形成する。次に図3
(d)に示すように、通常の工程を経て配線41を形成す
る。次に通常の配線形成工程やパッシベーション工程を
経てメモリ素子を作製した。なお、ここでは、代表的な
製造工程のみを説明したが、これ以外は通常の製造工程
を用いた。また、各工程の順番が前後しても本発明は適
用できる。上記素子製造工程におけるリソグラフィ工程
ではほとんどの工程に本発明の実施例1から3のいずれ
かに示したパタン形成方法を適用したが、ネガ型レジス
トでパタン形成するのが不向きな工程やパタンの寸法が
大きい工程には本発明は必ずしも適用する必要はない。
例えばパッシベーション工程での導通孔形成工程や、イ
オン打ち込みマスク形成用工程のパタン形成には本発明
は適用しなかった。
Example 5 A semiconductor memory device was manufactured by using the pattern forming method shown in any one of Examples 1 to 3 of the present invention. FIG. 3 is a cross-sectional view showing main steps of manufacturing the device. As shown in FIG. 3A, a P-type Si semiconductor 31 is used as a substrate, and an element isolation region 32 is formed on the surface of the substrate using a known element isolation technique. Next, for example, a word line 3 having a structure in which polycrystalline Si having a thickness of 150 nm and SiO 2 having a thickness of 200 nm is laminated.
3 is formed, and further, for example, 150 n
m 2 SiO 2 and anisotropically processed to form S
An iO 2 side spacer 34 is formed. Next, the n-diffusion layer 35 is formed by a usual method. Next, as shown in FIG. 3 (b), a data line 3 made of polycrystalline Si or a refractory metal silicide or a laminated film thereof is formed through a normal process.
6 is formed. Next, as shown in FIG. 3C, a storage electrode 38 made of polycrystalline Si is formed through a normal process. After that, Ta 2 O 5 , Si 3 N 4 , SiO 2 , BST, PZT, ferroelectric,
Alternatively, a composite film or the like is applied to form the capacitor insulating film 39. Continue with polycrystalline Si, refractory metals,
A plate electrode 40 is formed by applying a low-resistance conductor such as high-melting metal silicide or Al or Cu. Next, FIG.
As shown in (d), the wiring 41 is formed through a normal process. Next, a memory element was manufactured through a normal wiring forming step and a passivation step. Note that, here, only typical manufacturing steps have been described, but other than this, ordinary manufacturing steps were used. Further, the present invention can be applied even if the order of each step is changed. In most of the lithography processes in the device manufacturing process, the pattern forming method described in any one of the first to third embodiments of the present invention is applied. However, the process or the pattern size that is not suitable for forming a pattern with a negative resist is not suitable. The present invention does not necessarily need to be applied to a process having a large value.
For example, the present invention was not applied to a conductive hole forming step in a passivation step or a pattern formation in an ion implantation mask forming step.

【0083】次に、リソグラフィで形成したパタンにつ
いて説明する。図4は製造したメモリ素子を構成する代
表的なパタンのメモリ部のパタン配置を示す。42がワ
ード線、43がデータ線、44がアクティブ領域、45
が蓄積電極、46が電極取り出し孔のパタンである。こ
の例においても、ここに示した46の電極取り出し孔形
成以外のすべてに本発明の実施例1から3のパタン形成
を用いた。ここに示したパタン形成以外でも最小設計ル
ールを用いている工程では本発明を用いた。
Next, a pattern formed by lithography will be described. FIG. 4 shows a pattern arrangement of a memory portion of a typical pattern constituting a manufactured memory element. 42 is a word line, 43 is a data line, 44 is an active area, 45
Is a storage electrode, and 46 is a pattern of an electrode extraction hole. Also in this example, the pattern formation of Examples 1 to 3 of the present invention was used for all except the 46 electrode extraction holes shown here. The present invention is used in processes using the minimum design rule other than the pattern formation shown here.

【0084】本発明を用いて作製した素子は、従来法を
用いて作製した素子と比較するとパタン間の寸法を小さ
くできた、そのため同じ構造の素子が小さくでき、半導
体素子を製造する際に1枚のウエハから製造できる個数
が増えて、歩留まりが向上した。
In the device manufactured by using the present invention, the size between the patterns could be reduced as compared with the device manufactured by using the conventional method. Therefore, the device having the same structure could be reduced. The number of wafers that can be manufactured from one wafer has increased, and the yield has improved.

【0085】〈比較例1〉合成例1で合成したγ−ヒド
ロキシ酸構造を有するポリマー(3b)100重量部、
酸発生剤トリフェニルスルホニウムトリフレート2重量
部、2−ベンジルピリジン0.01重量部をジアセトン
アルコール600重量部に溶解し、水を加えずに、孔径
0.20μmのテフロンフィルターを用いて、ろ過しレ
ジスト溶液とした。
Comparative Example 1 100 parts by weight of the polymer (3b) having a γ-hydroxy acid structure synthesized in Synthesis Example 1
2 parts by weight of an acid generator triphenylsulfonium triflate and 0.01 parts by weight of 2-benzylpyridine are dissolved in 600 parts by weight of diacetone alcohol, and filtered using a Teflon filter having a pore size of 0.20 μm without adding water. This was used as a resist solution.

【0086】ヘキサメチルジシラザンで処理したシリコ
ン基板上に、上記のレジスト溶液を回転塗布し、塗布後
100℃で2分間加熱処理して、膜厚0.35μmのレ
ジスト膜を形成した。 ArFエキシマレーザステッパ
ー(ISI Microstep、NA=0.60)を
用いて、レベンソン型の位相シフトマスクを介してこの
レジスト膜の露光をおこなった。露光後100℃で2分
間露光後ベークを行った。23℃のテトラメチルアンモ
ニウムヒドロキシド水溶液(2.38重量%)にレジス
ト膜を浸漬したところ、膜の未露光部は12秒で溶解し
た。そこで現像は、その2倍の時間の24秒間行い、続
いて15秒間純水でリンスした。その結果、露光量20
mJ/cm2で、ネガ型の0.13μmラインアンドス
ペースパタンが得られた。この際、パタンの膨潤は見ら
れなかった。
The above resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 0.35 μm. This resist film was exposed through an Levenson-type phase shift mask using an ArF excimer laser stepper (ISI Microstep, NA = 0.60). After exposure, baking was performed at 100 ° C. for 2 minutes. When the resist film was immersed in an aqueous solution of tetramethylammonium hydroxide (2.38% by weight) at 23 ° C., the unexposed portion of the film dissolved in 12 seconds. Therefore, the development was performed for 24 seconds, twice as long, and then rinsed with pure water for 15 seconds. As a result, the exposure amount 20
At mJ / cm 2 , a negative 0.13 μm line and space pattern was obtained. At this time, no swelling of the pattern was observed.

【0087】このレジスト溶液を冷凍庫(−8℃)で保
存したところ、7日間は未露光部の溶解速度は変化せ
ず、感度、解像度に変化はほとんど見られなかった。し
かしながら、冷蔵庫(6℃)で保存した場合は、3日間
で未露光部が溶解する時間が23秒に増加し、全体が溶
けにくくなって、結果として感度は15mJ/cm2
高感度化した。しかし、解像度が0.20μm(ライン
アンドスペース)に低下し、また、パタン間には残渣が
見られ、6℃では保存安定性が悪いことがわかった。
When this resist solution was stored in a freezer (-8 ° C.), the dissolution rate of the unexposed portion did not change for 7 days, and the sensitivity and resolution hardly changed. However, when stored in a refrigerator (6 ° C.), the time for dissolving the unexposed portion increased to 23 seconds in 3 days, making the whole less soluble, resulting in an increase in sensitivity to 15 mJ / cm 2 . . However, the resolution was reduced to 0.20 μm (line and space), residues were observed between patterns, and storage stability at 6 ° C. was poor.

【0088】[0088]

【発明の効果】γ−ヒドロキシカルボン酸構造またはδ
−ヒドロキシカルボン酸構造を有する樹脂と、活性放射
線の照射により酸を発生する化合物を少なくとも含む感
放射線組成物に、更に、水を含有させることによって、
水性アルカリ現像液で微細パタンが膨潤することなく現
像でき、保存安定性にも優れたネガ型の感放射線組成
物、及びそれを用いたパタン形成方法、さらにはそれを
用いた半導体装置の製造方法を提供する。
EFFECT OF THE INVENTION The γ-hydroxycarboxylic acid structure or δ
A resin having a hydroxycarboxylic acid structure, and a radiation-sensitive composition containing at least a compound that generates an acid upon irradiation with actinic radiation, further containing water;
A negative-type radiation-sensitive composition that can be developed without swelling of a fine pattern in an aqueous alkaline developer and has excellent storage stability, a method of forming a pattern using the composition, and a method of manufacturing a semiconductor device using the composition. I will provide a.

【図面の簡単な説明】[Brief description of the drawings]

【図1】MOS(金属−酸化物−半導体)型トランジス
タの断面図。
FIG. 1 is a cross-sectional view of a MOS (metal-oxide-semiconductor) transistor.

【図2】本発明のパタン形成方法を用いたフィールド酸
化膜、及びシリコンゲートの形成方法を示す図。
FIG. 2 is a diagram showing a method for forming a field oxide film and a silicon gate using the pattern forming method of the present invention.

【図3】本発明のパタン形成方法を用いた半導体メモリ
素子の製造方法の過程の断面図。
FIG. 3 is a cross-sectional view illustrating a process of a method of manufacturing a semiconductor memory device using the pattern forming method of the present invention.

【図4】メモリ素子を構成する代表的なパタンのメモリ
部のパタン配置図。
FIG. 4 is a pattern layout diagram of a memory portion of a typical pattern constituting a memory element.

【符号の説明】[Explanation of symbols]

12、25…フィールド酸化膜、13…ソースコンタク
ト、14…ドレインコンタクト、15…多結晶シリコ
ン、16…ソース電極、17…ドレイン電極、18…ゲ
ート電極、19…保護膜、22…酸化膜、24…レジス
トパタン、26…多結晶シリコン膜、27…レジストパ
タン、28…多結晶シリコンゲート、31…P型Si半導
体基板、32…素子分離領域、33、42…ワード線、
34…サイドスペーサ、 35…n拡散層、36、43…
データ線、38、45…蓄積電極、39…キャパシタ用
絶縁膜、40…プレート電極、41…配線、44…アク
ティブ領域、46…電極取り出し孔。
12, 25 field oxide film, 13 source contact, 14 drain contact, 15 polycrystalline silicon, 16 source electrode, 17 drain electrode, 18 gate electrode, 19 protective film, 22 oxide film, 24 ... resist pattern, 26 ... polycrystalline silicon film, 27 ... resist pattern, 28 ... polycrystalline silicon gate, 31 ... P-type Si semiconductor substrate, 32 ... element isolation region, 33, 42 ... word line,
34 ... side spacer, 35 ... n diffusion layer, 36, 43 ...
Data lines, 38, 45: storage electrode, 39: capacitor insulating film, 40: plate electrode, 41: wiring, 44: active area, 46: electrode extraction hole.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G03F 7/38 501 G03F 7/38 501 H01L 21/027 H01L 21/30 502R (72)発明者 服部 孝司 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 白石 洋 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 木村 香織 東京都小平市上水本町5丁目22番1号 株 式会社日立超エル・エス・アイ・システム ズ内 (72)発明者 田中 稔彦 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 Fターム(参考) 2H025 AA00 AB16 AC04 AC08 AD01 BE00 CC20 FA01 FA03 FA12 FA17 2H096 AA00 AA25 BA01 BA20 DA01 EA03 EA04 FA01 GA08 JA02 JA03 4J002 CF181 DE027 EV246 EV266 EV296 FD206 GP03 GQ05──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) G03F 7/38 501 G03F 7/38 501 H01L 21/027 H01L 21/30 502R (72) Inventor Takashi Hattori Tokyo 1-280 Higashi-Koigakubo, Tokyo-Kokubunji-shi, Hitachi, Ltd.Central Research Laboratories Co., Ltd. 5-22-1, Mizumotocho Inside Hitachi Ultra-LII Systems Co., Ltd. (72) Inventor Toshihiko Tanaka 1-280 Higashi Koigakubo, Kokubunji-shi, Tokyo F-term in Central Research Laboratory, Hitachi, Ltd. 2H025 AA00 AB16 AC04 AC08 AD01 BE00 CC20 FA01 FA03 FA12 FA17 2H096 AA00 AA25 BA01 BA20 DA0 1 EA03 EA04 FA01 GA08 JA02 JA03 4J002 CF181 DE027 EV246 EV266 EV296 FD206 GP03 GQ05

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】γ−ヒドロキシカルボン酸構造またはδ−
ヒドロキシカルボン酸構造を有する樹脂と、活性放射線
の照射により酸を発生する化合物を少なくとも含む感放
射線組成物が、更に、水を含有することを特徴とする感
放射線組成物。
(1) a γ-hydroxycarboxylic acid structure or δ-
A radiation-sensitive composition comprising a resin having a hydroxycarboxylic acid structure and at least a compound capable of generating an acid upon irradiation with actinic radiation, further comprising water.
【請求項2】請求項1に記載の感放射線組成物におい
て、上記樹脂100重量部に対して、上記水を5重量部
から500重量部含有することを特徴とする感放射線組
成物。
2. The radiation-sensitive composition according to claim 1, wherein the water is contained in an amount of 5 to 500 parts by weight based on 100 parts by weight of the resin.
【請求項3】所定の基板上に請求項1または2に記載の
感放射線組成物からなる塗膜を形成する工程、上記塗膜
の形成後に基板を加熱する工程、上記塗膜に所定パタン
状に活性放射線を照射する工程、上記活性放射線の照射
後に基板を加熱する工程、上記基板の加熱後に該塗膜を
アルカリ水溶液にさらして、活性放射線の未照射部を除
去することを特徴とするネガ型のパタン形成方法。
3. A step of forming a coating film comprising the radiation-sensitive composition according to claim 1 on a predetermined substrate, a step of heating the substrate after the formation of the coating film, and a step of forming a predetermined pattern on the coating film. A step of irradiating the substrate with an actinic radiation, a step of heating the substrate after the irradiation of the actinic radiation, and exposing the coating film to an aqueous alkali solution after the heating of the substrate to remove an unirradiated portion of the actinic radiation. Mold pattern forming method.
【請求項4】請求項3に記載のパタン形成方法におい
て、上記の感放射線組成物からなる塗膜を形成する工程
の後、上記塗膜に所定パタン状に活性放射線を照射する
工程の前に、上記塗膜を加熱し、塗膜中の水の含有量を
減少させる工程を含むこと特徴とするパタン形成方法。
4. The pattern forming method according to claim 3, wherein after the step of forming the coating film comprising the radiation-sensitive composition, before the step of irradiating the coating film with actinic radiation in a predetermined pattern. And heating the coating film to reduce the water content in the coating film.
【請求項5】請求項3または4に記載のパタン形成方法
において、上記活性放射線が波長250nm以下の遠紫
外線光であることを特徴とするパタン形成方法。
5. The pattern forming method according to claim 3, wherein the actinic radiation is far ultraviolet light having a wavelength of 250 nm or less.
【請求項6】請求項3から5に記載のパタン形成方法に
おいて、上記活性放射線がArFエキシマレ−ザ光であ
ることを特徴とするパタン形成方法。
6. A pattern forming method according to claim 3, wherein said active radiation is ArF excimer laser light.
【請求項7】請求項3から6のいずれかに記載のパタン
形成方法において、上記所定のパタンの活性放射線が位
相シフトマスクを介したArFエキシマレーザ光である
ことを特徴とするパタン形成方法。
7. The pattern forming method according to claim 3, wherein the active radiation of the predetermined pattern is ArF excimer laser light through a phase shift mask.
【請求項8】請求項3から7のいずれかに記載のパタン
形成方法において、上記水性アルカリ現像液がテトラメ
チルアンモニウムヒドロキシド水溶液であることを特徴
とするパタン形成方法。
8. The pattern forming method according to claim 3, wherein said aqueous alkaline developer is an aqueous solution of tetramethylammonium hydroxide.
【請求項9】請求項3から8のいずれかに記載のパタン
形成方法において、上記活性放射線を照射する工程の
後、上記水性アルカリ現像液を用いて上記塗膜にパタン
を現像する工程の前に、上記塗膜を加熱する工程を含む
こと特徴とするパタン形成方法。
9. The pattern forming method according to claim 3, wherein after the step of irradiating the actinic radiation, before the step of developing a pattern on the coating film using the aqueous alkaline developer. And a step of heating the coating film.
【請求項10】請求項3から9のいずれかに記載のパタ
ン形成方法により、半導体基板上にレジストパタンを形
成する工程、上記レジストパタンをもとに、上記半導体
基板をエッチング加工する工程、もしくはイオンを打ち
込む工程を含むことを特徴とする半導体装置の製造方
法。
10. A step of forming a resist pattern on a semiconductor substrate by the pattern forming method according to any one of claims 3 to 9, a step of etching the semiconductor substrate based on the resist pattern, or A method for manufacturing a semiconductor device, comprising a step of implanting ions.
JP2000286845A 2000-09-18 2000-09-18 Radiation-sensitive composition, pattern forming method and method for producing semiconductor device Withdrawn JP2002090998A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000286845A JP2002090998A (en) 2000-09-18 2000-09-18 Radiation-sensitive composition, pattern forming method and method for producing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000286845A JP2002090998A (en) 2000-09-18 2000-09-18 Radiation-sensitive composition, pattern forming method and method for producing semiconductor device

Publications (1)

Publication Number Publication Date
JP2002090998A true JP2002090998A (en) 2002-03-27

Family

ID=18770705

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000286845A Withdrawn JP2002090998A (en) 2000-09-18 2000-09-18 Radiation-sensitive composition, pattern forming method and method for producing semiconductor device

Country Status (1)

Country Link
JP (1) JP2002090998A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003100523A1 (en) * 2002-05-27 2003-12-04 Tokyo Ohka Kogyo Co., Ltd. Negative resist composition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003100523A1 (en) * 2002-05-27 2003-12-04 Tokyo Ohka Kogyo Co., Ltd. Negative resist composition
US6936400B2 (en) 2002-05-27 2005-08-30 Tokyo Ohka Kogyo Co., Ltd. Negative resist composition

Similar Documents

Publication Publication Date Title
JP3822101B2 (en) Radiation-sensitive composition, pattern forming method, and semiconductor device manufacturing method
JP3927575B2 (en) Manufacturing method of electronic device
JP2003162060A (en) Resist material, resist pattern and its production method as well as semiconductor device and its production method
JPH1112326A (en) Acid-sensitive polymer, resist composition, formation of resist pattern and production of semiconductor device
JP4245172B2 (en) Pattern forming substrate, negative resist composition, pattern forming method, and semiconductor device
US6489082B1 (en) Method for pattern formation and process for preparing semiconductor device
JP2003508596A (en) Polymer for chemically amplified resist and resist composition using the same
JP2861253B2 (en) Photosensitive resin composition
JP3129990B2 (en) Far-ultraviolet photosensitive film and photosensitive film pattern forming method using the same
JP2935306B2 (en) Acid-decomposable compound and positive-type radiation-sensitive resist composition containing the same
JP2001027806A (en) Chemical amplification type resist composition and resist pattern forming method
JP2003050460A (en) Chemical amplification type positive working resist composition for liquid crystal device
JP3766235B2 (en) Pattern forming method and semiconductor device manufacturing method
JP3766245B2 (en) Pattern forming method and semiconductor device manufacturing method
JP3821961B2 (en) Pattern forming method, semiconductor device manufacturing method, and radiation-sensitive composition
JP2002090998A (en) Radiation-sensitive composition, pattern forming method and method for producing semiconductor device
JP3821952B2 (en) Pattern forming method and semiconductor device manufacturing method
JP2001255655A (en) Pattern forming method, method for producing semiconductor device and photosensitive composition
JP2003005370A (en) Pattern forming method and method for producing semiconductor device
JP2001235861A (en) Pattern forming method and method for producing semiconductor device using same
JP4127937B2 (en) Resist composition and method for forming resist pattern
JPH03276157A (en) Pattern forming method
JP3822160B2 (en) Manufacturing method of semiconductor device
JP2002139834A (en) Pattern forming method, method for producing semiconductor device and photosensitive composition
JP2000292924A (en) Radiation sensitive composition and pattern forming method, and manufacture of semiconductor apparatus

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071204