JP2001358129A - Method and apparatus for plasma processing - Google Patents

Method and apparatus for plasma processing

Info

Publication number
JP2001358129A
JP2001358129A JP2000180932A JP2000180932A JP2001358129A JP 2001358129 A JP2001358129 A JP 2001358129A JP 2000180932 A JP2000180932 A JP 2000180932A JP 2000180932 A JP2000180932 A JP 2000180932A JP 2001358129 A JP2001358129 A JP 2001358129A
Authority
JP
Japan
Prior art keywords
plasma
plasma processing
chamber
frequency power
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000180932A
Other languages
Japanese (ja)
Inventor
Masabumi Kubota
正文 久保田
Shigenori Hayashi
重徳 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2000180932A priority Critical patent/JP2001358129A/en
Publication of JP2001358129A publication Critical patent/JP2001358129A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a plasma processing process which is superior in micro workability and gives few damages to a device. SOLUTION: In the process, an electronic negative gas is introduced to a chamber, and in addition, a positive bias voltage is impressed from a DC pulse power source upon a sample stage, when a prescribed delay time Td elapses, after the plasma generating high-frequency pulse power impressed upon a coil from a high-frequency pulse power source is turned off. Since electron attachment and detachment are apt to occur, after the plasma generating high-frequency pulse power is turned off, the density of electrons is decreased abruptly, and at the same time, anions are abruptly increased. The delay time Td is set, so that Nn/Ne (where Nn and Ne respectively denote the density Nn of anions and density of electrons) may become about 500 or larger.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、高周波放電を用い
たプラズマ処理方法及びプラズマ処理装置に関するもの
である。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing method using a high frequency discharge and a plasma processing apparatus.

【0002】[0002]

【従来の技術】高周波放電を用いたプラズマ発生方法
は、微細加工のためのドライエッチング法、薄膜形成の
ためのスパッタリング又はプラズマCVD法等のさまざ
まな分野で用いられており、加工寸法の微細化、膜質の
高精度な制御又は加工に付随する損傷の低減等がプラズ
マ加工技術に強く求められている。
2. Description of the Related Art A plasma generation method using a high-frequency discharge is used in various fields such as a dry etching method for fine processing and a sputtering or plasma CVD method for forming a thin film. There is a strong demand for plasma processing technology to control film quality with high precision or reduce damage accompanying processing.

【0003】例えば、微細加工に適用されるドライエッ
チング技術は、プラズマ中に存在するラジカル(活性
種)又はイオン等の気相と固相表面との間における化学
的又は物理的な反応を利用して、被エッチング試料の不
要な部分を除去する加工技術である。ドライエッチング
技術として最も広く用いられている反応性イオンエッチ
ング(RIE)は、反応性ガスからなる高周波放電プラ
ズマ中に化学反応性の高いラジカル又はイオンを生成
し、生成されたラジカル又はイオンに被エッチング試料
を曝すことによりエッチング反応を起こさせ、被エッチ
ング試料の表面の不要部分を除去する技術である。被エ
ッチング試料の表面における必要な部分つまり除去しな
い部分は、通常マスクとして用いるフォトレジストパタ
ーンにより保護されている。
For example, a dry etching technique applied to microfabrication utilizes a chemical or physical reaction between a gas phase such as radicals (active species) or ions existing in plasma and a solid surface. This is a processing technique for removing unnecessary portions of the sample to be etched. Reactive ion etching (RIE), which is most widely used as a dry etching technique, generates highly reactive radicals or ions in a high-frequency discharge plasma made of a reactive gas, and the generated radicals or ions are etched. This is a technique in which an etching reaction is caused by exposing a sample, and unnecessary portions on the surface of the sample to be etched are removed. Necessary portions on the surface of the sample to be etched, that is, portions not to be removed, are protected by a photoresist pattern usually used as a mask.

【0004】エッチングの微細化のためにはイオンの方
向性を揃えることが必要であるが、このためにはプラズ
マと被エッチング試料との境界であるシース領域中での
イオンの散乱を減らすことが不可欠である。イオンの散
乱を減らすためには、プラズマ発生室の圧力を低くし
て、イオンの平均自由行程を大きくすることが効果的で
あるが、プラズマ発生室の圧力を低くすると、ラジカル
密度が低下してエッチングレートが低くなるという新た
な問題が発生する。
[0004] For miniaturization of etching, it is necessary to make the directionality of ions uniform. For this purpose, it is necessary to reduce the scattering of ions in a sheath region which is a boundary between a plasma and a sample to be etched. It is essential. In order to reduce the scattering of ions, it is effective to lower the pressure in the plasma generation chamber to increase the mean free path of the ions.However, when the pressure in the plasma generation chamber is reduced, the radical density decreases. A new problem that the etching rate becomes low occurs.

【0005】その対策として、誘導誘導結合型のプラズ
マ装置又はヘリコン型のプラズマ装置等の高密度プラズ
マ装置が導入されつつある。高密度プラズマ装置では従
来の平行平板型RIE装置に比べて1桁から2桁程度高
密度なプラズマを発生させることができる。このため、
プラズマ発生室の圧力が1桁から2桁程度低い条件下で
もRIE装置と同等以上のエッチングレートが得られ
る。
As a countermeasure, a high-density plasma apparatus such as an inductively-coupled plasma apparatus or a helicon plasma apparatus is being introduced. A high-density plasma device can generate plasma of one to two orders of magnitude higher than a conventional parallel plate RIE device. For this reason,
An etching rate equal to or higher than that of the RIE apparatus can be obtained even under the condition that the pressure of the plasma generation chamber is lower by about one to two digits.

【0006】しかしながら、前記の高密度プラズマ装置
においては、以下のような問題が生じる。すなわち、
(1) チャージアップに起因するエッチング形状の異常発
生、(2) マイクロローディング効果、及び(3) ゲート絶
縁膜の劣化又は破壊等である。以下、シリコン基板の上
に絶縁膜(例えばシリコン酸化膜)を介して堆積された
導電膜(例えば多結晶シリコン膜)に対してフォトレジ
ストパターンをマスクとしてプラズマエッチングを行な
ってゲート電極を形成する場合の問題点について説明す
る。
However, the above-mentioned high-density plasma apparatus has the following problems. That is,
(1) Abnormal occurrence of etching shape due to charge-up, (2) Microloading effect, and (3) Deterioration or destruction of gate insulating film. Hereinafter, when a gate electrode is formed by performing plasma etching on a conductive film (for example, a polycrystalline silicon film) deposited on a silicon substrate via an insulating film (for example, a silicon oxide film) using a photoresist pattern as a mask The problem will be described.

【0007】(1) まず、チャージアップに起因するエッ
チング形状の異常発生について説明する。チャージアッ
プに起因するエッチング形状の異常発生の典型例として
は、導電膜に対するエッチングにおけるノッチ現象が挙
げられる。このノッチ現象とは、被エッチング試料(導
電膜)に対して高密度プラズマによりエッチングを行な
うと、プラズマ中の正イオンは被エッチング試料に向か
うエネルギーが大きい一方、プラズマ中の電子は被エッ
チング試料に向かうエネルギーが小さい。このため、ス
ペース幅が大きい孤立パターン領域においては、正イオ
ン及び電子がバランス良く被エッチング試料の底部(ス
ペース部の底部)に蓄積されるが、スペース幅が小さい
密集パターン領域においては、正イオンは被エッチング
試料の底部(スペース部の底部)に多く蓄積される一
方、電子は被エッチング試料の側部(パターン部の側
壁)に多く蓄積される。つまり、パターン部の側壁に多
くの電子が付着するチャージアップ現象が発生する。
(1) First, the occurrence of abnormalities in the etched shape due to charge-up will be described. A typical example of the occurrence of an abnormality in an etching shape due to charge-up is a notch phenomenon in etching of a conductive film. This notch phenomenon means that when a sample to be etched (conductive film) is etched by high-density plasma, the positive ions in the plasma have a large energy toward the sample to be etched, while the electrons in the plasma pass through the sample to be etched. The energy going is small. For this reason, in the isolated pattern region having a large space width, positive ions and electrons are accumulated in a well-balanced manner at the bottom of the sample to be etched (bottom of the space portion). A large amount of electrons are accumulated at the bottom of the sample to be etched (the bottom of the space), while a large amount of electrons are accumulated at the side of the sample to be etched (the side wall of the pattern portion). That is, a charge-up phenomenon occurs in which many electrons adhere to the side walls of the pattern portion.

【0008】従って、後に被エッチング試料に接近して
くる正イオンはパターン部の側壁に引き寄せられるの
で、パターン部の側壁の底部に楔状のノッチが形成され
るのである(例えば、K.K.Chi ほか、1995年DRY PROCES
S SYMPOSIUM 予稿集,p.75,電気学会)。特に、密集パ
ターン領域における最も外方のパターンの内側の側壁の
底部にはノッチが顕著に形成される。
Therefore, the positive ions which approach the sample to be etched later are attracted to the side wall of the pattern portion, so that a wedge-shaped notch is formed at the bottom of the side wall of the pattern portion (for example, KKChi et al., 1995). DRY PROCES
S SYMPOSIUM Proceedings, p.75, IEEJ). In particular, a notch is significantly formed at the bottom of the inner side wall of the outermost pattern in the dense pattern region.

【0009】(2) また、電荷の局在化及び不均一はエッ
チングレートそのものにも影響を及ぼす。すなわち、エ
ッチング中において、レジストマスクは注入される正イ
オンにより正に帯電するため、レジストマスクにおける
マスク開口部の幅が小さい領域においては正イオンに対
するマスク開口部への入射阻止機能が強く働く。このた
め、レジストマスクにおけるマスク開口部の幅が小さい
領域ほどエッチングレートが遅い、いわゆるマイクロロ
ーディング効果が起きる。また、マイクロローディング
効果は、孤立パターン領域と密集パターン領域との間に
おけるパターン側壁のエッチング角度の差異又は局所的
な下地選択比の差異として現れる場合もある。
(2) The localization and non-uniformity of the electric charge also affect the etching rate itself. That is, during etching, the resist mask is positively charged by the injected positive ions, so that in a region of the resist mask where the width of the mask opening is small, the function of preventing the positive ions from entering the mask opening works strongly. For this reason, a so-called microloading effect occurs in a region where the width of the mask opening in the resist mask is smaller, the lower the etching rate. Also, the microloading effect may appear as a difference in the etching angle of the pattern side wall or a difference in the local base selectivity between the isolated pattern region and the dense pattern region.

【0010】(3) また、電荷供給のアンバランスは、M
OSトランジスタのゲート絶縁膜の劣化又は破壊を引き
起こす恐れがある。例えば、プラズマエッチングにおい
ては被エッチング試料(導電膜)に蓄積された多量の電
荷がゲート絶縁膜を突き抜けてシリコン基板に向かう
が、この際に、ゲート絶縁膜に大きな電子電流が流れる
ので、ゲート絶縁膜の絶縁性が劣化したり又は破壊した
りする。ゲート絶縁膜が10nm程度以下の極薄膜にな
ると、MOSトランジスタの相互コンダクタンスの劣化
現象が現われ、極端な場合にはゲート絶縁膜の絶縁性破
壊に至ることが知られている(例えば、ERIGUCHIほか、
IEICE TRANS. ELECTRON., VOL.E78-C,p.261,電子情報通
信学会)。特に、微細化によりトランジスタサイズが1
μm以下になると、配線の面積がトランジスタの面積の
3桁から5桁以上も大きい、いわゆるアンテナ構造を有
するトランジスタがLSIに含まれるようになる。アン
テナ構造はゲート絶縁膜における電荷の突き抜けを拡大
するように働くので、トランジスタの微細化に伴ってプ
ラズマによるゲート絶縁膜の劣化又は破壊はますます重
要な課題になるものと考えられる。
(3) Further, the imbalance of charge supply is M
There is a possibility that the gate insulating film of the OS transistor may be deteriorated or destroyed. For example, in plasma etching, a large amount of electric charge accumulated in a sample to be etched (conductive film) penetrates the gate insulating film and travels to the silicon substrate. At this time, a large electron current flows through the gate insulating film. The insulation of the film is deteriorated or destroyed. It is known that when the gate insulating film becomes extremely thin, about 10 nm or less, a phenomenon occurs in which the transconductance of the MOS transistor deteriorates, and in extreme cases, the dielectric breakdown of the gate insulating film is caused (for example, ERIGUCHI et al.,
IEICE TRANS. ELECTRON., VOL.E78-C, p.261, IEICE). In particular, the transistor size is reduced to 1
When the thickness is less than μm, the LSI includes a transistor having a so-called antenna structure in which the area of the wiring is three to five digits or more of the area of the transistor. Since the antenna structure functions to increase the penetration of charges in the gate insulating film, it is considered that deterioration or destruction of the gate insulating film due to plasma becomes an increasingly important issue with miniaturization of transistors.

【0011】そこで、高密度プラズマプロセスが有する
前述の問題を解決する方法として、負イオンによるプラ
ズマプロセスが提案されている(例えば、Y.Horiike ほ
か、3rd International Conference on Reactive Plasm
as and 14th Symposium onPlasma Processing予稿集,
p.515, 1997年応用物理学会)。この提案によると、塩
素等の電子負性ガスからなるプラズマ中において試料台
を正にバイアスすると負イオンによるエッチングが生じ
る。このような負イオンによるプラズマプロセスによる
と、チャージアップに起因する、ゲート絶縁膜の劣化若
しくは破壊又はノッチ等の形状不良等の問題は生じ難い
と予想されている。
Therefore, as a method for solving the above-mentioned problem of the high-density plasma process, a plasma process using negative ions has been proposed (for example, Y. Horiike et al., 3rd International Conference on Reactive Plasm).
as and 14th Symposium onPlasma Processing
p.515, Japan Society of Applied Physics 1997). According to this proposal, when a sample stage is positively biased in a plasma composed of an electron-negative gas such as chlorine, etching is caused by negative ions. According to the plasma process using such negative ions, it is expected that problems such as deterioration or destruction of the gate insulating film or shape defects such as notches due to charge-up hardly occur.

【0012】[0012]

【発明が解決しようとする課題】しかしながら、多量の
負イオンの発生は比較的圧力の高い領域(前述のY.Hori
ikeらの報告では2Pa以上の圧力領域)で見られ、よ
り微細な加工を行なうためにプラズマ発生室の圧力をよ
り低くした場合には、負イオンによるエッチングは期待
できない、また、負イオンと同時に存在する電子の質量
は負イオンの質量に比べて数千分の1以上小さいため、
電子が電界に良く追従するので、負イオンを効率良くプ
ロセスに活用できないという問題もある。
However, generation of a large amount of negative ions occurs in a relatively high pressure region (the above-mentioned Y. Hori
ike et al. report a pressure range of 2 Pa or more), and if the pressure in the plasma generation chamber is lowered to perform finer processing, etching with negative ions cannot be expected. Since the mass of the existing electrons is more than one thousandth smaller than the mass of the negative ions,
Since electrons follow the electric field well, there is also a problem that negative ions cannot be efficiently used in the process.

【0013】前記に鑑み、本発明は、プラズマ中の負イ
オンの特徴を存分に発揮させて、微細加工性に優れ且つ
デバイスへの損傷が少ないプラズマ処理プロセスを提供
することを目的とする。
In view of the foregoing, it is an object of the present invention to provide a plasma processing process that makes full use of the characteristics of negative ions in plasma and has excellent fine workability and less damage to devices.

【0014】[0014]

【課題を解決するための手段】前記の目的を達成するた
め、本発明に係る第1のプラズマ処理方法は、負イオン
密度Nnと電子密度Neとの比の値であるNn/Neが
ほぼ500以上であるプラズマ中において、試料台にバ
イアス電圧を印加してプラズマ処理を行なうものであ
る。
In order to achieve the above object, a first plasma processing method according to the present invention is characterized in that Nn / Ne, which is the value of the ratio between the negative ion density Nn and the electron density Ne, is approximately 500. In the plasma described above, a plasma processing is performed by applying a bias voltage to the sample stage.

【0015】第1のプラズマ処理方法によると、プラズ
マ中の負イオン密度Nnとプラズマ中の電子密度Neと
の比の値であるNn/Neがほぼ500以上であるた
め、プラズマ中の電子密度が十分に小さいと共にプラズ
マ中の負電荷に占める負イオンの割合が極めて大きいの
で、負イオン電流を効果的に引き出すことができ、負イ
オンを効果的に試料に照射することができる。この場
合、負イオンが試料に衝突するエネルギーは、試料台に
印加されるバイアス電圧の大きさに比例するので、試料
台に印加するバイアス電圧を調整することにより、プラ
ズマ処理のプロセスを制御することができる。
According to the first plasma processing method, since the value of the ratio of the negative ion density Nn in the plasma to the electron density Ne in the plasma is approximately 500 or more, the electron density in the plasma is reduced. Since the ratio of the negative ions to the negative charges in the plasma is extremely large and the ratio of the negative ions in the plasma is extremely large, the negative ion current can be effectively extracted, and the negative ions can be effectively irradiated to the sample. In this case, the energy with which the negative ions collide with the sample is proportional to the magnitude of the bias voltage applied to the sample stage. Therefore, by controlling the bias voltage applied to the sample stage, it is necessary to control the plasma processing process. Can be.

【0016】第1のプラズマ処理方法において、バイア
ス電圧は正電圧であることが好ましい。
In the first plasma processing method, the bias voltage is preferably a positive voltage.

【0017】このようにすると、負イオンのみを試料に
照射させることができるため、選択性の高いプラズマ処
理(例えばプラズマエッチング)を高い処理レートで行
なうことができる。尚、負イオンは、それ自身が負電荷
をもっているため、試料に衝突した際に二次電子が発生
しても、試料の表面を正電圧に帯電させることが非常に
少ない。尚、通常、正イオンが試料に衝突して二次電子
が発生すると、試料表面は正電位に帯電する。従って、
従来のプラズマ処理方法において試料台に正のバイアス
電圧を印加すると、プラズマから試料表面に向かう電子
の急速な流入が起きて、試料表面に電子が蓄積される結
果、チャージアップが発生する。ところが、第1のプラ
ズマ処理方法においては、主として負イオンを試料に照
射させるため、チャージアップの問題は殆ど発生しな
い。
In this case, since only negative ions can be irradiated to the sample, highly selective plasma processing (eg, plasma etching) can be performed at a high processing rate. Since negative ions themselves have a negative charge, even if secondary electrons are generated when they collide with the sample, the surface of the sample is rarely charged to a positive voltage. Usually, when positive ions collide with the sample to generate secondary electrons, the sample surface is charged to a positive potential. Therefore,
When a positive bias voltage is applied to the sample stage in the conventional plasma processing method, a rapid inflow of electrons from the plasma toward the sample surface occurs, and the electrons are accumulated on the sample surface, resulting in charge-up. However, in the first plasma processing method, the problem of charge up hardly occurs because the sample is mainly irradiated with negative ions.

【0018】第1のプラズマ処理方法において、バイア
ス電圧は正電圧と負電圧とのパルス電圧であることが好
ましい。
In the first plasma processing method, the bias voltage is preferably a pulse voltage of a positive voltage and a negative voltage.

【0019】このようにすると、正のバイアス電圧が印
加されるときには負イオンが試料表面に引き込まれる一
方、負のバイアス電圧が印加されるときには正イオンが
試料表面に引き込まれるため、試料表面に蓄積される電
荷が打ち消されるので、チャージアップを低減すること
ができる。
With this configuration, when a positive bias voltage is applied, negative ions are attracted to the sample surface, while when a negative bias voltage is applied, positive ions are attracted to the sample surface. Since the generated charge is canceled, charge-up can be reduced.

【0020】この場合、パルス電圧の正電圧及び負電圧
の各パルス幅を調整する工程を備えていることがより好
ましい。
In this case, it is more preferable to include a step of adjusting each pulse width of the positive voltage and the negative voltage of the pulse voltage.

【0021】このようにすると、試料表面に蓄積される
電荷のバランスを調整できるので、チャージアップを確
実に防止することができる。
In this way, the balance of the charges accumulated on the surface of the sample can be adjusted, so that the charge-up can be reliably prevented.

【0022】第1のプラズマ処理方法において、バイア
ス電圧として、イオンプラズマ周波数のほぼ0.5倍か
らほぼ2倍の周波数を持つ高周波電力を試料台に印加す
る工程を備えていることが好ましい。
In the first plasma processing method, it is preferable that a step of applying a high-frequency power having a frequency of about 0.5 to about twice the ion plasma frequency to the sample stage as a bias voltage is preferable.

【0023】このようにすると、電界のプラズマ中への
浸透が大きくなるため、試料台に流入するイオンフラッ
クスが増大するので、プラズマ処理のレートが大きくな
る。
In this case, the penetration of the electric field into the plasma increases, and the ion flux flowing into the sample stage increases, so that the rate of the plasma processing increases.

【0024】第1のプラズマ処理方法において、プラズ
マは、水素原子又は水素化合物を含むガスから生成され
ることが好ましい。
In the first plasma processing method, the plasma is preferably generated from a gas containing hydrogen atoms or hydrogen compounds.

【0025】このようにすると、水素原子又は水素化合
物を含むガスは電子負性ガスであるため、プラズマ中の
負イオンの割合が高くなるので、負イオン密度Nnと電
子密度Neとの比の値であるNn/Neを500以上に
することが容易になる。また、水素原子又は水素化合物
を含むガスは、成膜又はエッチングにおける利用価値が
高い。
In this case, since the gas containing hydrogen atoms or hydrogen compounds is an electron-negative gas, the ratio of negative ions in the plasma increases, and the value of the ratio of the negative ion density Nn to the electron density Ne is increased. Nn / Ne can be easily set to 500 or more. Further, a gas containing a hydrogen atom or a hydrogen compound has high utility in film formation or etching.

【0026】第1のプラズマ処理方法において、プラズ
マは、ハロゲン元素又はハロゲン化合物を含むガスから
生成されることが好ましい。
In the first plasma processing method, the plasma is preferably generated from a gas containing a halogen element or a halogen compound.

【0027】このようにすると、ハロゲン元素又はハロ
ゲン化合物を含むガスは電子負性ガスであるため、プラ
ズマ中の負イオンの割合が高くなるので、負イオン密度
Nnと電子密度Neとの比の値であるNn/Neを50
0以上にすることが容易になる。また、ハロゲン元素は
電気陰性度が大きいため、シリコン又はアルミニウムな
どを用いるLSIプロセスにおける利用価値が高い。
In this case, since the gas containing a halogen element or a halogen compound is an electron-negative gas, the ratio of negative ions in the plasma increases, so that the value of the ratio of the negative ion density Nn to the electron density Ne is increased. Nn / Ne is 50
It becomes easy to set it to 0 or more. Further, since the halogen element has a high electronegativity, it is highly useful in an LSI process using silicon or aluminum.

【0028】第1のプラズマ処理方法において、プラズ
マは、酸素原子又は酸素化合物を含むガスから生成され
ることが好ましい。
In the first plasma processing method, the plasma is preferably generated from a gas containing oxygen atoms or oxygen compounds.

【0029】このようにすると、酸素原子又は酸素化合
物を含むガスは電子負性ガスであるため、プラズマ中の
負イオンの割合が高くなるので、負イオン密度Nnと電
子密度Neとの比の値であるNn/Neを500以上に
することが容易になる。また、酸素原子又は酸素化合物
は、炭素又は水素と反応してCO2、H2Oなどの揮発生
成物を生成するため、有機膜又は有機無機ハイブリッド
膜などのエッチングにおける利用価値が高い。
In this case, since the gas containing oxygen atoms or oxygen compounds is an electron-negative gas, the ratio of negative ions in the plasma increases, so that the value of the ratio of the negative ion density Nn to the electron density Ne is increased. Nn / Ne can be easily set to 500 or more. Further, an oxygen atom or an oxygen compound reacts with carbon or hydrogen to generate volatile products such as CO 2 and H 2 O, and thus has a high utility value in etching an organic film or an organic-inorganic hybrid film.

【0030】本発明に係る第2のプラズマ処理方法は、
高周波電力パルスにより負イオンを含むプラズマを発生
させる工程と、高周波電力がオフである期間に試料台に
バイアス電圧を印加してプラズマ中の負イオンを主とし
て用いるプラズマ処理を行なう工程とを備えている。
[0030] The second plasma processing method according to the present invention comprises:
A step of generating a plasma containing negative ions by a high-frequency power pulse; and a step of applying a bias voltage to a sample stage during a period in which the high-frequency power is off to perform a plasma process mainly using negative ions in the plasma. .

【0031】第2のプラズマ処理方法によると、プラズ
マ中の負イオンを主として用いるプラズマ処理を行なう
ことができるため、選択性の高いプラズマ処理(例えば
プラズマエッチング)を高い処理レートで行なうことが
できると共に、チャージアップの問題は殆ど発生しな
い。
According to the second plasma processing method, the plasma processing mainly using negative ions in the plasma can be performed, so that a highly selective plasma processing (eg, plasma etching) can be performed at a high processing rate. Almost no charge-up problem occurs.

【0032】第2のプラズマ処理方法において、プラズ
マ処理を行なう工程は、高周波電力がオフになって、プ
ラズマ中における負イオン密度Nnと電子密度Neとの
比の値であるNn/Neがほぼ500以上になった状態
で、バイアス電圧を試料台に印加する工程を含むことが
好ましい。
In the second plasma processing method, in the step of performing the plasma processing, the high frequency power is turned off, and the value of the ratio of the negative ion density Nn to the electron density Ne in the plasma, Nn / Ne, is approximately 500. In the state described above, it is preferable to include a step of applying a bias voltage to the sample stage.

【0033】このようにすると、負イオン電流を効果的
に引き出すことができるため、負イオンを効果的に試料
に照射することができるので、試料台に印加するバイア
ス電圧の大きさを制御することにより、負イオンが試料
に衝突するエネルギーを制御してプロセス制御性を向上
させることができる。
With this arrangement, the negative ion current can be effectively extracted, and the sample can be effectively irradiated with negative ions. Therefore, the magnitude of the bias voltage applied to the sample stage can be controlled. Thereby, the process controllability can be improved by controlling the energy at which negative ions collide with the sample.

【0034】第2のプラズマ処理方法において、高周波
電力パルスのデューティ比は50%未満であることが好
ましい。
In the second plasma processing method, the high-frequency power pulse preferably has a duty ratio of less than 50%.

【0035】このようにすると、プラズマ発生用の高周
波電力のオフ時間が長くなるため、イオン・イオンプラ
ズマ(電子密度が正イオン密度及び負イオン密度に比べ
て圧倒的に小さいプラズマ)の特徴を生かすことができ
る。
In this case, since the off time of the high frequency power for plasma generation becomes longer, the characteristics of ion-ion plasma (plasma in which the electron density is much smaller than the positive ion density and the negative ion density) are utilized. be able to.

【0036】第2のプラズマ処理方法において、プラズ
マ処理を行なう工程は、高周波電力パルスに対して所定
時間遅れて同期するバイアス電圧を印加する工程を含む
ことが好ましい。
In the second plasma processing method, the step of performing the plasma processing preferably includes a step of applying a bias voltage synchronized with a predetermined time delay with respect to the high frequency power pulse.

【0037】プラズマ発生用の高周波電力パルスがオフ
である期間においては、電子温度が低下して電子付着解
離が生じやすくなるため、負イオンが増加するので、高
周波電力パルスに対して所定時間遅れてバイアス電圧を
印加することにより、負イオンを効果的に試料に照射す
ることができる。
During the period in which the high-frequency power pulse for plasma generation is off, the electron temperature decreases and electron attachment and dissociation easily occur, so that the number of negative ions increases. By applying a bias voltage, the sample can be effectively irradiated with negative ions.

【0038】本発明に係る第3のプラズマ処理方法は、
高周波電力により負イオンを含むプラズマを発生させる
工程と、発生したプラズマ中の電子密度を低減させる工
程と、電子密度が低減したプラズマを用いてプラズマ処
理を行なう工程とを備えている。
The third plasma processing method according to the present invention comprises:
The method includes a step of generating plasma containing negative ions by high-frequency power, a step of reducing electron density in the generated plasma, and a step of performing a plasma process using the plasma with reduced electron density.

【0039】第3のプラズマ処理方法によると、電子密
度が低減した状態のプラズマ中においては、正イオンが
減少しているため、プラズマ中の負イオンの割合が増加
している。このため、電子密度が低減したプラズマを用
いてプラズマ処理を行なうと、プラズマ中の負イオンを
主として用いることができるので、選択性の高いプラズ
マ処理(例えばプラズマエッチング)を高い処理レート
で行なうことができると共に、チャージアップの問題は
殆ど発生しない。
According to the third plasma processing method, the proportion of negative ions in the plasma increases because the number of positive ions decreases in the plasma in a state where the electron density is reduced. Therefore, when plasma processing is performed using plasma with a reduced electron density, negative ions in the plasma can be mainly used, so that highly selective plasma processing (eg, plasma etching) can be performed at a high processing rate. At the same time, there is almost no charge-up problem.

【0040】本発明に係るプラズマ処理装置は、プラズ
マを発生させるプラズマ発生室と、プラズマ発生室で発
生したプラズマを用いてプラズマ処理を行なうプラズマ
処理室と、プラズマ発生室とプラズマ処理室との間に設
けられ、プラズマ発生室で発生したプラズマを、該プラ
ズマ中の電子密度を低減させながらプラズマ処理室に輸
送するプラズマ輸送手段とを備えている。
The plasma processing apparatus according to the present invention includes a plasma generation chamber for generating plasma, a plasma processing chamber for performing plasma processing using the plasma generated in the plasma generation chamber, and a plasma processing chamber between the plasma generation chamber and the plasma processing chamber. And a plasma transport means for transporting the plasma generated in the plasma generation chamber to the plasma processing chamber while reducing the electron density in the plasma.

【0041】本発明のプラズマ処理装置によると、プラ
ズマ発生室で発生したプラズマを、該プラズマ中の電子
密度を低減させながらプラズマ処理室に輸送するプラズ
マ輸送手段を備えているため、電子密度が低減したプラ
ズマを用いてプラズマ処理を行なうことができるので、
プラズマ中の負イオンを主として用いるプラズマ処理を
簡易且つ確実に行なうことができる。
According to the plasma processing apparatus of the present invention, since the plasma generated in the plasma generation chamber is provided with the plasma transport means for transporting the plasma to the plasma processing chamber while reducing the electron density in the plasma, the electron density is reduced. Plasma processing can be performed using the plasma
Plasma processing using mainly negative ions in plasma can be easily and reliably performed.

【0042】本発明のプラズマ処理装置において、プラ
ズマ輸送手段は、プラズマ発生室とプラズマ処理室とを
連通させる真空室と、該真空室を囲むように設けられ、
内部にほぼ平行な静磁場を生成するリング状マグネット
とからなることが好ましい。
[0042] In the plasma processing apparatus of the present invention, the plasma transport means is provided so as to communicate with the plasma generation chamber and the plasma processing chamber, and to surround the vacuum chamber.
It preferably comprises a ring-shaped magnet that generates a substantially parallel static magnetic field inside.

【0043】このようにすると、プラズマ発生室で発生
したプラズマがプラズマ処理室に輸送される途中におい
て、プラズマ中の電子がリング状マグネットにトラップ
されるため、プラズマ処理室に輸送されるプラズマ中の
電子密度は大きく低減している。
With this configuration, while the plasma generated in the plasma generation chamber is being transported to the plasma processing chamber, the electrons in the plasma are trapped by the ring-shaped magnet, so that the plasma in the plasma transported to the plasma processing chamber is trapped. The electron density is greatly reduced.

【0044】本発明のプラズマ処理装置において、プラ
ズマ輸送手段は、プラズマ発生室とプラズマ処理室とを
連通させる真空室と、該真空室の周囲に配置され、内部
にほぼ平行な磁場を生成する複数のヘルムホルツコイル
とからなることが好ましい。
In the plasma processing apparatus of the present invention, the plasma transport means includes a vacuum chamber for communicating the plasma generation chamber with the plasma processing chamber, and a plurality of plasma transport means disposed around the vacuum chamber and generating a substantially parallel magnetic field therein. And a Helmholtz coil.

【0045】このようにすると、プラズマ発生室で発生
したプラズマがプラズマ処理室に輸送される途中におい
て、プラズマ中の電子がヘルムホルツコイルにトラップ
されるため、プラズマ処理室に輸送されるプラズマ中の
電子密度は大きく低減している。
With this configuration, while the plasma generated in the plasma generation chamber is being transported to the plasma processing chamber, the electrons in the plasma are trapped by the Helmholtz coil, so that the electrons in the plasma transported to the plasma processing chamber are trapped. The density is greatly reduced.

【0046】この場合、複数のヘルムホルツコイルは、
位相が互いに異なる電流が印加されることにより回転磁
場を生成することがより好ましい。
In this case, the plurality of Helmholtz coils are
More preferably, a rotating magnetic field is generated by applying currents having different phases.

【0047】このようにすると、プラズマ発生室で発生
したプラズマは回転しながらプラズマ処理室に輸送され
るので、プラズマ処理室のプラズマは均一になる。
With this configuration, the plasma generated in the plasma generation chamber is transported to the plasma processing chamber while rotating, so that the plasma in the plasma processing chamber becomes uniform.

【0048】本発明のプラズマ処理装置は、プラズマ処
理室にプラズマを発生させるための高周波電力パルスを
供給する高周波電力パルス回路と、プラズマ処理室に設
けられた試料台にバイアス電圧を供給するバイアス回路
と、バイアス電圧を高周波電力パルスに対して所定時間
遅れて同期させる信号遅延回路とを備えていることが好
ましい。
The plasma processing apparatus according to the present invention includes a high frequency power pulse circuit for supplying a high frequency power pulse for generating plasma in the plasma processing chamber, and a bias circuit for supplying a bias voltage to a sample stage provided in the plasma processing chamber. And a signal delay circuit for synchronizing the bias voltage with a predetermined time delay with respect to the high-frequency power pulse.

【0049】このようにすると、高周波電力パルスに対
して所定時間遅れて同期するバイアス電圧を試料台に印
加することができるため、負イオンを効果的に試料に照
射することができる。
With this configuration, a bias voltage synchronized with a predetermined time delay with respect to the high-frequency power pulse can be applied to the sample stage, so that the sample can be effectively irradiated with negative ions.

【0050】[0050]

【発明の実施の形態】(第1の実施形態)以下、本発明
の第1の実施形態に係るプラズマ処理方法及びプラズマ
処理装置について、図1及び図2を参照しながら説明す
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS (First Embodiment) Hereinafter, a plasma processing method and a plasma processing apparatus according to a first embodiment of the present invention will be described with reference to FIGS.

【0051】図1は、第1の実施形態に係るプラズマ処
理装置(プラズマエッチング装置)の概略全体構成を示
しており、図1において、10は接地されており、内壁
がセラミック、テフロン(登録商標)又は石英等の絶縁
物で覆われていると共に内部が真空に保持されるチャン
バーである。尚、チャンバー10は、内壁が絶縁物で覆
われた構造に代えて、石英等からなるインナーチャンバ
ーを有する二重構造であってもよい。また、チャンバー
10には、該チャンバー10内に反応性ガスを導入する
周知のガス導入手段が設けられているが、図示は省略し
ている。
FIG. 1 shows a schematic overall configuration of a plasma processing apparatus (plasma etching apparatus) according to the first embodiment. In FIG. 1, reference numeral 10 denotes a ground, and inner walls are made of ceramic or Teflon (registered trademark). ) Or a chamber which is covered with an insulator such as quartz and the inside of which is kept in a vacuum. Note that the chamber 10 may have a double structure having an inner chamber made of quartz or the like instead of a structure in which the inner wall is covered with an insulator. The chamber 10 is provided with a well-known gas introducing means for introducing a reactive gas into the chamber 10, but is not shown.

【0052】図1に示すように、チャンバー10の上に
はセラミック等からなる誘電体板11を介してコイル1
2が設けられている。コイル12の一端は、インピーダ
ンス整合回路13を介してプラズマ発生用の高周波パル
ス電源14に接続されていると共にコイル12の他端は
接地されている。従って、高周波パルス電源14からコ
イル12に高周波パルス電力を印加すると、誘電体板1
1を介して発生する誘導電磁界によりチャンバー10の
内部に高密度のプラズマ15が発生する。
As shown in FIG. 1, a coil 1 is placed on a chamber 10 via a dielectric plate 11 made of ceramic or the like.
2 are provided. One end of the coil 12 is connected to a high frequency pulse power source 14 for plasma generation via an impedance matching circuit 13 and the other end of the coil 12 is grounded. Therefore, when high-frequency pulse power is applied to the coil 12 from the high-frequency pulse power supply 14, the dielectric plate 1
A high-density plasma 15 is generated inside the chamber 10 by an induction electromagnetic field generated through the first electromagnetic field 1.

【0053】チャンバー10の内部には、表面が絶縁性
材料でコーティングされた金属製の試料台16が設けら
れており、該試料台16の上には、表面にフォトレジス
トパターンが形成されている被エッチング試料17が保
持されている。
A metal sample stage 16 whose surface is coated with an insulating material is provided inside the chamber 10, and a photoresist pattern is formed on the surface of the sample stage 16. The sample 17 to be etched is held.

【0054】試料台16には、該試料台16にバイアス
電圧を印加するバイアス用のDCパルス電源18が接続
されていると共に、DCパルス電源18は信号線19を
介して高周波パルス電源14に接続されている。高周波
パルス電源14には信号遅延回路が内蔵されており、該
信号遅延回路により生成される同期信号は信号線19を
介してDCパルス電源18に出力される。DCパルス電
源18は、信号遅延回路により生成される同期信号に基
づき、高周波パルス電源14からコイル12に印加され
る高周波電力パルスに対して所定時間遅れて同期するバ
イアス電圧を試料台16に印加する。
The sample stage 16 is connected to a bias DC pulse power source 18 for applying a bias voltage to the sample stage 16, and the DC pulse power source 18 is connected to the high frequency pulse power source 14 via a signal line 19. Have been. The high-frequency pulse power supply 14 has a built-in signal delay circuit, and a synchronization signal generated by the signal delay circuit is output to a DC pulse power supply 18 via a signal line 19. The DC pulse power supply 18 applies a bias voltage to the sample stage 16 that synchronizes with a high-frequency power pulse applied from the high-frequency pulse power supply 14 to the coil 12 with a predetermined time delay based on a synchronization signal generated by the signal delay circuit. .

【0055】図2は第1の実施形態に係るプラズマ処理
方法における各種のパラメータの時間変化を示してい
る。
FIG. 2 shows changes over time of various parameters in the plasma processing method according to the first embodiment.

【0056】高周波パルス電源14からコイル12に印
加されるプラズマ発生用の高周波パルス電力がオフにな
ってから所定の遅延時間Tdが経過した後に、バイアス
用のDCパルス電源18がオンになって、該DCパルス
電源18から試料台16に正のバイアス電圧が所定のパ
ルス幅で印加される。
After a predetermined delay time Td has elapsed since the high frequency pulse power for plasma generation applied to the coil 12 from the high frequency pulse power supply 14 has been turned off, the DC pulse power supply 18 for bias is turned on. A positive bias voltage is applied from the DC pulse power supply 18 to the sample table 16 with a predetermined pulse width.

【0057】エッチングに使用される反応性ガス例えば
ハロゲンガスは電子負性ガス(ガスの分子に電子が結合
すると、負イオンが生成されるようなガス)であるた
め、プラズマ発生用の高周波パルス電力がオフになった
後の状態、つまりアフターグロープラズマの状態では、
電子の加速源が無いため、高速運動をする電子は拡散し
チャンバー10の壁面に衝突して消滅する一方、低速運
動をする電子は余り拡散しないので、電子温度が低下す
る。電子温度が低下すると、電子付着解離(化合物に電
子が付着して、化合物から負イオンが解離する現象)が
生じやすくなるので、電子密度が急速に低下すると共
に、負イオンは急激に増加する。
Since a reactive gas used for etching, for example, a halogen gas, is an electron negative gas (a gas that generates negative ions when electrons are combined with gas molecules), a high-frequency pulse power for plasma generation is used. In the state after is turned off, that is, in the state of afterglow plasma,
Since there is no electron acceleration source, electrons moving at a high speed diffuse and collide with the wall surface of the chamber 10 to disappear, while electrons moving at a low speed do not diffuse much, so that the electron temperature decreases. When the electron temperature decreases, electron attachment and dissociation (a phenomenon in which electrons attach to the compound and negative ions dissociate from the compound) easily occurs, so that the electron density decreases rapidly and the number of negative ions increases rapidly.

【0058】第1の実施形態においては、遅延時間Td
は、負イオン密度Nnと電子密度Neとの比の値である
Nn/Neがほぼ500以上になる時間に設定する。こ
のようにする理由については後述する。尚、遅延時間T
dは、ガス種又はチャンバー10の圧力によって異なる
が、通常、50μsec〜1msecである。
In the first embodiment, the delay time Td
Is set to a time at which the value of the ratio of the negative ion density Nn to the electron density Ne, Nn / Ne, becomes approximately 500 or more. The reason for this will be described later. Note that the delay time T
d varies depending on the type of gas or the pressure of the chamber 10, but is usually 50 μsec to 1 msec.

【0059】負イオン密度Nnと電子密度Neとの比の
値であるNn/Neがほぼ500以上になる状態では、
プラズマ中の電子密度Neが十分に小さいと共にプラズ
マ中の負電荷に占める負イオンの割合が極めて大きいの
で、DCパルス電源18が正の電圧を試料台16に印加
したときには、試料台16に流入する電子電流が十分に
小さい。このため、負イオン電流が効果的に引き出され
るので、負イオンが被エッチング試料17に照射する。
その際、負イオンが被エッチング試料17に衝突するエ
ネルギーは、DCパルス電源18から試料台16に印加
されるバイアス電圧の大きさに比例するので、プロセス
制御性が高くなる。
In a state where the value of the ratio of the negative ion density Nn to the electron density Ne, ie, Nn / Ne is approximately 500 or more,
Since the electron density Ne in the plasma is sufficiently small and the ratio of the negative ions to the negative charge in the plasma is extremely large, when the DC pulse power supply 18 applies a positive voltage to the sample stage 16, the electron flows into the sample stage 16. The electron current is small enough. Thus, the negative ion current is effectively extracted, and the negative ions irradiate the sample 17 to be etched.
At this time, the energy with which the negative ions collide with the sample 17 to be etched is proportional to the magnitude of the bias voltage applied from the DC pulse power supply 18 to the sample stage 16, so that the process controllability is improved.

【0060】以下、遅延時間Tdを、負イオン密度Nn
と電子密度Neとの比の値であるNn/Neがほぼ50
0以上になる時間に設定する理由について説明する。
Hereinafter, the delay time Td is defined as the negative ion density Nn.
Nn / Ne, which is the value of the ratio between the electron density Ne and the
The reason for setting the time to be 0 or more will be described.

【0061】プラズマ中のドリフト電流は次のように表
わされる。すなわち、 電子電流Je Je=q×Ne×μe×E……(1) 正イオン電流Jp Jp=q×Np×μp×E……(2) 負イオン電流Jn Jn=q×Nn×μn×E……(3) ここで、qは電子の電荷であり、Neは電子の密度であ
り、Npは正イオンの密度であり、Nnは負イオンの密
度であり、μeは電子の移動度であり、μpは正イオン
の移動度であり、μnは負イオンの移動度であり、Eは
プラズマ中の電界である。
The drift current in the plasma is expressed as follows. That is, electron current Je Je = q × Ne × μe × E (1) positive ion current Jp Jp = q × Np × μp × E (2) negative ion current Jn Jn = q × Nn × μn × E (3) where q is an electron charge, Ne is an electron density, Np is a positive ion density, Nn is a negative ion density, and μe is an electron mobility. , Μp is the mobility of positive ions, μn is the mobility of negative ions, and E is the electric field in the plasma.

【0062】ところで、電子の移動度μeは、正イオン
の移動度μp及び負イオンの移動度μnの数百倍程度で
あって、正イオンの移動度μp及び負イオンの移動度μ
nに比べて遙かに大きな値である。また、正イオンの移
動度μpと負イオンの移動度μnとは、数倍程度の差異
はあるが同じオーダーである。このため、正イオンと同
程度の量の電子が存在する場合には、大部分の電流は電
子により運ばれる。
Incidentally, the electron mobility μe is about several hundred times the mobility of the positive ion and the mobility of the negative ion μn, and the mobility of the positive ion and the mobility of the negative ion are μp.
This value is much larger than n. In addition, the mobility μp of the positive ions and the mobility μn of the negative ions are in the same order, although the difference is about several times. Therefore, when the same amount of electrons as the positive ions are present, most of the current is carried by the electrons.

【0063】ところが、電子の量が減少して、電子電流
が正イオン電流と負イオン電流との合計よりも十分に小
さくなると、電子の影響は無視することができる。すな
わち、Nn/Ne≫μe/2μn……(4)の関係式が
成り立つときに電子の影響は無視することができる。
尚、(4)の関係式は、前記の式(1)、式(2)及び
式(3)と、μp=μn及びNp=Ne+Nnとから導
かれる。
However, if the amount of electrons decreases and the electron current becomes sufficiently smaller than the sum of the positive ion current and the negative ion current, the effect of the electrons can be neglected. That is, when the relational expression of Nn / Ne≫μe / 2 μn (4) holds, the effect of electrons can be ignored.
Note that the relational expression (4) is derived from the above expressions (1), (2) and (3), and μp = μn and Np = Ne + Nn.

【0064】(4)の関係式において、μe/μnはほ
ぼ100であるとすると共に、(Nn/Ne)が(μe
/2μn)のほぼ10倍以上になると電子の影響を無視
できるとすると、負イオン密度Nnと電子密度Neとの
比の値であるNn/Neがほぼ500以上になると、電
子の影響を無視できるようになる。このように、電子密
度Neが正イオン密度Np及び負イオン密度Nnに比べ
て圧倒的に小さいプラズマは、イオン・イオンプラズマ
とよばれる。
In the relational expression (4), it is assumed that μe / μn is substantially 100 and (Nn / Ne) is (μe
/ 2 μn), the effect of electrons can be neglected. If Nn / Ne, which is the value of the ratio of the negative ion density Nn to the electron density Ne, is almost 500 or more, the effect of electrons can be neglected. Become like The plasma in which the electron density Ne is much smaller than the positive ion density Np and the negative ion density Nn is called an ion-ion plasma.

【0065】尚、プラズマ発生用の高周波電力パルスの
デューティ比は50%未満であることが好ましい。その
理由は、プラズマ発生用の高周波電力パルスのデューテ
ィ比が50%以上になると、プラズマ発生用の高周波電
力のオン時間が長くなり、試料台がオン状態のプラズマ
に曝される時間が長くなって、プラズマ処理の結果が主
としてオン状態のプラズマに支配されてしまうことにな
る。本実施形態のようにイオン・イオンプラズマの特徴
を生かすには、デューティ比を50%未満にして、高周
波電力がオフである期間においてプラズマ処理する割合
を長くすることが好ましい。
It is preferable that the duty ratio of the high frequency power pulse for generating plasma is less than 50%. The reason is that when the duty ratio of the high-frequency power pulse for plasma generation becomes 50% or more, the on-time of the high-frequency power for plasma generation becomes longer, and the time during which the sample stage is exposed to the plasma in the on state becomes longer. In other words, the result of the plasma processing is mainly governed by the plasma in the ON state. In order to take advantage of the characteristics of the ion-ion plasma as in the present embodiment, it is preferable that the duty ratio is less than 50% and the ratio of the plasma processing is increased during the period when the high-frequency power is off.

【0066】以下、シリコン酸化膜の上に堆積されたリ
ンドープのポリシリコン膜に対して、次の条件でエッチ
ングを行なった実験結果について説明する。
The results of an experiment in which a phosphorus-doped polysilicon film deposited on a silicon oxide film is etched under the following conditions will be described below.

【0067】反応性ガス(エッチングガス)としては、
塩素ガスを標準状態で1分間当たり50ml導入すると
共に酸素ガスを標準状態で1分間当たり5ml導入し、
チャンバーの圧力は0.5Pa〜2Paに設定した。ま
た、高周波パルス電源14からコイル12に、13.5
6MHzの周波数を持つ高周波電力を、20μsecの
パルス幅及び1000Wの時間平均電力で印加すると共
に、DCパルス電源18から試料台16に、正の電圧を
400μsecのパルス幅で電圧値を変えて印加した。
また、高周波電力及び正の電圧のパルスの繰り返し周波
数は1kHzとし、遅延時間Tは500μsecに設定
した。
As the reactive gas (etching gas),
50 ml of chlorine gas are introduced per minute under standard conditions, and 5 ml of oxygen gas are introduced per minute under standard conditions.
The pressure in the chamber was set at 0.5 Pa to 2 Pa. Further, 13.5 is supplied from the high frequency pulse power supply 14 to the coil 12.
A high-frequency power having a frequency of 6 MHz was applied with a pulse width of 20 μsec and a time average power of 1000 W, and a positive voltage was applied from the DC pulse power supply 18 to the sample table 16 while changing the voltage value with a pulse width of 400 μsec. .
The repetition frequency of the high-frequency power and the positive voltage pulse was set to 1 kHz, and the delay time T was set to 500 μsec.

【0068】実験の結果、バイアス電圧の大きさを50
Vから150Vに変化させた場合、エッチングレートは
約200nm/minであって、シリコン酸化膜に対す
る選択比は約200から約10に大きく変化した。尚、
エッチング形状は異方性であった。
As a result of the experiment, the magnitude of the bias voltage was set to 50
When the voltage was changed from V to 150 V, the etching rate was about 200 nm / min, and the selectivity to the silicon oxide film changed from about 200 to about 10. still,
The etched shape was anisotropic.

【0069】また、3nmの厚さを持つシリコン酸化膜
からなるゲート絶縁膜の上にリンドープのポリシリコン
膜からなるゲート電極を形成したところ、チャージアッ
プに起因する絶縁膜破壊、ノッチ及び形状異常などは見
られなかった。
Further, when a gate electrode made of a phosphorus-doped polysilicon film is formed on a gate insulating film made of a silicon oxide film having a thickness of 3 nm, breakdown of the insulating film due to charge-up, notch and abnormal shape, etc. Was not seen.

【0070】ところで、第1の実施形態によると、チャ
ージアップが少なくなる理由は、プラズマ中の負イオン
の寄与が大きいと思われる。被エッチング試料に高エネ
ルギーの正イオン及び負イオンが入射する場合、入射イ
オンの電荷が被エッチング試料の表面に蓄積されるのに
加えて、イオンの入射に伴って被エッチング試料の表面
から放出される二次電子の効果は無視できない。正イオ
ンが入射する場合には、二次電子の放出は正電荷の蓄積
を増加させるように進行するが、負イオンが入射する場
合には、二次電子の放出は負電荷の蓄積を打ち消すよう
に働く。このため、チャージアップ現象が抑制されるの
である。
By the way, according to the first embodiment, the reason why the charge-up is reduced is considered to be that the contribution of negative ions in the plasma is large. When high-energy positive ions and negative ions are incident on the sample to be etched, in addition to the charge of the incident ions being accumulated on the surface of the sample to be etched, the charge is released from the surface of the sample to be etched with the incidence of ions. The effect of secondary electrons cannot be ignored. When positive ions are incident, secondary electron emission proceeds to increase the accumulation of positive charge, but when negative ions are incident, secondary electron emission seems to cancel the accumulation of negative charge. Work on. For this reason, the charge-up phenomenon is suppressed.

【0071】尚、第1の実施形態においては、塩素ガス
及び酸素ガスからなる反応性ガスを用いたが、反応性ガ
スとしては、負イオンを生成しやすいガス(電子負性ガ
ス)であればよく、例えば、水素原子若しくは水素化合
物を含むガス、ハロゲン元素若しくはハロゲン化合物を
含むガス、又は酸素原子若しくは酸素化合物を含むガス
などを用いることができる。
In the first embodiment, a reactive gas consisting of chlorine gas and oxygen gas is used. However, any reactive gas that can easily generate negative ions (electron negative gas) is used. For example, a gas containing a hydrogen atom or a hydrogen compound, a gas containing a halogen element or a halogen compound, a gas containing an oxygen atom or a oxygen compound, or the like can be used.

【0072】(第2の実施形態)以下、本発明の第2の
実施形態に係るプラズマ処理方法について図3を参照し
ながら説明する。
(Second Embodiment) Hereinafter, a plasma processing method according to a second embodiment of the present invention will be described with reference to FIG.

【0073】第2の実施形態は、第1の実施形態に比べ
て、バイアス用のDC電圧パルスの印加方法が異なるの
みであるから、以下の説明においては、バイアス用のD
C電圧パルスの印加方法についてのみ説明する。
The second embodiment differs from the first embodiment only in the method of applying a bias DC voltage pulse. Therefore, in the following description, the bias D
Only the method of applying the C voltage pulse will be described.

【0074】図3に示すように、DCバイアス電圧とし
ては、プラズマ発生用の高周波電力パルスがオフになっ
てから所定の遅延時間Tdが経過した後に、DCパルス
電源18から試料台16に正のバイアス電圧及び負のバ
イアス電圧が交互に印加される。また、正のバイアス電
圧の電圧値はVb pで且つパルス幅はWb pであり、負
のバイアス電圧の電圧値はVb nで且つパルス幅はWb
nである。
As shown in FIG. 3, the DC bias voltage is
The high-frequency power pulse for plasma generation is turned off.
After a predetermined delay time Td elapses, the DC pulse
A positive bias voltage and a negative
The bias voltage is applied alternately. Also, the positive bias voltage
The voltage value of the voltage is Vb p and the pulse width is Wb p and negative
Of the bias voltage of Vb n and the pulse width is Wb
n.

【0075】第2の実施形態によると、正のバイアス電
圧が印加されるときには負イオンが被エッチング試料1
7に引き込まれる一方、負のバイアス電圧が印加される
ときには正イオンが被エッチング試料17に引き込まれ
るため、被エッチング試料17の表面に蓄積される電荷
が打ち消されるので、チャージアップを低減することが
できる。この場合、正のバイアス電圧の電圧値Vb p及
びパルス幅Wb p並びに負のバイアス電圧の電圧値Vb
n及びパルス幅Wb nを最適化することにより、チャ
ージアップを極小にすることができる。
According to the second embodiment, the positive bias voltage
When pressure is applied, negative ions are
7 while a negative bias voltage is applied
Sometimes positive ions are drawn into the sample 17 to be etched.
Therefore, electric charges accumulated on the surface of the sample 17 to be etched
Can be reduced, reducing charge-up.
it can. In this case, the voltage value Vb of the positive bias voltage p and
And pulse width Wb p and the voltage value Vb of the negative bias voltage
n and pulse width Wb By optimizing n
Page-up can be minimized.

【0076】以下、シリコン酸化膜の上に堆積されたリ
ンドープのポリシリコン膜に対して、第1の実施形態に
比べてバイアス条件のみを変更して行なった実験結果に
ついて説明する。
Hereinafter, a description will be given of the results of an experiment performed on the phosphorus-doped polysilicon film deposited on the silicon oxide film while changing only the bias conditions as compared with the first embodiment.

【0077】正のバイアス電圧は、電圧値Vb pを15
0Vで且つパルス幅Wb pを200μsecに設定する
と共に、負のバイアス電圧は、電圧値Vb nを100V
で且つパルス幅Wb nを150μsecに設定した。ま
た、プラズマ発生用の高周波電力パルスの繰り返し周波
数は1kHzに設定すると共に、遅延時間Tdは正のバ
イアス電圧及び負のバイアス電圧のいずれについても5
00μsecに設定した。
The positive bias voltage has a voltage value Vb p to 15
0 V and pulse width Wb p is set to 200 μsec, and the negative bias voltage is set to the voltage value Vb. n to 100V
And the pulse width Wb n was set to 150 μsec. The repetition frequency of the high-frequency power pulse for plasma generation is set to 1 kHz, and the delay time Td is set to 5 for both the positive bias voltage and the negative bias voltage.
It was set to 00 μsec.

【0078】実験の結果、エッチングレートは約200
nm/minであり、シリコン酸化膜に対する選択比は
約100であって、いずれも良好であった。尚、エッチ
ング形状は異方性であった。
As a result of the experiment, the etching rate was about 200
nm / min, and the selectivity to the silicon oxide film was about 100, all of which were good. In addition, the etching shape was anisotropic.

【0079】また、2.6nmの厚さを持つシリコン酸
化膜からなるゲート絶縁膜の上にリンドープのポリシリ
コン膜からなるゲート電極を形成したところ、チャージ
アップに起因する絶縁膜破壊、ノッチ及び形状異常など
は見られなかった。
Further, when a gate electrode made of a phosphorus-doped polysilicon film was formed on a gate insulating film made of a silicon oxide film having a thickness of 2.6 nm, insulation film destruction, notch and shape caused by charge-up occurred. No abnormalities were found.

【0080】(第3の実施形態)以下、本発明の第3の
実施形態に係るプラズマ処理方法について図4を参照し
ながら説明する。
(Third Embodiment) Hereinafter, a plasma processing method according to a third embodiment of the present invention will be described with reference to FIG.

【0081】第3の実施形態は、第1の実施形態に比べ
て、バイアス用のDC電圧パルスの印加方法が異なるの
みであるから、以下の説明においては、バイアス用のD
C電圧パルスの印加方法についてのみ説明する。
The third embodiment is different from the first embodiment only in the method of applying a bias DC voltage pulse. Therefore, in the following description, the bias D
Only the method of applying the C voltage pulse will be described.

【0082】図4に示すように、DCバイアス電圧とし
ては、プラズマ発生用の高周波電力パルスがオフになっ
てから所定の遅延時間Tdが経過した後であって高周波
電力パルスがオフである期間に、DCパルス電源18か
ら試料台16に正のバイアス電圧及び負のバイアス電圧
がそれぞれ印加される。
As shown in FIG. 4, the DC bias voltage is set to a value after a predetermined delay time Td has elapsed since the high-frequency power pulse for plasma generation was turned off and the high-frequency power pulse was off. A positive bias voltage and a negative bias voltage are applied to the sample table 16 from the DC pulse power supply 18, respectively.

【0083】第3の実施形態によると、第2の実施形態
と同様、正のバイアス電圧が印加されるときには負イオ
ンが被エッチング試料17に引き込まれる一方、負のバ
イアス電圧が印加されるときには正イオンが被エッチン
グ試料17に引き込まれるため、被エッチング試料17
の表面に蓄積される電荷が打ち消されるので、チャージ
アップを低減することができる。
According to the third embodiment, similarly to the second embodiment, when a positive bias voltage is applied, negative ions are drawn into the sample 17 to be etched, while when a negative bias voltage is applied, positive ions are drawn. Since ions are drawn into the sample 17 to be etched, the sample 17
Since the charge accumulated on the surface of the substrate is canceled, charge-up can be reduced.

【0084】(第4の実施形態)以下、本発明の第4の
実施形態に係るプラズマ処理方法について図5を参照し
ながら説明する。
(Fourth Embodiment) Hereinafter, a plasma processing method according to a fourth embodiment of the present invention will be described with reference to FIG.

【0085】第4の実施形態は、第1の実施形態に比べ
て、バイアス用のDC電圧パルスの印加方法が異なるの
みであるから、以下の説明においては、バイアス用のD
C電圧パルスの印加方法についてのみ説明する。
The fourth embodiment differs from the first embodiment only in the method of applying a bias DC voltage pulse. Therefore, in the following description, the bias D
Only the method of applying the C voltage pulse will be described.

【0086】図5に示すように、DCバイアス電圧とし
ては、プラズマ発生用の高周波電力パルスがオフになっ
てから所定の遅延時間Tdが経過した後に、DCパルス
電源18から試料台16に対して、正のバイアス電圧、
正のバイアス電圧及び負のバイアス電圧が繰り返し印加
される。つまり、高周波電力パルスがオフである3つ周
期において、2回の正のバイアス電圧と1回の負のバイ
アス電圧とが印加される。
As shown in FIG. 5, the DC bias voltage is applied to the sample table 16 from the DC pulse power supply 18 after a predetermined delay time Td has elapsed since the high frequency power pulse for plasma generation was turned off. , Positive bias voltage,
A positive bias voltage and a negative bias voltage are repeatedly applied. That is, two positive bias voltages and one negative bias voltage are applied in three cycles in which the high-frequency power pulse is off.

【0087】第4の実施形態によると、第2の実施形態
と同様、正のバイアス電圧が印加されるときには負イオ
ンが被エッチング試料17に引き込まれる一方、負のバ
イアス電圧が印加されるときには正イオンが被エッチン
グ試料17に引き込まれるため、被エッチング試料17
の表面に蓄積される電荷が打ち消されるので、チャージ
アップを低減することができる。この場合、正のバイア
ス電圧の回数及び負のバイアス電圧の回数を最適化する
ことにより、正のバイアス電圧及び負のバイアス電圧の
電圧値及びパルス幅を変えることなく、チャージアップ
を極小にすることができる。
According to the fourth embodiment, similarly to the second embodiment, when a positive bias voltage is applied, negative ions are drawn into the sample 17 to be etched, while when a negative bias voltage is applied, positive ions are drawn. Since ions are drawn into the sample 17 to be etched, the sample 17
Since the charge accumulated on the surface of the substrate is canceled, charge-up can be reduced. In this case, by optimizing the number of times of the positive bias voltage and the number of times of the negative bias voltage, it is possible to minimize the charge-up without changing the voltage values and pulse widths of the positive bias voltage and the negative bias voltage. Can be.

【0088】(第5の実施形態)以下、本発明の第5の
実施形態に係るプラズマ処理方法及びプラズマ処理装置
について、図6、図7(a)、(b)及び図8を参照し
ながら説明する。
(Fifth Embodiment) Hereinafter, a plasma processing method and a plasma processing apparatus according to a fifth embodiment of the present invention will be described with reference to FIGS. 6, 7A, 7B and 8. explain.

【0089】図6は、第5の実施形態に係るプラズマ処
理装置(プラズマエッチング装置)の概略全体構成を示
しており、図6において、20は接地されており、内壁
がセラミック、テフロン又は石英等の絶縁物で覆われて
いると共に内部が真空に保持されるチャンバーである。
尚、チャンバー20は、内壁が絶縁物で覆われた構造に
代えて、石英等からなるインナーチャンバーを有する二
重構造であってもよい。また、チャンバー20には、該
チャンバー20内に反応性ガスを導入する周知のガス導
入手段が設けられているが、図示は省略している。
FIG. 6 shows a schematic overall configuration of a plasma processing apparatus (plasma etching apparatus) according to the fifth embodiment. In FIG. 6, reference numeral 20 denotes a grounding, and the inner wall is made of ceramic, Teflon, quartz or the like. Is a chamber that is covered with an insulating material and the inside is kept in a vacuum.
Note that the chamber 20 may have a double structure having an inner chamber made of quartz or the like instead of the structure in which the inner wall is covered with an insulator. The chamber 20 is provided with a well-known gas introducing means for introducing a reactive gas into the chamber 20, but is not shown.

【0090】図6に示すように、チャンバー20は、プ
ラズマを発生させるプラズマ発生室20aと、プラズマ
発生室20aで発生したプラズマを用いてプラズマ処理
を行なうプラズマ処理室20bと、プラズマ発生室20
aとプラズマ処理室20bとの間に設けられ、プラズマ
発生室20aで発生したプラズマをプラズマ処理室20
bに輸送するプラズマ輸送室20cとから構成されてい
る。
As shown in FIG. 6, the chamber 20 includes a plasma generation chamber 20a for generating plasma, a plasma processing chamber 20b for performing plasma processing using the plasma generated in the plasma generation chamber 20a, and a plasma generation chamber 20a.
a between the plasma processing chamber 20a and the plasma processing chamber 20b.
and a plasma transport chamber 20c for transporting the plasma to the chamber b.

【0091】チャンバー20のプラズマ発生室20aの
外側にはコイル21が設けられており、該コイル21の
一端は、インピーダンス整合回路22を介してプラズマ
発生用の第1の高周波電源23に接続されていると共に
コイル21の他端は接地されている。従って、第1の高
周波電源23からコイル21に高周波電力を印加する
と、誘導電磁界によりプラズマ発生室20aにおいて高
密度のプラズマが発生する。
A coil 21 is provided outside the plasma generation chamber 20 a of the chamber 20, and one end of the coil 21 is connected to a first high frequency power supply 23 for plasma generation via an impedance matching circuit 22. And the other end of the coil 21 is grounded. Therefore, when high-frequency power is applied to the coil 21 from the first high-frequency power supply 23, high-density plasma is generated in the plasma generation chamber 20a by the induction electromagnetic field.

【0092】チャンバー20のプラズマ処理室20bの
内部には、表面が絶縁性材料でコーティングされた金属
製の試料台24が設けられており、該試料台24の上に
は、表面にフォトレジストパターンが形成されている被
エッチング試料25が保持されている。
A metal sample stage 24 whose surface is coated with an insulating material is provided inside the plasma processing chamber 20b of the chamber 20, and a photoresist pattern is formed on the surface of the sample stage 24. The sample to be etched 25 on which is formed is held.

【0093】試料台24には、該試料台24にバイアス
電圧を印加するバイアス用の第2の高周波電源26が接
続されていると共に、該第2の高周波電源26は信号線
27を介して第1の高周波電源23に接続されている。
第1の高周波電源23には信号遅延回路が内蔵されてお
り、該信号遅延回路により生成される同期信号は信号線
27を介して第2の高周波電源26に出力することがで
きる。第2の高周波電源26は、信号遅延回路により生
成される同期信号に基づき、第1の高周波電源23から
コイル21に印加される高周波電力パルスに対して所定
時間遅れて同期する高周波電力パルスを試料台24に印
加することができる。尚、第1の高周波電源23からコ
イル21に高周波電力を連続的に印加すると共に、第2
の高周波電源26から試料台24に高周波電力を連続的
に印加する場合には、第1の高周波電源23は第2の高
周波電源26に同期信号を出力しない。
A second high-frequency power source 26 for applying a bias voltage to the sample stage 24 is connected to the sample stage 24, and the second high-frequency power source 26 is connected to the sample stage 24 via a signal line 27. 1 high-frequency power supply 23.
The first high-frequency power supply 23 has a built-in signal delay circuit, and a synchronization signal generated by the signal delay circuit can be output to the second high-frequency power supply 26 via a signal line 27. The second high-frequency power supply 26 samples a high-frequency power pulse synchronized with a high-frequency power pulse applied from the first high-frequency power supply 23 to the coil 21 with a predetermined time delay based on a synchronization signal generated by the signal delay circuit. It can be applied to the platform 24. The high-frequency power is continuously applied from the first high-frequency power supply 23 to the coil 21 and the second high-frequency power is
When high-frequency power is continuously applied from the high-frequency power supply 26 to the sample table 24, the first high-frequency power supply 23 does not output a synchronization signal to the second high-frequency power supply 26.

【0094】チャンバー20のプラズマ輸送室20cの
外側には、内部にほぼ平行な静磁場Bを生成するリング
状マグネット28A(図7(a)を参照)が設けられて
いる。このように、高周波放電によりプラズマ発生室2
0aで発生したプラズマがプラズマ処理室20bに輸送
される途中にリング状マグネット28Aが設けられてい
るため、プラズマ中の電子は、ローレンツ力の働きによ
り、リング状マグネット28Aが形成する静磁場を横切
ることができず、リング状マグネット28Aの内部領域
に滞留する。従って、プラズマ処理室20bのプラズマ
29は、正イオン及び負イオンのみからなるイオン・イ
オンプラズマとなる。
A ring-shaped magnet 28A (see FIG. 7 (a)) for generating a substantially parallel static magnetic field B is provided inside the plasma transport chamber 20c of the chamber 20 outside. In this way, the plasma generation chamber 2
Since the ring-shaped magnet 28A is provided during the transportation of the plasma generated at 0a to the plasma processing chamber 20b, the electrons in the plasma cross the static magnetic field formed by the ring-shaped magnet 28A due to the Lorentz force. And stays in the inner region of the ring-shaped magnet 28A. Therefore, the plasma 29 in the plasma processing chamber 20b is an ion-ion plasma including only positive ions and negative ions.

【0095】以上説明した、内部が真空状態に保持され
るプラズマ輸送室20cと、該プラズマ輸送室20cの
外側に設けられたリング状マグネット28Aとによっ
て、プラズマ発生室20aで発生したプラズマを、該プ
ラズマ中の電子密度を低減させながらプラズマ処理室2
0bに輸送するプラズマ輸送手段が構成されている。
The plasma generated in the plasma generation chamber 20a by the plasma transport chamber 20c whose inside is maintained in a vacuum state and the ring-shaped magnet 28A provided outside the plasma transport chamber 20c as described above. Plasma processing chamber 2 while reducing electron density in plasma
0b is provided.

【0096】尚、プラズマ輸送手段としては、内部が真
空状態に保持されるプラズマ輸送室20cと、該プラズ
マ輸送室20cの外側に設けられ、内部にほぼ平行な磁
場を形成する複数のヘルムホルツコイル28B(図7
(b)を参照)とから構成してもよい。
The plasma transport means includes a plasma transport chamber 20c whose interior is maintained in a vacuum state, and a plurality of Helmholtz coils 28B provided outside the plasma transport chamber 20c and forming a substantially parallel magnetic field therein. (FIG. 7
(See (b)).

【0097】この場合、図7(b)に示すように、複数
のヘルムホルツコイル28Bを同心円状に配置すると共
に、複数のヘルムホルツコイル28Bに位相が互いに異
なる電流を印加して、複数のヘルムホルツコイル28B
の内側に回転磁場を形成すると、プラズマは回転しなが
ら輸送されるので、プラズマ処理室20cにおけるプラ
ズマの均一性が向上する。
In this case, as shown in FIG. 7B, a plurality of Helmholtz coils 28B are arranged concentrically, and currents having phases different from each other are applied to the plurality of Helmholtz coils 28B.
When a rotating magnetic field is formed inside the plasma processing chamber, the plasma is transported while rotating, so that the uniformity of the plasma in the plasma processing chamber 20c is improved.

【0098】図8は、第5の実施形態に係るプラズマ処
理方法におけるプラズマ発生用高周波電力及びバイアス
用高周波電力の時間経過を示しており、プラズマ発生用
高周波電力としては周波数が13.56MHzである高
周波電力が連続して印加されると共に、バイアス用高周
波電力としては周波数が3MHzである高周波電力が連
続して印加される。
FIG. 8 shows the lapse of time of the high frequency power for plasma generation and the high frequency power for bias in the plasma processing method according to the fifth embodiment. The frequency of the high frequency power for plasma generation is 13.56 MHz. High-frequency power is continuously applied, and high-frequency power having a frequency of 3 MHz is continuously applied as bias high-frequency power.

【0099】第5の実施形態においては、プラズマ発生
室20aとプラズマ処理室20bとの間に、プラズマ発
生室20aで発生したプラズマを、該プラズマ中の電子
密度を低減させながらプラズマ処理室20bに輸送する
プラズマ輸送手段が設けられているため、プラズマ処理
室20bには電子が殆ど到達しないので、プラズマ処理
室20bのプラズマは、イオン・イオンプラズマとして
の性質を有する。
In the fifth embodiment, the plasma generated in the plasma generation chamber 20a is transferred between the plasma generation chamber 20a and the plasma processing chamber 20b while reducing the electron density in the plasma. Since the plasma transport means for transport is provided, almost no electrons reach the plasma processing chamber 20b, so that the plasma in the plasma processing chamber 20b has the property of ion-ion plasma.

【0100】ところで、試料台24に印加するバイアス
用高周波電力の周波数は、イオンプラズマ周波数のほぼ
0.5倍からほぼ2倍の範囲内に設定することが好まし
い。
It is preferable that the frequency of the bias high-frequency power applied to the sample stage 24 be set within a range of about 0.5 to about 2 times the ion plasma frequency.

【0101】イオンプラズマ周波数fpiは、fpi=(q
2・n/ε0・M)1/2/(2π)で表わされる。ここ
で、qは単位電荷であり、nはプラズマ密度であり、ε
0 は真空の誘電率であり、Mはイオンの質量である。
尚、Arイオンのイオンプラズマ周波数fpiは、プラズ
マ密度が1010cm-3のときに3MHz程度である。
The ion plasma frequency fpi is given by fpi = (q
2 · n / ε 0 · M) 1/2 / (2π). Where q is unit charge, n is plasma density, and ε
0 is the vacuum permittivity and M is the mass of the ion.
The ion plasma frequency fpi of Ar ions is about 3 MHz when the plasma density is 10 10 cm −3 .

【0102】バイアス用高周波電力の周波数をイオンプ
ラズマ周波数のほぼ0.5倍〜ほぼ2倍の範囲内に設定
する理由は次の通りである。すなわち、バイアス用高周
波電力の周波数がイオンプラズマ周波数のほぼ0.5倍
よりも低い場合には、イオンが電界に追従するため、バ
イアスを印加しない場合と同様の拡散で決まるフラック
スのイオンしか試料台24に照射されず、バイアス用高
周波電力の周波数がイオンプラズマ周波数のほぼ2倍よ
りも高い場合には、イオンが電界に追従できないため、
やはりバイアスを印加しない場合と同様の拡散で決まる
フラックスのイオンしか試料台24に照射されない。こ
れに対して、バイアス用高周波電力の周波数をイオンプ
ラズマ周波数のほぼ0.5倍〜ほぼ2倍の範囲内に設定
すると、電界のプラズマ中への浸透が大きくなるため、
試料台24に流入するイオンフラックスが増大し、これ
によって、プラズマ処理のレートが大きくなる。
The reason for setting the frequency of the bias high-frequency power within a range of approximately 0.5 to approximately 2 times the ion plasma frequency is as follows. That is, when the frequency of the high frequency power for bias is lower than approximately 0.5 times the frequency of the ion plasma, the ions follow the electric field. If the frequency of the bias high frequency power is higher than approximately twice the ion plasma frequency, the ions cannot follow the electric field,
Again, only the ions of the flux determined by the same diffusion as in the case where no bias is applied are irradiated to the sample stage 24. On the other hand, when the frequency of the high frequency power for bias is set within a range of approximately 0.5 to approximately 2 times the frequency of the ion plasma, the penetration of the electric field into the plasma increases.
The ion flux flowing into the sample stage 24 increases, thereby increasing the plasma processing rate.

【0103】以下、シリコン酸化膜の上に堆積されたリ
ンドープのポリシリコン膜に対して、次の条件でエッチ
ングを行なった実験結果について説明する。
Hereinafter, a description will be given of experimental results obtained by etching a phosphorus-doped polysilicon film deposited on a silicon oxide film under the following conditions.

【0104】反応性ガス(エッチングガス)としては、
HBrガスを標準状態で1分間当たり50ml導入する
と共に酸素ガスを標準状態で1分間当たり5ml導入
し、チャンバーの圧力は3Paに設定した。また、第1
の高周波電源23からコイル21に500Wのプラズマ
発生用高周波電力を印加すると共に、第2の高周波電源
26から試料台24に電圧振幅100Vp-p のバイアス
用高周波電力を印加した。
As the reactive gas (etching gas),
HBr gas was introduced at 50 ml per minute under standard conditions, and oxygen gas was introduced at 5 ml per minute under standard conditions, and the pressure in the chamber was set at 3 Pa. Also, the first
The high frequency power for plasma generation of 500 W was applied from the high frequency power supply 23 to the coil 21, and the high frequency power for bias having a voltage amplitude of 100 V pp was applied to the sample stage 24 from the second high frequency power supply 26.

【0105】実験の結果、エッチングレートは約250
nm/minであり、シリコン酸化膜に対する選択比は
約150以上であって、いずれも良好であった。尚、エ
ッチング形状は異方性であった。
As a result of the experiment, the etching rate was about 250
nm / min, and the selectivity to the silicon oxide film was about 150 or more. In addition, the etching shape was anisotropic.

【0106】また、2.6nmの厚さを持つシリコン酸
化膜からなるゲート絶縁膜の上にリンドープのポリシリ
コン膜からなるゲート電極を形成したところ、チャージ
アップに起因する絶縁膜破壊、ノッチ及び形状異常など
は見られなかった。
When a gate electrode made of a phosphorus-doped polysilicon film was formed on a gate insulating film made of a silicon oxide film having a thickness of 2.6 nm, the insulating film was broken, notched, and shaped due to charge-up. No abnormalities were found.

【0107】尚、HBrガスは、負イオンを生成しやす
いHとハロゲン元素であるBrとからなり、また酸素ガ
スも負イオンを生成しやすいガスであるため、HBrガ
ス及び酸素ガスを含む反応性ガスは負イオンを生成しや
すい。
The HBr gas is composed of H which easily generates negative ions and Br which is a halogen element. Since the oxygen gas is also a gas which easily generates negative ions, the reactive gas containing HBr gas and oxygen gas is used. Gases tend to produce negative ions.

【0108】(第6の実施形態)以下、本発明の第6の
実施形態に係るプラズマ処理方法について図9を参照し
ながら説明する。
(Sixth Embodiment) Hereinafter, a plasma processing method according to a sixth embodiment of the present invention will be described with reference to FIG.

【0109】第6の実施形態は、第5の実施形態に比べ
て、プラズマ発生用及びバイアス用の高周波電力の印加
方法が異なるのみであるから、以下の説明においては、
プラズマ発生用及びびバイアス用の高周波電力の印加方
法についてのみ説明する。
The sixth embodiment differs from the fifth embodiment only in the method of applying the high-frequency power for plasma generation and for bias, and therefore the following description will be made in the following description.
Only the method of applying high frequency power for plasma generation and bias will be described.

【0110】図9は、第6の実施形態に係るプラズマ処
理方法におけるプラズマ発生用高周波電力及びバイアス
用高周波電力の時間経過を示しており、プラズマ発生用
高周波電力としては周波数が13.56MHzである高
周波電力パルスが20μsecのパルス幅及び500W
の時間平均電力で印加すると共に、バイアス用高周波電
力としては周波数が3MHzである高周波電力パルスを
400μsecのパルス幅及び200Vp-p の電圧振幅
が連続して印加する。また、プラズマ発生用高周波電力
の繰り返し周波数は1kHzとし、遅延時間Tdは50
0μsecに設定した。
FIG. 9 shows the lapse of time of the high frequency power for plasma generation and the high frequency power for bias in the plasma processing method according to the sixth embodiment. The frequency of the high frequency power for plasma generation is 13.56 MHz. The high-frequency power pulse has a pulse width of 20 μsec and 500 W
And a high-frequency power pulse having a frequency of 3 MHz is continuously applied with a pulse width of 400 μsec and a voltage amplitude of 200 V pp . The repetition frequency of the high frequency power for plasma generation is 1 kHz, and the delay time Td is 50 kHz.
It was set to 0 μsec.

【0111】以下、シリコン酸化膜の上に堆積されたリ
ンドープのポリシリコン膜に対して、次の条件でエッチ
ングを行なった実験結果について説明する。反応性ガス
の種類及び流量は第5の実施形態と同様であり、プラズ
マ発生用高周波電力及びバイアス用高周波電力の印加条
件は前述の通りである。
Hereinafter, a description will be given of experimental results obtained by etching a phosphorus-doped polysilicon film deposited on a silicon oxide film under the following conditions. The type and flow rate of the reactive gas are the same as in the fifth embodiment, and the conditions for applying the high frequency power for plasma generation and the high frequency power for bias are as described above.

【0112】実験の結果、エッチングレートは約130
nm/minであり、シリコン酸化膜に対する選択比は
150程度であって、いずれも良好であった。尚、エッ
チング形状は異方性であった。
As a result of the experiment, the etching rate was about 130
nm / min, and the selectivity to the silicon oxide film was about 150, all of which were good. In addition, the etching shape was anisotropic.

【0113】また、2.6nmの厚さを持つシリコン酸
化膜からなるゲート絶縁膜の上にリンドープのポリシリ
コン膜からなるゲート電極を形成したところ、チャージ
アップに起因する絶縁膜破壊、ノッチ及び形状異常など
は見られなかった。
Further, when a gate electrode made of a phosphorus-doped polysilicon film was formed on a gate insulating film made of a silicon oxide film having a thickness of 2.6 nm, insulation film destruction, notch and shape caused by charge-up occurred. No abnormalities were found.

【0114】さらに、エッチングレートのパターン寸法
依存性つまりマイクロローディング効果は殆ど発生せ
ず、0.20μmの開口径を持つホールのエッチングレ
ートは、数百μmの開口径を持つホールのエッチングレ
ートの95%以上であった。
Further, the pattern size dependence of the etching rate, that is, the microloading effect hardly occurs, and the etching rate of a hole having an opening diameter of 0.20 μm is 95% of the etching rate of a hole having an opening diameter of several hundred μm. % Or more.

【0115】(第7の実施形態)以下、本発明の第7の
実施形態に係るプラズマ処理方法及びプラズマ処理装置
について、図10を参照しながら説明する。
(Seventh Embodiment) Hereinafter, a plasma processing method and a plasma processing apparatus according to a seventh embodiment of the present invention will be described with reference to FIG.

【0116】図10は、第5の実施形態に係るプラズマ
処理装置(プラズマCVD装置)の概略全体構成を示し
ており、図10において、30は接地されており、内壁
がセラミック、テフロン又は石英等の絶縁物で覆われて
いると共に内部が真空に保持されるチャンバーである。
尚、チャンバー30は、内壁が絶縁物で覆われた構造に
代えて、石英等からなるインナーチャンバーを有する二
重構造であってもよい。また、チャンバー30には、該
チャンバー30内に反応性ガスを導入する周知のガス導
入手段が設けられているが、図示は省略している。
FIG. 10 shows a schematic overall configuration of a plasma processing apparatus (plasma CVD apparatus) according to the fifth embodiment. In FIG. 10, reference numeral 30 is grounded, and the inner wall is made of ceramic, Teflon, quartz or the like. Is a chamber that is covered with an insulating material and the inside is kept in a vacuum.
Note that the chamber 30 may have a double structure having an inner chamber made of quartz or the like instead of a structure in which the inner wall is covered with an insulator. The chamber 30 is provided with a well-known gas introducing unit for introducing a reactive gas into the chamber 30, but is not shown.

【0117】図10に示すように、チャンバー30は、
プラズマを発生させるプラズマ発生室30aと、プラズ
マ発生室30aで発生したプラズマを用いてプラズマ処
理を行なうプラズマ処理室30bと、プラズマ発生室3
0aとプラズマ処理室30bとの間に設けられ、プラズ
マ発生室30aで発生したプラズマをプラズマ処理室3
0bに輸送するプラズマ輸送室30cとから構成されて
いる。
As shown in FIG. 10, the chamber 30
A plasma generation chamber 30a for generating plasma, a plasma processing chamber 30b for performing plasma processing using plasma generated in the plasma generation chamber 30a, and a plasma generation chamber 3
0a and the plasma processing chamber 30b, the plasma generated in the plasma generation chamber 30a is
0b to a plasma transport chamber 30c.

【0118】チャンバー30のプラズマ発生室30aの
外側にはコイル31が設けられており、該コイル31の
一端は、インピーダンス整合回路32を介してプラズマ
発生用の第1の高周波電源33に接続されていると共に
コイル31の他端は接地されている。従って、第1の高
周波電源33からコイル31に高周波電力を印加する
と、誘導電磁界によりプラズマ発生室30aにおいて高
密度のプラズマが発生する。
A coil 31 is provided outside the plasma generation chamber 30a of the chamber 30. One end of the coil 31 is connected to a first high-frequency power supply 33 for plasma generation via an impedance matching circuit 32. And the other end of the coil 31 is grounded. Therefore, when high-frequency power is applied to the coil 31 from the first high-frequency power supply 33, high-density plasma is generated in the plasma generation chamber 30a by the induced electromagnetic field.

【0119】チャンバー30のプラズマ処理室30bの
内部には、表面が絶縁性材料でコーティングされた金属
製の試料台34が設けられており、該試料台34の上に
は、表面に絶縁膜が形成されている被エッチング試料3
5が保持されている。
A metal sample stage 34 whose surface is coated with an insulating material is provided inside the plasma processing chamber 30b of the chamber 30, and an insulating film is formed on the surface of the sample stage 34. Sample 3 to be etched
5 are held.

【0120】試料台34には、該試料台34にバイアス
電圧を印加するバイアス用の第2の高周波電源36が接
続されていると共に、該第2の高周波電源36は信号線
37を介して第1の高周波電源33に接続されている。
第1の高周波電源33には信号遅延回路が内蔵されてお
り、該信号遅延回路により生成される同期信号は信号線
37を介して第2の高周波電源36に出力することがで
きる。第2の高周波電源36は、信号遅延回路により生
成される同期信号に基づき、第1の高周波電源33から
コイル31に印加される高周波電力パルスに対して所定
時間遅れて同期する高周波電力パルスを試料台34に印
加することができる。尚、第1の高周波電源33からコ
イル31に高周波電力を連続的に印加すると共に、第2
の高周波電源36から試料台34に高周波電力を連続的
に印加する場合には、第1の高周波電源33は第2の高
周波電源36に同期信号を出力しない。
A second high-frequency power supply 36 for applying a bias voltage to the sample stage 34 is connected to the sample stage 34, and the second high-frequency power source 36 is connected to the second high-frequency power source 36 via a signal line 37. 1 high-frequency power supply 33.
The first high-frequency power supply 33 has a built-in signal delay circuit, and a synchronization signal generated by the signal delay circuit can be output to a second high-frequency power supply 36 via a signal line 37. The second high-frequency power supply 36 samples a high-frequency power pulse synchronized with a high-frequency power pulse applied from the first high-frequency power supply 33 to the coil 31 with a predetermined time delay based on a synchronization signal generated by the signal delay circuit. It can be applied to the platform 34. The high-frequency power is continuously applied from the first high-frequency power supply 33 to the coil 31 and the second high-frequency power is
When high-frequency power is continuously applied from the high-frequency power supply 36 to the sample table 34, the first high-frequency power supply 33 does not output a synchronization signal to the second high-frequency power supply 36.

【0121】チャンバー30のプラズマ輸送室30cの
外側には、内部にほぼ平行な静磁場Bを生成するリング
状マグネット38Aが設けられている。このように、高
周波放電によりプラズマ発生室30aで発生したプラズ
マがプラズマ処理室30bに輸送される途中にリング状
マグネット38Aが設けられているため、プラズマ処理
室30bのプラズマ39は、正イオン及び負イオンのみ
からなるイオン・イオンプラズマとなる。
Outside the plasma transport chamber 30c of the chamber 30, a ring-shaped magnet 38A for generating a substantially parallel static magnetic field B is provided inside. As described above, since the ring-shaped magnet 38A is provided during the transportation of the plasma generated in the plasma generation chamber 30a by the high-frequency discharge to the plasma processing chamber 30b, the plasma 39 in the plasma processing chamber 30b has positive ions and negative ions. An ion-ion plasma consisting of only ions is obtained.

【0122】以上説明した、内部が真空状態に保持され
るプラズマ輸送室30cと、該プラズマ輸送室30cの
外側に設けられたリング状マグネット38Aとによっ
て、プラズマ発生室30aで発生したプラズマを、該プ
ラズマ中の電子密度を低減させながらプラズマ処理室3
0bに輸送するプラズマ輸送手段が構成されている。
尚、リング状マグネット38Aに代えて、内部にほぼ平
行な磁場を形成する複数のヘルムホルツコイルを用いて
もよい。
The plasma generated in the plasma generation chamber 30a by the plasma transport chamber 30c whose inside is maintained in a vacuum state and the ring-shaped magnet 38A provided outside the plasma transport chamber 30c as described above. Plasma processing chamber 3 while reducing the electron density in the plasma
0b is provided.
Note that, instead of the ring-shaped magnet 38A, a plurality of Helmholtz coils that form a substantially parallel magnetic field inside may be used.

【0123】以下、シリコン基板上に次の条件で膜堆積
を行なった実験結果について説明する。
Hereinafter, the results of an experiment in which a film is deposited on a silicon substrate under the following conditions will be described.

【0124】反応性ガス(膜堆積用ガス)としては、S
iH4 ガスを標準状態で1分間当たり200ml導入す
ると共にNH3 ガスを標準状態で1分間当たり50ml
導入し、チャンバーの圧力は30Paに設定すると共に
試料台34の温度は350℃〜450℃に設定した。ま
た、第1の高周波電源33からコイル31に周波数が1
3.56MHzである高周波電力を500Wの電力で連
続的に印加すると共に、バイアス用高周波電力としては
周波数が2.0MHzである高周波電力を100Vp-p
の〜300Vp-pの電圧振幅で連続して印加した。
As the reactive gas (film deposition gas), S
200 ml of iH 4 gas is introduced per minute under standard conditions, and NH 3 gas is introduced at 50 ml per minute under standard conditions.
The pressure of the chamber was set to 30 Pa, and the temperature of the sample stage 34 was set to 350 ° C. to 450 ° C. When the frequency is 1 from the first high-frequency power supply 33 to the coil 31.
A high frequency power of 3.56 MHz is continuously applied at a power of 500 W, and a high frequency power of a frequency of 2.0 MHz is applied as 100 V pp as high frequency power for bias.
Was applied continuously at a voltage amplitude of ~ 300 V pp .

【0125】実験の結果、シリコン窒化膜の膜堆積レー
トは約50nm/minであった。また、シリコン窒化
膜の上にアルミニウム膜を堆積した後、アルミニウム膜
及びシリコン窒化膜をパターニングして、2.6nmの
膜厚を持つゲート絶縁膜の上にゲート電極を形成したと
ころ、チャージアップによる絶縁膜破壊等の異常は見ら
れなかった。
As a result of the experiment, the film deposition rate of the silicon nitride film was about 50 nm / min. After an aluminum film is deposited on the silicon nitride film, the aluminum film and the silicon nitride film are patterned to form a gate electrode on a gate insulating film having a thickness of 2.6 nm. No abnormality such as insulation film breakdown was observed.

【0126】[0126]

【発明の効果】第1のプラズマ処理方法によると、プラ
ズマ中の電子密度が十分に小さいと共にプラズマ中の負
電荷に占める負イオンの割合が極めて大きいため、負イ
オンを効果的に試料に照射することができると共に、試
料台に印加されるバイアス電圧の大きさを調整すること
により、負イオンが試料に衝突するエネルギーを制御し
てプラズマ処理のプロセスを制御することができる。
According to the first plasma processing method, since the electron density in the plasma is sufficiently small and the ratio of the negative ions to the negative charges in the plasma is extremely large, the sample is effectively irradiated with the negative ions. By adjusting the magnitude of the bias voltage applied to the sample stage, the energy of the negative ions colliding with the sample can be controlled to control the plasma processing process.

【0127】第2又は第3のプラズマ処理方法による
と、プラズマ中の負イオンを主として用いるプラズマ処
理を行なうことができるため、選択性の高いプラズマ処
理を高い処理レートで行なうことができると共にチャー
ジアップの問題は殆ど発生しない。
According to the second or third plasma processing method, plasma processing using mainly negative ions in plasma can be performed, so that highly selective plasma processing can be performed at a high processing rate and charge-up can be performed. The problem described above hardly occurs.

【0128】本発明に係るプラズマ処理装置によると、
プラズマ発生室で発生したプラズマを、該プラズマ中の
電子密度を低減させながらプラズマ処理室に輸送するプ
ラズマ輸送手段を備えているため、電子密度が低減した
プラズマを用いてプラズマ処理を行なうことができるの
で、プラズマ中の負イオンを主として用いるプラズマ処
理を簡易且つ確実に行なうことができる。
According to the plasma processing apparatus of the present invention,
Since plasma generation means for transporting plasma generated in the plasma generation chamber to the plasma processing chamber while reducing the electron density in the plasma is provided, plasma processing can be performed using plasma with reduced electron density. Therefore, the plasma processing mainly using the negative ions in the plasma can be easily and reliably performed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第1の実施形態に係るプラズマ処理装置(プラ
ズマエッチング装置)の概略断面図である。
FIG. 1 is a schematic sectional view of a plasma processing apparatus (plasma etching apparatus) according to a first embodiment.

【図2】第1の実施形態に係るプラズマ処理方法におけ
る各種パラメータの時間変化を示す図である。
FIG. 2 is a diagram showing a time change of various parameters in the plasma processing method according to the first embodiment.

【図3】第2の実施形態に係るプラズマ処理方法におい
て、バイアス用DC電圧を印加するタイミングを示す図
である。
FIG. 3 is a diagram showing a timing of applying a bias DC voltage in a plasma processing method according to a second embodiment.

【図4】第3の実施形態に係るプラズマ処理方法におい
て、バイアス用DC電圧を印加するタイミングを示す図
である。
FIG. 4 is a diagram showing a timing of applying a bias DC voltage in a plasma processing method according to a third embodiment.

【図5】第4の実施形態に係るプラズマ処理方法におい
て、バイアス用DC電圧を印加するタイミングを示す図
である。
FIG. 5 is a diagram showing a timing of applying a bias DC voltage in a plasma processing method according to a fourth embodiment.

【図6】第5の実施形態に係るプラズマ処理装置(プラ
ズマエッチング装置)の概略断面図である。
FIG. 6 is a schematic sectional view of a plasma processing apparatus (plasma etching apparatus) according to a fifth embodiment.

【図7】(a)は第5の実施形態に係るプラズマ処理装
置を構成するリング状マグネットの斜視図であり、
(b)は第5の実施形態に係るプラズマ処理装置を構成
するヘルムホルツコイルの斜視図である。
FIG. 7A is a perspective view of a ring-shaped magnet included in a plasma processing apparatus according to a fifth embodiment,
(B) is a perspective view of a Helmholtz coil included in the plasma processing apparatus according to the fifth embodiment.

【図8】第5の実施形態に係るプラズマ処理方法におい
て、プラズマ発生用高周波電力及びバイアス用高周波電
力の時間経過を示す図である。
FIG. 8 is a diagram showing a time course of high-frequency power for plasma generation and high-frequency power for bias in a plasma processing method according to a fifth embodiment.

【図9】第6の実施形態に係るプラズマ処理方法におい
て、バイアス用高周波電力パルスを印加するタイミング
を示す図である。
FIG. 9 is a diagram showing the timing of applying a bias high-frequency power pulse in the plasma processing method according to the sixth embodiment.

【図10】第7の実施形態に係るプラズマ処理装置(プ
ラズマCVD装置)の概略断面図である。
FIG. 10 is a schematic sectional view of a plasma processing apparatus (plasma CVD apparatus) according to a seventh embodiment.

【符号の説明】[Explanation of symbols]

10 チャンバー 11 誘電体板 12 コイル 13 インピーダンス 14 高周波パルス電源 15 プラズマ 16 試料台 17 被エッチング試料 18 DCパルス電源 19 信号線 20 チャンバー 20a プラズマ発生室 20b プラズマ処理室 20c プラズマ輸送室 21 コイル 22 インピーダンス整合回路 23 第1の高周波電源 24 試料台 25 被エッチング試料 26 第2の高周波電源 27 信号線 28A リング状マグネット 28B ヘルムホルツコイル 29 プラズマ 30 チャンバー 30a プラズマ発生室 30b プラズマ処理室 320c プラズマ輸送室 31 コイル 32 インピーダンス整合回路 33 第1の高周波電源 34 試料台 35 被エッチング試料 36 第2の高周波電源 37 信号線 38A リング状マグネット 39 プラズマ Reference Signs List 10 chamber 11 dielectric plate 12 coil 13 impedance 14 high-frequency pulse power supply 15 plasma 16 sample table 17 sample to be etched 18 DC pulse power supply 19 signal line 20 chamber 20a plasma generation chamber 20b plasma processing chamber 20c plasma transport chamber 21 coil 22 impedance matching circuit Reference Signs List 23 first high frequency power supply 24 sample stage 25 sample to be etched 26 second high frequency power supply 27 signal line 28A ring magnet 28B Helmholtz coil 29 plasma 30 chamber 30a plasma generation chamber 30b plasma processing chamber 320c plasma transport chamber 31 coil 32 impedance matching Circuit 33 First high frequency power supply 34 Sample table 35 Sample to be etched 36 Second high frequency power supply 37 Signal line 38A Ring magnet 39 Plastic Zuma

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4G075 AA24 BC02 BC04 BC06 CA14 CA15 CA25 CA47 DA02 DA05 EB01 EB41 EC06 EC10 EE02 FB06 FB12 FC15 4K030 AA06 AA13 BA40 CA04 FA04 JA11 JA17 JA18 KA20 KA30 KA34 LA15 5F004 AA02 AA16 BA03 BA09 BA20 BB13 BC08 DA04 DA26 DB02 DB03 5F045 AA08 AB33 AC01 AC11 AC12 AD07 AD08 AE19 AF03 EH03 EH11 EH18 EH20  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 4G075 AA24 BC02 BC04 BC06 CA14 CA15 CA25 CA47 DA02 DA05 EB01 EB41 EC06 EC10 EE02 FB06 FB12 FC15 4K030 AA06 AA13 BA40 CA04 FA04 JA11 JA17 JA18 KA20 KA30 KA34 KA34 LA15 5A004 A03 BB13 BC08 DA04 DA26 DB02 DB03 5F045 AA08 AB33 AC01 AC11 AC12 AD07 AD08 AE19 AF03 EH03 EH11 EH18 EH20

Claims (18)

【特許請求の範囲】[Claims] 【請求項1】 負イオン密度Nnと電子密度Neとの比
の値であるNn/Neがほぼ500以上であるプラズマ
中において、試料台にバイアス電圧を印加してプラズマ
処理を行なうことを特徴とするプラズマ処理方法。
In a plasma in which Nn / Ne, which is a value of a ratio of a negative ion density Nn to an electron density Ne, is approximately 500 or more, plasma processing is performed by applying a bias voltage to a sample stage. Plasma processing method.
【請求項2】 前記バイアス電圧は正電圧であることを
特徴とする請求項1に記載のプラズマ処理方法。
2. The plasma processing method according to claim 1, wherein the bias voltage is a positive voltage.
【請求項3】 前記バイアス電圧は正電圧と負電圧との
パルス電圧であることを特徴とする請求項1に記載のプ
ラズマ処理方法。
3. The plasma processing method according to claim 1, wherein the bias voltage is a pulse voltage of a positive voltage and a negative voltage.
【請求項4】 前記パルス電圧の正電圧及び負電圧の各
パルス幅を調整する工程を備えていることを特徴とする
請求項3に記載のプラズマ処理方法。
4. The plasma processing method according to claim 3, further comprising the step of adjusting each pulse width of the positive voltage and the negative voltage of the pulse voltage.
【請求項5】 前記バイアス電圧として、イオンプラズ
マ周波数のほぼ0.5倍からほぼ2倍の周波数を持つ高
周波電力を前記試料台に印加する工程を備えていること
を特徴とする請求項1に記載のプラズマ処理方法。
5. The method according to claim 1, further comprising the step of applying a high-frequency power having a frequency of about 0.5 to about twice the ion plasma frequency to the sample stage as the bias voltage. The plasma processing method as described above.
【請求項6】 前記プラズマは、水素原子又は水素化合
物を含むガスから生成されることを特徴とする請求項1
に記載のプラズマ処理方法。
6. The method according to claim 1, wherein the plasma is generated from a gas containing hydrogen atoms or hydrogen compounds.
4. The plasma processing method according to 1.
【請求項7】 前記プラズマは、ハロゲン元素又はハロ
ゲン化合物を含むガスから生成されることを特徴とする
請求項1に記載のプラズマ処理方法。
7. The plasma processing method according to claim 1, wherein the plasma is generated from a gas containing a halogen element or a halogen compound.
【請求項8】 前記プラズマは、酸素原子又は酸素化合
物を含むガスから生成されることを特徴とする請求項1
に記載のプラズマ処理方法。
8. The plasma processing apparatus according to claim 1, wherein the plasma is generated from a gas containing oxygen atoms or oxygen compounds.
4. The plasma processing method according to 1.
【請求項9】 高周波電力パルスにより負イオンを含む
プラズマを発生させる工程と、前記高周波電力がオフで
ある期間に試料台にバイアス電圧を印加して前記プラズ
マ中の負イオンを主として用いるプラズマ処理を行なう
工程とを備えていることを特徴とするプラズマ処理方
法。
9. A step of generating plasma containing negative ions by a high-frequency power pulse, and performing a plasma treatment mainly using negative ions in the plasma by applying a bias voltage to a sample stage while the high-frequency power is off. Performing a plasma processing method.
【請求項10】 前記プラズマ処理を行なう工程は、前
記高周波電力がオフになって、前記プラズマ中における
負イオン密度Nnと電子密度Neとの比の値であるNn
/Neがほぼ500以上になった状態で、前記バイアス
電圧を前記試料台に印加する工程を含むことを特徴とす
る請求項9に記載のプラズマ処理方法。
10. The step of performing the plasma processing, wherein the high-frequency power is turned off and the value of the ratio of the negative ion density Nn to the electron density Ne in the plasma is Nn.
10. The plasma processing method according to claim 9, further comprising a step of applying the bias voltage to the sample stage when / Ne is approximately 500 or more.
【請求項11】 前記高周波電力パルスのデューティ比
は50%未満であることを特徴とする請求項9に記載の
プラズマ処理方法。
11. The plasma processing method according to claim 9, wherein a duty ratio of the high frequency power pulse is less than 50%.
【請求項12】 前記プラズマ処理を行なう工程は、前
記高周波電力パルスに対して所定時間遅れて同期する前
記バイアス電圧を印加する工程を含むことを特徴とする
請求項9に記載のプラズマ処理方法。
12. The plasma processing method according to claim 9, wherein the step of performing the plasma processing includes a step of applying the bias voltage synchronized with the high-frequency power pulse with a delay of a predetermined time.
【請求項13】 高周波電力により負イオンを含むプラ
ズマを発生させる工程と、発生したプラズマ中の電子密
度を低減させる工程と、電子密度が低減したプラズマを
用いてプラズマ処理を行なう工程とを備えていることを
特徴とするプラズマ処理方法。
13. A method comprising: generating a plasma containing negative ions by high-frequency power; reducing an electron density in the generated plasma; and performing a plasma process using the plasma with a reduced electron density. A plasma processing method.
【請求項14】 プラズマを発生させるプラズマ発生室
と、前記プラズマ発生室で発生したプラズマを用いてプ
ラズマ処理を行なうプラズマ処理室と、前記プラズマ発
生室と前記プラズマ処理室との間に設けられ、前記プラ
ズマ発生室で発生したプラズマを、該プラズマ中の電子
密度を低減させながら前記プラズマ処理室に輸送するプ
ラズマ輸送手段とを備えていることを特徴とするプラズ
マ処理装置。
14. A plasma generation chamber for generating plasma, a plasma processing chamber for performing plasma processing using plasma generated in the plasma generation chamber, and a plasma processing chamber provided between the plasma generation chamber and the plasma processing chamber; A plasma processing apparatus, comprising: a plasma transport unit that transports plasma generated in the plasma generation chamber to the plasma processing chamber while reducing electron density in the plasma.
【請求項15】 前記プラズマ輸送手段は、前記プラズ
マ発生室と前記プラズマ処理室とを連通させる真空室
と、該真空室を囲むように設けられ、内部にほぼ平行な
静磁場を生成するリング状マグネットとからなることを
特徴とする請求項14に記載のプラズマ処理装置。
15. The plasma transport means is provided with a vacuum chamber that connects the plasma generation chamber and the plasma processing chamber, and a ring-like shape that is provided to surround the vacuum chamber and generates a substantially parallel static magnetic field therein. The plasma processing apparatus according to claim 14, comprising a magnet.
【請求項16】 前記プラズマ輸送手段は、前記プラズ
マ発生室と前記プラズマ処理室とを連通させる真空室
と、該真空室の周囲に配置され、内部にほぼ平行な磁場
を生成する複数のヘルムホルツコイルとからなることを
特徴とする請求項14に記載のプラズマ処理装置。
16. The plasma transport means includes: a vacuum chamber for communicating the plasma generation chamber with the plasma processing chamber; and a plurality of Helmholtz coils arranged around the vacuum chamber and generating a substantially parallel magnetic field therein. 15. The plasma processing apparatus according to claim 14, comprising:
【請求項17】 前記複数のヘルムホルツコイルは、位
相が互いに異なる電流が印加されることにより回転磁場
を生成することを特徴とする請求項16に記載のプラズ
マ処理装置。
17. The plasma processing apparatus according to claim 16, wherein the plurality of Helmholtz coils generate a rotating magnetic field by applying currents having different phases.
【請求項18】 前記プラズマ処理室にプラズマを発生
させるための高周波電力パルスを供給する高周波電力パ
ルス回路と、前記プラズマ処理室に設けられた試料台に
バイアス電圧を供給するバイアス回路と、前記バイアス
電圧を前記高周波電力パルスに対して所定時間遅れて同
期させる信号遅延回路とを備えていることを特徴とする
請求項14に記載のプラズマ処理装置。
18. A high-frequency power pulse circuit for supplying a high-frequency power pulse for generating plasma in the plasma processing chamber; a bias circuit for supplying a bias voltage to a sample stage provided in the plasma processing chamber; The plasma processing apparatus according to claim 14, further comprising: a signal delay circuit that synchronizes a voltage with a predetermined time delay with respect to the high-frequency power pulse.
JP2000180932A 2000-06-16 2000-06-16 Method and apparatus for plasma processing Pending JP2001358129A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000180932A JP2001358129A (en) 2000-06-16 2000-06-16 Method and apparatus for plasma processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000180932A JP2001358129A (en) 2000-06-16 2000-06-16 Method and apparatus for plasma processing

Publications (1)

Publication Number Publication Date
JP2001358129A true JP2001358129A (en) 2001-12-26

Family

ID=18681930

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000180932A Pending JP2001358129A (en) 2000-06-16 2000-06-16 Method and apparatus for plasma processing

Country Status (1)

Country Link
JP (1) JP2001358129A (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006503423A (en) * 2002-07-24 2006-01-26 ウナクシス ユーエスエイ、インコーポレイテッド Unnotched etching of high aspect ratio SOI structures using alternating deposition and etching and pulsed plasma
JP2009235510A (en) * 2008-03-27 2009-10-15 Fujifilm Corp Method for forming silicon nitride film, method for producing gas barrier film, and gas barrier film
WO2010008006A1 (en) * 2008-07-16 2010-01-21 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
JP2011119658A (en) * 2009-10-27 2011-06-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2012009544A (en) * 2010-06-23 2012-01-12 Tokyo Electron Ltd Substrate processing method
US8216922B2 (en) 2010-03-19 2012-07-10 Panasonic Corporation Plasma doping method
JP2012138520A (en) * 2010-12-27 2012-07-19 Ulvac Japan Ltd Plasma etching method and plasma etching device
KR101328800B1 (en) * 2011-09-08 2013-11-13 성균관대학교산학협력단 Characteristic controlling method of pulsed plasma using Multi-frequency RF pulsed power
JP2014007432A (en) * 2009-12-15 2014-01-16 Univ Of Houston System Atomic layer etching with pulsed plasmas
JP2014135512A (en) * 2007-08-17 2014-07-24 Tokyo Electron Ltd Plasma etching method
WO2014137092A1 (en) * 2013-03-07 2014-09-12 (주) 화인솔루션 Sputtering power supply apparatus
JP2015183250A (en) * 2014-03-25 2015-10-22 株式会社Screenホールディングス Film deposition apparatus and film deposition method
JP2017069542A (en) * 2015-09-29 2017-04-06 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP2017101263A (en) * 2015-11-30 2017-06-08 ブラザー工業株式会社 Film deposition method, film deposition device and film deposition program
JP2018133477A (en) * 2017-02-16 2018-08-23 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2020117781A (en) * 2019-01-25 2020-08-06 ナノテック株式会社 Film deposition apparatus
JP2021036612A (en) * 2019-02-05 2021-03-04 東京エレクトロン株式会社 Plasma processing device
CN112534544A (en) * 2018-08-30 2021-03-19 东京毅力科创株式会社 System and method for controlling plasma processing
JP2021086873A (en) * 2019-11-26 2021-06-03 東京エレクトロン株式会社 Plasma processing method and plasma processing machine
KR20210093199A (en) * 2019-02-05 2021-07-27 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US11417501B2 (en) 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006503423A (en) * 2002-07-24 2006-01-26 ウナクシス ユーエスエイ、インコーポレイテッド Unnotched etching of high aspect ratio SOI structures using alternating deposition and etching and pulsed plasma
JP2014135512A (en) * 2007-08-17 2014-07-24 Tokyo Electron Ltd Plasma etching method
JP2009235510A (en) * 2008-03-27 2009-10-15 Fujifilm Corp Method for forming silicon nitride film, method for producing gas barrier film, and gas barrier film
WO2010008006A1 (en) * 2008-07-16 2010-01-21 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
JPWO2010008006A1 (en) * 2008-07-16 2012-01-05 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
US8366833B2 (en) 2008-07-16 2013-02-05 Sumitomo Heavy Industries Plasma processing apparatus and plasma processing method
JP5574962B2 (en) * 2008-07-16 2014-08-20 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
JP2011119658A (en) * 2009-10-27 2011-06-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US10515782B2 (en) 2009-12-15 2019-12-24 University Of Houston System Atomic layer etching with pulsed plasmas
JP2014007432A (en) * 2009-12-15 2014-01-16 Univ Of Houston System Atomic layer etching with pulsed plasmas
US8216922B2 (en) 2010-03-19 2012-07-10 Panasonic Corporation Plasma doping method
JP2012009544A (en) * 2010-06-23 2012-01-12 Tokyo Electron Ltd Substrate processing method
JP2012138520A (en) * 2010-12-27 2012-07-19 Ulvac Japan Ltd Plasma etching method and plasma etching device
KR101328800B1 (en) * 2011-09-08 2013-11-13 성균관대학교산학협력단 Characteristic controlling method of pulsed plasma using Multi-frequency RF pulsed power
WO2014137092A1 (en) * 2013-03-07 2014-09-12 (주) 화인솔루션 Sputtering power supply apparatus
KR101440771B1 (en) 2013-03-07 2014-09-17 (주)화인솔루션 Electrical Power Supply for Sputter
JP2015183250A (en) * 2014-03-25 2015-10-22 株式会社Screenホールディングス Film deposition apparatus and film deposition method
JP2017069542A (en) * 2015-09-29 2017-04-06 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
KR101875496B1 (en) * 2015-09-29 2018-07-06 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus and plasma processing method
US11417501B2 (en) 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
JP2017101263A (en) * 2015-11-30 2017-06-08 ブラザー工業株式会社 Film deposition method, film deposition device and film deposition program
CN108447766A (en) * 2017-02-16 2018-08-24 株式会社日立国际电气 Manufacturing method, substrate processing device and the storage medium of semiconductor devices
JP2018133477A (en) * 2017-02-16 2018-08-23 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
CN108447766B (en) * 2017-02-16 2021-11-09 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and storage medium
JP7369896B2 (en) 2018-08-30 2023-10-27 東京エレクトロン株式会社 Control system and method for plasma processing
CN112534544A (en) * 2018-08-30 2021-03-19 东京毅力科创株式会社 System and method for controlling plasma processing
JP2021534544A (en) * 2018-08-30 2021-12-09 東京エレクトロン株式会社 Control systems and methods for plasma processing
JP2020117781A (en) * 2019-01-25 2020-08-06 ナノテック株式会社 Film deposition apparatus
JP7204196B2 (en) 2019-01-25 2023-01-16 ナノテック株式会社 Deposition equipment
JP7060664B2 (en) 2019-02-05 2022-04-26 東京エレクトロン株式会社 Plasma processing equipment
US11205561B2 (en) 2019-02-05 2021-12-21 Tokyo Electron Limited Plasma processing apparatus
JP2022105037A (en) * 2019-02-05 2022-07-12 東京エレクトロン株式会社 Plasma processing device
KR20210093199A (en) * 2019-02-05 2021-07-27 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US11631572B2 (en) 2019-02-05 2023-04-18 Tokyo Electron Limited Plasma processing apparatus
KR102580453B1 (en) * 2019-02-05 2023-09-20 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
JP2021036612A (en) * 2019-02-05 2021-03-04 東京エレクトロン株式会社 Plasma processing device
JP7395645B2 (en) 2019-02-05 2023-12-11 東京エレクトロン株式会社 plasma processing equipment
JP2021086873A (en) * 2019-11-26 2021-06-03 東京エレクトロン株式会社 Plasma processing method and plasma processing machine
JP7262375B2 (en) 2019-11-26 2023-04-21 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP7374362B2 (en) 2019-11-26 2023-11-06 東京エレクトロン株式会社 Plasma treatment method and plasma treatment device

Similar Documents

Publication Publication Date Title
JP2001358129A (en) Method and apparatus for plasma processing
US6197151B1 (en) Plasma processing apparatus and plasma processing method
Graves Plasma processing
US6902683B1 (en) Plasma processing apparatus and plasma processing method
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7138067B2 (en) Methods and apparatus for tuning a set of plasma processing steps
JP2001257199A (en) Plasma processing method and device thereof
JPH02298024A (en) Reactive ion etching apparatus
JP2000269196A (en) Method and apparatus for plasma treatment
US8911602B2 (en) Dual hexagonal shaped plasma source
JPH0752730B2 (en) Electrode assembly and plasma device
WO2003085716A1 (en) Plasma etching method and plasma etching device
JP3499104B2 (en) Plasma processing apparatus and plasma processing method
US8440572B2 (en) Si etching method
JPH11260596A (en) Plasma processing device and plasma processing method
US20070202701A1 (en) Plasma etching apparatus and method
TW201621973A (en) Plasma processing device
Fujiwara et al. Cold and low-energy ion etching (COLLIE)
US7488689B2 (en) Plasma etching method
JP3663392B2 (en) Plasma etching processing equipment
JP4554117B2 (en) Surface treatment equipment
US20090283502A1 (en) Plasma processing apparatus and control method for plasma processing apparatus
JP3076641B2 (en) Dry etching apparatus and dry etching method
JP2004349717A (en) Plasma-etching trearment apparatus
JP3368743B2 (en) Plasma processing apparatus and plasma processing method