JP2001221836A - Testing apparatus and method - Google Patents

Testing apparatus and method

Info

Publication number
JP2001221836A
JP2001221836A JP2000028823A JP2000028823A JP2001221836A JP 2001221836 A JP2001221836 A JP 2001221836A JP 2000028823 A JP2000028823 A JP 2000028823A JP 2000028823 A JP2000028823 A JP 2000028823A JP 2001221836 A JP2001221836 A JP 2001221836A
Authority
JP
Japan
Prior art keywords
vector
test
sequence
vector sequence
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000028823A
Other languages
Japanese (ja)
Inventor
Kazuhiko Iwasaki
一彦 岩崎
Takeshi Asakawa
毅 浅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Japan Science and Technology Agency
Original Assignee
Japan Science and Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Japan Science and Technology Corp filed Critical Japan Science and Technology Corp
Priority to JP2000028823A priority Critical patent/JP2001221836A/en
Publication of JP2001221836A publication Critical patent/JP2001221836A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To attain a higher trouble coverage with a shorter test length by reducing the number of necessary hardwares. SOLUTION: Original test vectors stored in an ROM 4 are shifted alternately into two shift registers SR-A2 and SR-B3 on a vector basis. The vectors in the shift registers SR-A2 and SR-B3 are shifted into multiplexers MUX5-1, 5-2 and 5-3 alternately together with pseudo random vectors generated by an LFSR1, to be mutually multiplexed. The multiplexed vectors are mixed vectors, and are printed to a CUT6 separately from the multiplexers MUX5-1, 5-2 and 5-m.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、テスト装置および
方法に係り、特に、集積回路に発生する故障、例えばデ
ィレイ故障を検出するための集積回路のテスト装置およ
び方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a test apparatus and method, and more particularly, to a test apparatus and method of an integrated circuit for detecting a fault occurring in an integrated circuit, for example, a delay fault.

【0002】[0002]

【従来の技術】近年、LSI(大規模集積回路)の論理
規模が増大するに伴い、VLSI(超大規模集積回路)
と呼ばれる集積回路が普及している。一般に、集積回路
は、大規模かつ複雑になればなるほど、外部端子からア
クセスすることが困難な回路部分が飛躍的に増大するた
め、大規模かつ複雑な集積回路、即ち、VLSIの故障
を検出するためのテストは、困難なものとなる。そのた
め、VLSIの大規模化に伴い、このVLSIの故障等
を検出するテストに要する時間の短縮、コストの削減及
び質の向上ついて、各種の対策が講じられている。
2. Description of the Related Art In recent years, as the logical scale of LSIs (Large Scale Integrated Circuits) has increased, VLSIs (Very Large Scale Integrated Circuits)
Integrated circuits referred to as are widely used. In general, as the scale of an integrated circuit becomes larger and more complex, the number of circuit portions that are difficult to access from external terminals increases dramatically. Therefore, a failure of a large-scale and complicated integrated circuit, that is, a VLSI is detected. Testing is difficult. For this reason, with the increase in the scale of the VLSI, various measures have been taken to reduce the time required for the test for detecting a failure or the like of the VLSI, reduce the cost, and improve the quality.

【0003】VLSIの故障としては、例えば、遅延故
障(ディレイ故障)、縮退故障がある。ディレイ故障と
は、回路の遅延特性(AC特性)が所期のものとは異な
る故障をいう。このディレイ故障としては、論理値が0
から1へ、同じく1から0へ正常に遷移することができ
ないトランジション故障と、回路内部のある特定の素子
の動作速度が遅くなるゲート遅延故障と、同じく回路内
部のある特定の経路の信号伝搬が遅くなるパス遅延故障
とが知られている。これらの故障によって、回路の動作
速度は、期待される速度からずれてしまう。また、縮退
故障とは、回路内部のある信号線が、ある特定の値に固
定される故障をいう。この縮退故障には、論理値が0に
固定される0縮退故障と、同じく論理値が1に固定され
る1縮退故障とがある。
[0003] VLSI failures include, for example, delay failures (delay failures) and stuck-at failures. The delay failure is a failure in which the delay characteristic (AC characteristic) of the circuit is different from the expected one. As the delay fault, the logical value is 0
From 1 to 0, also from 1 to 0, a gate delay fault that slows down the operation speed of a specific element inside the circuit, and a signal propagation along a specific path inside the circuit. Delayed path delay faults are known. These failures cause the operating speed of the circuit to deviate from the expected speed. Further, a stuck-at fault is a fault in which a certain signal line inside a circuit is fixed to a certain specific value. The stuck-at fault includes a stuck-at-0 fault whose logic value is fixed at 0 and a stuck-at-1 fault whose logic value is also fixed at 1.

【0004】これらのVLSIに発生する故障を検出す
る、ひとつの有効な方法として、BIST(Built-In S
elf-Test)と呼ばれるテスト手法(以下、BIST方
式)が提案されている(S. Runyon, “Testing big chi
p becomes an internal affair,” IEEE Spectrum, vo
l. 36, no. 4, pp. 49-55, Apr. 1999.、 Y. Zorian,
“Testing the monster chip,” IEEE Spectrum, Vol.
36, no. 7, pp. 54-60, July. 1999.、 Y. Zorian, E.
J. Marinissen, and S. Dey, “Testing embedded-core
-based system chips,” IEEE Computer, Vol. 32, no.
6, pp. 52-60, June.1999.)。図11に、BIST方
式の構成図を示す。BIST回路100は、例えば、テ
ストパターン発生器101、被テスト回路部102、出
力パターン圧縮器103、期待値格納部104、比較器
105を備えている。
As one effective method for detecting a failure occurring in these VLSIs, a BIST (Built-In S
elf-Test) (hereinafter referred to as BIST method) has been proposed (S. Runyon, “Testing big chi
p becomes an internal affair, ”IEEE Spectrum, vo
l. 36, no. 4, pp. 49-55, Apr. 1999., Y. Zorian,
“Testing the monster chip,” IEEE Spectrum, Vol.
36, no. 7, pp. 54-60, July. 1999., Y. Zorian, E.
J. Marinissen, and S. Dey, “Testing embedded-core
-based system chips, ”IEEE Computer, Vol. 32, no.
6, pp. 52-60, June.1999.). FIG. 11 shows a configuration diagram of the BIST system. The BIST circuit 100 includes, for example, a test pattern generator 101, a circuit under test 102, an output pattern compressor 103, an expected value storage unit 104, and a comparator 105.

【0005】以下に、このBIST方式の概略を説明す
る。テストパターン発生器101は、論理値0と1との
ランダムパターン系のテストパターンを発生させる。こ
のテストパターンは、被テスト回路部102に入力され
る。また、このテストパターンに対応した被テスト回路
部102の出力値は、出力パターン圧縮器103によっ
て、順次圧縮される。この順次圧縮された出力値の最終
的な値と、期待値格納部104に格納された期待値と
が、比較器105によって比較され、被テスト回路部1
02の故障が検出される。
The outline of the BIST system will be described below. The test pattern generator 101 generates a random pattern test pattern of logical values 0 and 1. This test pattern is input to the circuit under test 102. The output value of the circuit under test 102 corresponding to the test pattern is sequentially compressed by the output pattern compressor 103. The final value of the sequentially compressed output value and the expected value stored in the expected value storage unit 104 are compared by the comparator 105, and the circuit under test 1
02 is detected.

【0006】このBIST方式では、テスト設備のコス
トダウンに加えて、実動作速度によるテストの品質向上
が期待できる。前述したテストパターン発生器101、
即ち、BIST用テストパターン発生回路(TPG: T
est Pattern Generator)としては、少ないハードウェ
アで高い故障カバレージを達成できると共に、テスト長
(テスト時間)ができるだけ短いことが望ましい。な
お、故障カバレージ(fault coverage)とは、テストの
質の評価尺度であって、故障カバレージ=検出された故
障数/(生じうる故障数−冗長故障数)で示される。な
お、冗長故障とは、検出可能なテストベクトルが存在し
ない故障をいう。
In the BIST system, in addition to cost reduction of test equipment, improvement of test quality at actual operating speed can be expected. The test pattern generator 101 described above,
That is, the test pattern generation circuit for BIST (TPG: T
As an est pattern generator), it is desirable that a high fault coverage can be achieved with a small amount of hardware and a test length (test time) is as short as possible. The fault coverage is a measure of the quality of the test, and is expressed as: fault coverage = number of detected faults / (number of possible faults−number of redundant faults). Note that a redundant fault is a fault in which no detectable test vector exists.

【0007】[0007]

【発明が解決しようとする課題】従来のBIST方式に
おいては、自動テストパターン発生回路(ATPG:Au
tomatic Test Pattern Generator)によって得られた全
てのテストパターンをROMに格納したテストパターン
発生器(TPG)を使用した場合、短いテスト長で10
0%の故障カバレージを達成することが可能である。し
かし、必要なハードウェアは大きくなってしまう。ま
た、LFSR(Linear Feed-back Shift Register)を
用いて擬似ランダムパターンを発生するTPGを使用し
た場合、必要なハードウェアは少なくなる。しかし、前
述した被テスト回路部102、即ち、CUT(Circuit
Under Test)に、ランダムパターン検出困難(rpr:
random pattern resistant)故障が存在する場合、1
00%の故障カバレージを達成するためには、非常に長
いテスト長を必要とする(M. Lempel, S. K. Gupta, an
d M. A. Breuer, “Test embedding with discrete log
arithms,” in Proc. ofVLSI Test Symposium, pp .74-
80, Apr. 1994.)。
In the conventional BIST system, an automatic test pattern generation circuit (ATPG: Au
When using a test pattern generator (TPG) in which all test patterns obtained by the tomatic test pattern generator) are stored in ROM, 10
It is possible to achieve 0% fault coverage. However, the required hardware increases. Also, when a TPG that generates a pseudo random pattern using an LFSR (Linear Feedback Shift Register) is used, the required hardware is reduced. However, the circuit under test 102 described above, that is, the CUT (Circuit
Under Test), random pattern detection difficult (rpr:
If a random pattern resistant fault exists, 1
Achieving 00% fault coverage requires very long test lengths (M. Lempel, SK Gupta, an
d MA Breuer, “Test embedding with discrete log
arithms, ”in Proc. ofVLSI Test Symposium, pp .74-
80, Apr. 1994.).

【0008】一方、縮退故障をテストするBIST方式
では、テスト長を短くするために様々な手法が提案され
ている。例えば、CUTに観測点を挿入しrpr故障の
数を減らしたテスト容易化設計手法(H. H. S. Gundlac
h and K. D. Muller-Glaser,“On automatic testpoint
insertion in sequential circuits,” in Proc. of I
nternational Test Conference, pp. 1072-1079, Sept.
1990.、 M. Nakao, S. Kobayashi, K. Hatayama, K. Ii
jima, and S. Terada, “Low-overhead testpoint inse
ction for scan-based BIST,” in Proc. of Internati
onal Test Conference, pp. 348-357, Sept. 1999.)が
提案されている。
On the other hand, in the BIST system for testing a stuck-at fault, various techniques have been proposed to shorten the test length. For example, a testability design method (HHS Gundlac, in which observation points are inserted into the CUT to reduce the number of rpr faults)
h and KD Muller-Glaser, “On automatic testpoint
insertion in sequential circuits, ”in Proc. of I
nternational Test Conference, pp. 1072-1079, Sept.
1990., M. Nakao, S. Kobayashi, K. Hatayama, K. Ii
jima, and S. Terada, “Low-overhead testpoint inse
ction for scan-based BIST, ”in Proc. of Internati
onal Test Conference, pp. 348-357, Sept. 1999.).

【0009】また、特定のビット位置の1出現確率に重
みを付ける重み付き擬似ランダムパターン手法(J. Sav
ir, “On chip weighted random patterns,” in Proc.
ofAsian Test Symposium, pp. 344-352, Nov. 199
7.)、特定の論理値を確実なビット位置に固定するビッ
トフィックス手法(M. F. AlShaibi and C. R. Kime,
“MFBIST: A BIST method for random pattern resista
nt circuits,” in Proc. ofInternational Test Confe
rence, pp. 176-185, Oct. 1996.、 G. Kiefer and H.
J. Wunderlich, “Deterministic BIST with multiple
scan chains,” in Proc. of International Test Conf
erence, pp. 1057-1064, Oct. 1998.、C. Fagot, O. Gas
cuel, P. Girard, and C. Landrault, “A ring archit
ecture strategy for BIST test pattern generatio
n,” in Proc. of Asian Test Symposium,pp. 418-423,
Dec. 1998.)、また、無効パターンのビット位置をわず
かに変えるビットフリッピング手法(G. Kiefe and H.
J. Wunderlich, “Using BIST control for pattern ge
nearation,” in Proc. of International Test Confer
ence,pp. 347-355, Nov. 1997.)、また、LFSRやカ
ウンタの初期値をリシードする手法(S. Hellebrand,
J. Rajski, S. Tarnick, S. Venkataraman, and B. Cou
rtois, “Built-in test for circuits with scan base
d on reseeding of multiple-polynomial linear feedb
ack shift resisters,” IEEE Trans. on Computer, Vo
l. 44, no. 2, pp. 223-233, Feb. 1995.、 K. Chakraba
rty, B. T. Murray, and V. Tyengar, “Built-in test
pattern generation for high-performance circuits
using twisted-ring counters,” in Proc. of VLSI Te
st Symposium, pp. 22-27, Apr. 1999.)が提案されて
いる。
Also, a weighted pseudo-random pattern method (J. Sav.)
ir, “On chip weighted random patterns,” in Proc.
ofAsian Test Symposium, pp. 344-352, Nov. 199
7.), a bit fix method that fixes a specific logical value in a certain bit position (MF AlShaibi and CR Kime,
“MFBIST: A BIST method for random pattern resista
nt circuits, ”in Proc. of International Test Confe
rence, pp. 176-185, Oct. 1996., G. Kiefer and H.
J. Wunderlich, “Deterministic BIST with multiple
scan chains, ”in Proc. of International Test Conf
erence, pp. 1057-1064, Oct. 1998., C. Fagot, O. Gas
cuel, P. Girard, and C. Landrault, “A ring archit
ecture strategy for BIST test pattern generatio
n, ”in Proc. of Asian Test Symposium, pp. 418-423,
Dec. 1998.) and a bit flipping method that slightly changes the bit position of invalid patterns (G. Kiefe and H.
J. Wunderlich, “Using BIST control for pattern ge
nearation, ”in Proc. of International Test Confer
ence, pp. 347-355, Nov. 1997.) and a method to reseed the initial values of LFSR and counters (S. Hellebrand,
J. Rajski, S. Tarnick, S. Venkataraman, and B. Cou
rtois, “Built-in test for circuits with scan base
d on reseeding of multiple-polynomial linear feedb
ack shift resisters, ”IEEE Trans. on Computer, Vo
l. 44, no. 2, pp. 223-233, Feb. 1995., K. Chakraba
rty, BT Murray, and V. Tyengar, “Built-in test
pattern generation for high-performance circuits
using twisted-ring counters, ”in Proc. of VLSI Te
st Symposium, pp. 22-27, Apr. 1999.).

【0010】さらに、ATPGによって得られたベクト
ル(以下ATPGベクトル)を利用するBIST用TP
Gも提案されている(T. Asakawa and K. Iwasaki, “O
n using ATPG vectors for BIST TPG,” The first IEE
E Asia Pasific Conferenceon ASICs, pp. 359-362, Au
g. 1999.)。また、ランダムパターンでテスト容易な論
理合成法も提案されている(N. A. Touba and E. J. Mc
Cluskey, “RP-SYN: Synthesis of random pattern tes
table circuits with Test Point Insertion,” IEEE T
rans. on Computer. , Vol. 18, no. 8, pp. 1202-121
3, Aug. 1999.)。
Further, a BIST TP using a vector obtained by ATPG (hereinafter, ATPG vector)
G has also been proposed (T. Asakawa and K. Iwasaki, “O
n using ATPG vectors for BIST TPG, ”The first IEE
E Asia Pasific Conferenceon ASICs, pp. 359-362, Au
g. 1999.). A logic synthesis method that can be easily tested with random patterns has also been proposed (NA Touba and EJ Mc
Cluskey, “RP-SYN: Synthesis of random pattern tes
table circuits with Test Point Insertion, ”IEEE T
rans. on Computer., Vol. 18, no.8, pp. 1202-121
3, Aug. 1999.).

【0011】ここで、ディレイ故障の一例として、トラ
ンジション故障の検出について説明する。被テスト回路
部に含まれる対象テスト箇所の状態遷移を以下の手順で
テストを行う。まず、状態が論理値0から1へ遷移する
場合は、以下の手順1及び2を連続して行う。 手順1:テスト箇所の状態が0となるような入力ベクト
ルを入力する(初期化を行う)。 手順2:テスト箇所の状態が遷移(この場合は、論理値
1になる)するように、入力ベクトルを入力する。
Here, detection of a transition fault will be described as an example of a delay fault. The state transition of the target test location included in the circuit under test is tested according to the following procedure. First, when the state transits from the logical value 0 to 1, the following procedures 1 and 2 are continuously performed. Step 1: Input an input vector such that the state of the test location becomes 0 (initialization is performed). Step 2: An input vector is input so that the state of the test location changes (in this case, the logical value becomes 1).

【0012】一方、状態が論理値1から0へ遷移する場
合も、同様に逆の手順がとられる。すなわち、 手順1:テスト箇所の状態が1となるような入力ベクト
ルを入力する(初期化を行う)。 手順2:テスト箇所の状態が遷移(この場合は、論理値
0になる)するように、入力ベクトルを入力する。
On the other hand, when the state transits from the logical value 1 to 0, the reverse procedure is similarly taken. That is, Procedure 1: Input an input vector such that the state of the test location becomes 1 (initialization is performed). Step 2: An input vector is input so that the state of the test location changes (in this case, the logical value becomes 0).

【0013】したがって、トランジション故障の検出
は、各々遷移前と遷移後についての2つのベクトル系列
が必要となる。ベクトル系列とは、ベクトル値、並びと
もに意味の持つ連続したベクトル集合のことである(な
お、テストパターンもベクトル系列のひとつである)。
このように連続した2つのベクトル系列を必要とするト
ランジション故障の検出において、rpr故障の検出
は、縮退故障の場合に比べると一層困難となる。
Therefore, detection of a transition fault requires two vector sequences before and after a transition, respectively. The vector sequence is a continuous vector set having both vector values and meanings (the test pattern is also one of the vector sequences).
In detecting a transition fault requiring two consecutive vector sequences in this way, it is more difficult to detect an rpr fault than to detect a stuck-at fault.

【0014】そこで、ディレイ故障のテストを実行する
BISTとしてLFSRの初期値や多項式を入れ換える
手法(X. Li and P. Y. S. Cheung, “Exploiting BIST
approach for two-pattern testing,” in Proc. of
Asian Test Symposium, pp.424-429, Dec. 1998.、 K. F
ukuya, S. Yamazaki and M. Sato,“Evaluations ofvar
ious TPG circuits for use in two-pattern testin
g,” in Proc. of Asian Test Symposium, pp. 242-24
7, Nov. 1994.)、実動作速度でディレイ故障テストを
するための手法(A. Kristic et al.,“Testing high s
peed VLSI devices using slower testers,”in Proc.
of VLSI Test Symposium, pp. 16-21、Apr. 1999.)が
提案されている。これらの手法では、故障検出に有効な
ベクトル系列を、テストパターン中に増やすことができ
る。しかし、100%のディレイ故障のカバレージを達
成するには、非常に長いテスト長を必要とする。
Therefore, a method of exchanging the initial value of LFSR and a polynomial as a BIST for executing a test for a delay fault (X. Li and PYS Cheung, “Exploiting BIST”).
approach for two-pattern testing, ”in Proc. of
Asian Test Symposium, pp.424-429, Dec. 1998., K. F
ukuya, S. Yamazaki and M. Sato, “Evaluations ofvar
ious TPG circuits for use in two-pattern testin
g, ”in Proc. of Asian Test Symposium, pp. 242-24
7, Nov. 1994.), a method for testing delay faults at actual operating speeds (A. Kristic et al., “Testing high s
peed VLSI devices using slower testers, "in Proc.
of VLSI Test Symposium, pp. 16-21, Apr. 1999.). In these methods, the number of vector sequences effective for fault detection can be increased in a test pattern. However, achieving 100% delay fault coverage requires very long test lengths.

【0015】本発明は、以上の点に鑑み、必要なハード
ウェアが少なく、短いテスト長で高い故障カバレージを
達成できる集積回路のテスト方法および装置を提供する
ことを目的とする。また、従来はLFSRでテストパタ
ーンを発生させていたが、本発明は、LFSR等からの
擬似ランダムパターンと記憶部からのパターンとを混在
させて、テストパターンを発生することを目的とする。
これによって、本発明は、若干のハードウェアは増加す
るものの、テストパターン数(テスト時間)を大幅に少
なくすることを目的とする。さらに、本発明は、アルゴ
リズム"先頭位置選択法"および"抜き取り法"を採用する
ことにより、記憶部に格納するパターンを少なくするこ
とを目的とする。
In view of the above, it is an object of the present invention to provide a method and an apparatus for testing an integrated circuit which require less hardware and can achieve a high fault coverage with a short test length. Further, although a test pattern is conventionally generated by LFSR, an object of the present invention is to generate a test pattern by mixing a pseudo random pattern from LFSR or the like and a pattern from a storage unit.
As a result, the present invention aims to significantly reduce the number of test patterns (test time), although the hardware is slightly increased. A further object of the present invention is to reduce the number of patterns stored in the storage unit by employing the algorithms "head position selection method" and "sampling method".

【0016】[0016]

【課題を解決するための手段】本発明の第1の解決手段
によると、状態遷移をテストするための第1のテストベ
クトル系列を記憶した記憶部と、前記記憶部に格納され
る第1のテストベクトル系列が第1及び第2のベクトル
単位に分割されてそれぞれ入力され、第1及び第2のベ
クトルをそれぞれ出力する第1及び第2のベクトル発生
部と、擬似ランダムベクトルを出力する第3のベクトル
発生部と、前記第1及び第3のベクトル発生部からの出
力を混在させ、また、前記第2及び第3のベクトル発生
部からの出力を混在させることにより、第2のテストベ
クトル系列を作成し、被テスト回路に出力する多重部と
を備えたテスト装置を提供する。
According to a first aspect of the present invention, a storage unit storing a first test vector sequence for testing a state transition, and a first test vector sequence stored in the storage unit are stored. A test vector sequence is divided and input into first and second vector units, respectively, and first and second vector generators that output the first and second vectors, respectively, and a third that outputs a pseudo-random vector. And the outputs from the first and third vector generators are mixed together, and the outputs from the second and third vector generators are mixed together, so that the second test vector sequence And a multiplexing unit that outputs the data to a circuit under test.

【0017】本発明の第2の解決手段によると、状態遷
移をテストするための第1のテストベクトル系列を第1
及び第2のベクトル単位に分割して記憶するステップ
と、第1のベクトル及び擬似ランダムベクトルを混在さ
せて出力し、また、第2のベクトル及び擬似ランダムベ
クトルを混在させて出力することにより、第2のテスト
ベクトル系列を作成し、被テスト回路に出力するステッ
プとを備えたテスト方法を提供する。
According to a second solution of the present invention, a first test vector sequence for testing a state transition is a first test vector sequence.
And storing by dividing the first vector and the pseudo-random vector, and outputting the second vector and the pseudo-random vector in a mixed manner. And generating the test vector sequence and outputting the test vector sequence to the circuit under test.

【0018】[0018]

【発明の実施の形態】1.概要 ディレイ故障には、トランジション故障、ゲート遅延故
障、パス遅延故障等が知られている。本発明では、トラ
ンジション故障をテストの主な対象とし、テストの質の
評価尺度として冗長故障を除く故障カバレージ(fault
coverage)を用いることにする。
BEST MODE FOR CARRYING OUT THE INVENTION Overview As the delay fault, a transition fault, a gate delay fault, a path delay fault, and the like are known. In the present invention, transition faults are the main target of the test, and fault coverage excluding redundant faults is used as a measure of test quality.
coverage).

【0019】トランジション故障を検出するためには、
連続した2つのテストベクトル系列(2パターンベクト
ル系列)v,vi+1を入力しなければならない。2
パターンテストではベクトルの並び方も重要な意味を持
つ。テストベクトルvによって内部のある信号線を0
または1に設定する。引き続くvi+1によってその信
号線を逆極性に遷移させると同時に原始出力(primary
output)にその遷移を伝播させる。vまたはvi+1
の一方はランダムパターンで置き換えられる可能性があ
る。
In order to detect a transition failure,
Two consecutive test vector sequence (second pattern vector sequences) v i, v i + 1 must be entered. 2
In the pattern test, the arrangement of vectors is also important. 0 a signal line with internally by test vector v i
Or set to 1. The signal line is transited to the opposite polarity by the subsequent vi + 1 , and at the same time, the primary output (primary output)
output). v i or v i + 1
May be replaced with a random pattern.

【0020】被テスト回路部(CUT)の入力数をm、
対象トランジション故障をft(t=1,2,...,
N)、対象トランジション故障ftを検出できるベクト
ル対の集合をS(ft)と表す。ランダムな2パターン
ベクトルによってftが検出できる確率P(ft)は、 P(ft)=|S(ft)|/(2×2) と表すことができる。ここで、この数式を説明すると、
mビット幅のベクトルは、2のm乗の組合せがある。そ
のため、連続した2つのベクトルの組合せは、2 ×2
あることになる。分母は、取り得ることができるベ
クトルの総組み合わせ数を示す。一方、分子は、全体の
組合せのなかで、故障検出に有効なベクトルの組合せ数
を示す。したがって、分子が大きければ、それだけ検出
に有効なベクトルの組合せ数が多い、すなわち、ランダ
ムでテストした場合、検出しやすいということを意味す
る。つまり、P(ft)が小さいほどランダムベクトル
でftを検出する確率は低くなる。P(ft)が小さい
故障ftをランダムパターン検出困難トランジション
(rpr transition)故障と呼ぶ。
The number of inputs of the circuit under test (CUT) is m,
The target transition fault is defined as ft (t = 1, 2,.
N), a vector that can detect the target transition fault ft
A set of pairs is represented as S (ft). 2 random patterns
The probability P (ft) that ft can be detected by the vector is P (ft) = | S (ft) | / (2m× 2m) It can be expressed as. Now, to explain this formula,
An m-bit wide vector has 2 m combinations. So
Therefore, the combination of two consecutive vectors is 2 m× 2
mThere will be. The denominator is
Shows the total number of combinations. On the other hand, the molecule
Number of vector combinations effective for fault detection among combinations
Is shown. Therefore, the larger the molecule, the more detection
The number of valid vector combinations is large, that is,
Means that it is easy to detect
You. That is, as P (ft) is smaller, the random vector
, The probability of detecting ft decreases. P (ft) is small
Failure ft is a transition that makes random pattern detection difficult
(Rpr transition) Called a failure.

【0021】2元のm次元ベクトル全体からなる集合を
と表す。Vの要素からなる長さ|V|の系列を V=v,v,v,...,v|V|−1 と表す。
The set consisting of the entire binary m-dimensional vector is
VmIt expresses. VmThe sequence of length | V |0, V1, V2,. . . , V| V | -1  It expresses.

【0022】回路Cについて、自動テストパターン発生
回路(ATPG)ツールを用いてトランジション故障に
対するテストベクトルの系列を求める操作をATPG
(C)と表し、得られたn個のm次元ベクトルの系列を
と表す。n=|V|である。2パターンベクトル
系列(v,v)、(v,v)、...、(v
−2,vn−1)によってトランジション故障が検出さ
れる。Vで達成される故障検出効率(故障カバレー
ジ)をATPG検出効率(ATPGカバレージ)と定義
する。
For the circuit C, an operation for obtaining a test vector sequence for a transition fault using an automatic test pattern generation circuit (ATPG) tool is performed by the ATPG.
(C) and represents, represents a sequence of the resulting n m-dimensional vector and V c. n = | V c |. Two pattern vector sequences (v 0 , v 1 ), (v 1 , v 2 ),. . . , (V n
-2 , vn -1 ), a transition fault is detected. Fault efficiency achieved with V c (the fault coverage) is defined as ATPG detection efficiency (ATPG coverage).

【0023】関数SIM(C,V)は、回路Cに対し、
ベクトル系列Vを使用した故障シミュレーションを行い
故障カバレージを求める。関数COVER(C,V)
は、回路Cに対し、ベクトル系列V(=v
、...、v|V|−1)を使用した故障シミュレ
ーションを行い、ATPGカバレージが100%に達成
するまでに使用されたVの部分列V’(=v
、...、v|V’|−1)を求める。この関数は
に対し、より小さいV’を求めるために使用され
る。関数ADD1(V)は、上記のベクトル系列Vに対
してLFSR(Linear Feed-back Shift Register)で
生成した擬似ランダムベクトルvを混在したベクトル
系列Vを生成するために使用される。この操作をパタ
ーン追加と呼び、以下の条件で行う。
The function SIM (C, V) is given by
A fault simulation using the vector sequence V is performed to obtain a fault coverage. Function COVER (C, V)
Is a vector sequence V (= v 0 ,
v 1 ,. . . , V | V | -1) performs a fault simulation using a subsequence of V that ATPG coverage is used to achieve a 100% V '(= v 0 ,
v 1 ,. . . , V | V '| -1 ). The function to V c, is used to determine the smaller V '. Function ADD1 (V) is used to generate a pseudo-random vector v r a mixed vector sequence V * generated by LFSR (Linear Feed-back Shift Register ) with respect to the vector sequence V. This operation is called pattern addition and is performed under the following conditions.

【0024】(1)Vのベクトルv当りj個のベクト
ルを追加する。擬似ランダムベクトルvをj/2個、
をj/2個追加する。V全体では(j+1)×|V
|のパターンが出現する。 (2)擬似ランダムベクトルvとvを交互に追加す
る。
[0024] (1) to add a vector v i per j number of vectors of V. The j / 2 or pseudo-random vectors v r,
v i to j / 2 pieces added. (J + 1) × | V
| Pattern appears. (2) adding a pseudo-random vector v r and v i are alternately.

【0025】図1に、関数ADD1(V)による、パタ
ーン追加例(j=8)を示す。vに注目すると、j/
2=4個の擬似ランダムベクトルvとj/2=4個の
を交互に追加し、j=8個のベクトルより成るベク
トル系列(v,v,v,v,v,v
,v)を追加する。同様にvi+1に対してベク
トル系列(v,vi+1,v,vi+1,v,v
i+1,v,v +1)、vi+2では(v,v
i+2,v,vi+2,v,vi+2,v ,v
i+2)を追加する。この結果、ベクトル系列Vのベ
クトル数は9×j個となる。
FIG. 1 shows a pattern by the function ADD1 (V).
An example (j = 8) is shown. viNotice that j /
2 = 4 pseudorandom vectors vrAnd j / 2 = 4
vi, And a vector consisting of j = 8 vectors
Tor series (vr, Vi, Vr, Vi, Vr, Vi,
vr, Vi). Similarly vi + 1Against
Tor series (vr, Vi + 1, Vr, Vi + 1, Vr, V
i + 1, Vr, Vi +1), Vi + 2Then (vr, V
i + 2, Vr, Vi + 2, Vr, Vi + 2, V r, V
i + 2). As a result, the vector sequence V*No
The number of vectors is 9 × j.

【0026】図2に、ベンチマーク回路に対するパター
ン生成例を示す。この例では、5bit入力の被テスト
回路を想定し、もとになるATPGベクトルは、5bi
tで、(11001,01111,01100)であ
り、それぞれに対して、j=20個のパターン追加を行
う。関数ADD−1(V)は関数ADD1(V)によ
って生成されたベクトル系列Vに対し、もとになるベ
クトル系列Vを求める。例えば図1において、 ADD−1(V)=V=...,v,vi+1,v
i+2,... となる。
FIG. 2 shows an example of pattern generation for a benchmark circuit. In this example, a circuit under test having a 5-bit input is assumed, and the original ATPG vector is 5 bi.
At t, (11001, 01111, 01100), j = 20 patterns are added to each. The function ADD -1 (V * ) obtains the original vector series V from the vector series V * generated by the function ADD1 (V). For example, in FIG. 1, ADD -1 (V * ) = V =. . . , V i, v i + 1 , v
i + 2,. . . Becomes

【0027】2.テストパターン発生回路(TPG)の
構成 図3に、本発明に関するテストパターン発生回路(TP
G)の構成図の一例を示す。TPG10は、LFSR
(第3のベクトル発生部)1と、シフトレジスタ(SR
_A、SR_B)(第1及び第2のベクトル発生部)2
及び3と、記憶部(ROM)4と、マルチプレクサ(M
UX)(多重部)5−1、5−2及び5−mを備える。
なお、LFSR1は、内部に複数のフリップフロップを
有しており、このフリップフロップの出力のいくつかを
線形帰還がかかる様に選択的に排他的論理和(EXO
R)を介してフリップフロップにフィードバックをかけ
たシフトレジスタで構成され、擬似ランダムパターンを
発生する。
2. Configuration of Test Pattern Generation Circuit (TPG) FIG. 3 shows a test pattern generation circuit (TP) according to the present invention.
G) shows an example of a configuration diagram. TPG10 is LFSR
(Third vector generation unit) 1 and a shift register (SR
_A, SR_B) (first and second vector generation units) 2
And 3, a storage unit (ROM) 4, and a multiplexer (M
UX) (multiplexing unit) 5-1 5-2, and 5-m.
The LFSR 1 has a plurality of flip-flops inside, and some of the outputs of the flip-flops are selectively subjected to exclusive OR (EXO) so that linear feedback is applied.
R) to generate a pseudo-random pattern.

【0028】図中の各制御信号・クロックは、以下の役
割を持つ。クロックck_Lは、LFSRのクロックを
表し、同じく、クロックck_Aは、SR_Aのシフト
インクロック、クロックck_Bは、SR_Bのシフト
インクロックをそれぞれ表す。また、制御信号SA、S
B、SLは、マルチプレクサMUX5−1、5−2及び
5−mへの入力A、B、Lのイネーブル信号をそれぞれ
表す。ROM4には、もととなるテストベクトル系列が
記憶される。ROM4に格納されたテストベクトルは、
ベクトル単位で交互に2つのシフトレジスタSR_A2
及びSR_B3にシフトインされる。シフトレジスタS
R_A2及びSR_B3のベクトルは、LFSR1で生
成される擬似ランダムベクトルと交互にマルチプレクサ
MUX5−1、5−2及び5−mに与えられ互いに多重
化される。この多重化されたベクトル系列は、擬似ラン
ダムベクトルとATPGベクトルとの混在によるベクト
ル系列であって、マルチプレクサMUX5−1、5−2
及び5−mからそれぞれCUT6に印加される。
Each control signal / clock in the figure has the following role. The clock ck_L indicates the clock of the LFSR, and similarly, the clock ck_A indicates the shift-in clock of the SR_A, and the clock ck_B indicates the shift-in clock of the SR_B. Also, the control signals SA, S
B and SL represent enable signals of inputs A, B and L to the multiplexers MUX5-1, 5-2 and 5-m, respectively. The ROM 4 stores the original test vector sequence. The test vector stored in the ROM 4 is
Two shift registers SR_A2 alternately in vector units
And SR_B3. Shift register S
The vectors of R_A2 and SR_B3 are alternately provided to the multiplexers MUX5-1, 5-2, and 5-m and multiplexed with the pseudorandom vectors generated by the LFSR1. The multiplexed vector sequence is a vector sequence obtained by mixing a pseudo random vector and an ATPG vector, and is a multiplexer MUX5-1, 5-2.
And 5-m are applied to the CUT 6 respectively.

【0029】図4に本発明に関するTPGによるテスト
パターン発生の説明図の一例を示す。ここでは、一例と
して、CUTの入力数m=2、ベクトルv,vi+1
をROMに格納した、j=8の場合を想定する。この場
合、ROMに格納したベクトル当りLFSRによる擬似
ランダムベクトルvがj/2(=4)回現れる。テス
トクロック周波数を基準にすると、MUX5−1,5−
2の制御信号SA,SB,SLは1/2の周波数とな
る。SR_A2、SR_B3、LFSR1のクロックc
k_A,ck_B,ck_Lは、1/4の周波数とな
る。つまり、クロックck_Aがクロッキングしている
ときは、SR_A2にデータをロードすると共に、既に
データロードされているSR_B3からデータが出力さ
れる。一方、ck_Bの場合は、この逆となる。
FIG. 4 shows an example of an explanatory diagram of test pattern generation by TPG according to the present invention. Here, as an example, the number of inputs m = 2 in the CUT, the vector v i, v i + 1
Is stored in the ROM and j = 8 is assumed. In this case, it appears pseudo-random vector v r is j / 2 (= 4) times by vector per LFSR stored in ROM. With reference to the test clock frequency, MUX 5-1 and 5-
The control signals SA, SB, SL of No. 2 have a half frequency. Clock c of SR_A2, SR_B3, LFSR1
k_A, ck_B, and ck_L have a frequency of 1/4. That is, when the clock ck_A is clocking, data is loaded into SR_A2 and data is output from SR_B3 which has already been loaded. On the other hand, in the case of ck_B, the reverse is true.

【0030】つぎに、m=5,j=20である場合を想
定する(図2参照)。この場合では、5ビット幅のテス
トベクトルをスキャンインする間に、20回の追加パタ
ーンを発生するので、5bitでテストクロック周波数
が20回必要であり、ROM4からSR_A2、SR_
Bへのシフトクロック周波数が4回(=20/5(bi
t))となる。すなわち、この場合は、シフトクロック
はテストクロックの5分の1で良いことになる。
Next, it is assumed that m = 5 and j = 20 (see FIG. 2). In this case, 20 additional patterns are generated during the scan-in of the 5-bit width test vector, so that 20 test clock frequencies are required for 5 bits, and the SR_A2, SR_
B is shifted four times (= 20/5 (bi
t)). That is, in this case, the shift clock is only required to be one fifth of the test clock.

【0031】このように、本発明に係るTPGでは、m
ビット幅のテストベクトルをスキャンインする間に、j
回の追加パターンを発生するので、テストクロック周波
数/シフトクロック周波数=j/mとなる。
Thus, in the TPG according to the present invention, m
While scanning in a bit-wide test vector, j
Since the additional pattern is generated twice, the test clock frequency / shift clock frequency = j / m.

【0032】本発明に係るTPG手法は、特に、実テス
トの面で以下のような特長を有する。 (1)短いテスト長でATPGカバレージの達成が可能
である。 (2)スキャンインの周波数がテストクロックより低い
ため、低速テスタを使用した実動作速度テストが可能で
ある。
The TPG method according to the present invention has the following features, particularly in terms of actual tests. (1) ATPG coverage can be achieved with a short test length. (2) Since the scan-in frequency is lower than the test clock, an actual operation speed test using a low-speed tester is possible.

【0033】3.ROMに格納するベクトル集合の選択 3−1.ROMに格納するベクトル系列の選択および圧
縮を行うための概念 本発明に係るTPG手法では、ROMに格納するATP
Gベクトルの部分列とパターン追加で付加されたベクト
ル系列により故障を検出するため、ATPGカバレージ
が保証される。また、ATPGベクトル系列をROMに
格納しADD1によってパターンを発生した場合、故障
検出に関して必要でないATPGベクトルが存在する可
能性がある。したがって、ROM容量およびテスト長を
小さくするには、これら必要ではないATPGベクトル
を取り除くことが有効である。
3. Selection of vector set to be stored in ROM 3-1. Concept for selecting and compressing a vector sequence stored in ROM In the TPG method according to the present invention, ATP stored in ROM
Since a fault is detected by the subsequence of the G vector and the vector sequence added by adding a pattern, ATPG coverage is guaranteed. When the ATPG vector sequence is stored in the ROM and a pattern is generated by ADD1, there is a possibility that there is an ATPG vector that is unnecessary for failure detection. Therefore, in order to reduce the ROM capacity and the test length, it is effective to remove these unnecessary ATPG vectors.

【0034】まず、ROMに格納するベクトル系列の選
択および圧縮を行うための概念を説明する。もとになる
ATPGベクトル系列Vに対して、ベクトルの並べ方
の最適解は次のように求めることができる。Vのすべ
ての部分系列に対し、その順列を生成し、ADD1
(V)によってテストベクトル系列を求める。ベクトル
を並べ換えることによってATPGカバレージを達成で
きない並べ換えについては除外する。ATPGカバレー
ジを達成する並べ方のうち、最小ベクトル数である並べ
方を選べば良い。
First, a concept for selecting and compressing a vector sequence stored in the ROM will be described. Against ATPG vector sequence V c the underlying, the optimal solution of the arrangement of the vector can be obtained as follows. All of the partial sequence of the V c contrast, generated the permutation, ADD1
A test vector sequence is obtained by (V). Reordering where ATPG coverage cannot be achieved by reordering the vectors is excluded. What is necessary is just to select the arrangement | positioning which is the minimum vector number among the arrangements which achieve ATPG coverage.

【0035】しかしながら、Vの部分集合は2個、
それぞれの部分集合に対し、その順列は集合の大きさの
階乗個存在するので、このアルゴリズムの複雑度はO
(2×n!)となる。nが数10以上に対し、現実的
な時間で処理することは難しい。なお、複雑度とは、O
(n)がオーダ的にn回の作業を伴う内容(nに比例)
を表し、O(n)が、オーダ的にn回の作業を伴う
内容(nに比例)を表している。
However, the subset of V c is 2 n ,
For each subset, the permutation is a factorial of the set size, so the complexity of this algorithm is O
(2 n × n!). When n is several tens or more, it is difficult to process in a realistic time. The complexity is O
(N) is a content that involves n operations in order (proportional to n)
The stands, O (n 2) is, represents the content (proportional to n 2) with the work order to n 2 times.

【0036】3−2.O(n)先頭位置選択法とO
(n)抜き取り法 そこで、より良い並べ方を現実的に求める手法として、
O(n)先頭位置選択法とO(n)抜き取り法を示
す。図5は、O(n)先頭位置選択法の説明図であ
る。図5に示すように、O(n)先頭位置選択法で
は、環状に配置したATPGベクトル系列からROMに
格納する先頭位置の選択を行う。ATPGベクトルを環
状に配置することにより、任意の先頭位置を選んだ場合
に対するATPGカバレージは保証される。ATPGカ
バレージを達成するのに使用されたベクトル系列(図中
のVROM)のみをROMに格納する。すなわち、他は
廃棄することで、ROMに格納するベクトル系列は圧縮
される。
3-2. O (n 2 ) head position selection method and O
(N 2 ) Sampling method Then, as a method of realistically finding a better arrangement method,
The O (n 2 ) head position selection method and the O (n 2 ) extraction method will be described. FIG. 5 is an explanatory diagram of the O (n 2 ) head position selection method. As shown in FIG. 5, in the O (n 2 ) head position selection method, a head position to be stored in the ROM is selected from an ATPG vector sequence arranged in a ring. By arranging the ATPG vectors in a ring, ATPG coverage for a case where an arbitrary head position is selected is guaranteed. Store only use the vector sequence to achieve the ATPG coverage (V ROM in the figure) in the ROM. That is, the vector sequence stored in the ROM is compressed by discarding the others.

【0037】図6に、O(n)先頭位置選択法につい
てのフローチャートを示す。まず、CUTCに対して、
ATPGツールを使用し、ATPGのソフトウェアに被
テスト回路の回路情報を与えることにより、自動的にA
TPGカバレージを達成するベクトル系列を求めVとす
る。さらに、ここで、ROMに格納するベクトル系列V
ROM、V、V’を例えば、Vに初期化する(ステ
ップS101)。ここで、VとV’は、一時的に使用
するベクトル系列であり、演算のための作業領域であ
る。つぎに、ループを初期化する(ステップS10
3)。
FIG. 6 is a flowchart showing the O (n 2 ) head position selection method. First of all,
By using the ATPG tool and providing the circuit information of the circuit under test to the ATPG software, A
A vector sequence that achieves the TPG coverage is determined as V. Further, the vector sequence V stored in the ROM
ROM, V, and V c 'example, is initialized to V c (step S101). Here, V and V c ′ are vector sequences used temporarily, and are work areas for calculation. Next, a loop is initialized (step S10).
3).

【0038】関数ADD1(V)により、ベクトル系列
Vからパターン追加されたテストベクトル系列Vを生
成し、故障シミュレーションにより、ATPGカバレー
ジの達成に必要なテストベクトル系列Vの部分列V’
を求める(COVER(C,V))。具体的には、一般
に、故障シミュレータは、被テスト回路の回路情報及び
テストパターン(テストベクトル系列)を与えることで
故障検出率を求めることができるシミュレータである。
この故障シミュレータを用いて、テストベクトル系列V
のベクトル単位を順番に使用していき、故障検出率を
求めると、ある数又は量のベクトル単位を使用したとこ
ろで100%となる。その際、100%の故障検出率を
得たベクトル系列を、部分列V’とする。
A test vector sequence V * having a pattern added thereto is generated from the vector sequence V by the function ADD1 (V), and a subsequence V 'of the test vector sequence V * required to achieve ATPG coverage is generated by fault simulation.
(COVER (C, V)). Specifically, in general, a fault simulator is a simulator that can obtain a fault detection rate by giving circuit information of a circuit under test and a test pattern (test vector sequence).
Using this fault simulator, a test vector sequence V
When the vector units of * are used in order and the fault detection rate is obtained, the value becomes 100% when a certain number or quantity of vector units are used. At this time, a vector sequence having a failure detection rate of 100% is defined as a partial sequence V ′.

【0039】次に、関数ADD−1(V’)により、パ
ターン追加によってV’を生成するもとの系列を求め、
Vとする(ステップS105)。つまり、ステップS1
05では、パターン拡張したテストパターンでシミュレ
ーションを行い、100%のATPGカバレージを達成
するのに必要なATPGベクトルを求めている。
Next, an original sequence for generating V ′ by adding a pattern is obtained by a function ADD −1 (V ′).
V (step S105). That is, step S1
At 05, an ATPG vector necessary to achieve 100% ATPG coverage is obtained by performing a simulation using a test pattern obtained by expanding the pattern.

【0040】もし、|V|が|VROM|に対して圧縮
される場合は、VROMをVに更新する(ステップS1
07)。つまり、ステップS105で求めたATPGベ
クトル数が、これまでで最小となった場合は、このベク
トル集合をROMに保存するベクトル集合とする。次
に、V’の最後に位置するベクトルを先頭に並び換え
先頭位置を更新する(ステップS109)。つまり、先
頭位置を1つずつずらす操作を行う。
If | V | is compressed with respect to | V ROM |, V ROM is updated to V (step S1).
07). That is, when the number of ATPG vectors obtained in step S105 is the smallest so far, this vector set is set as a vector set to be stored in the ROM. Next, the vector positioned at the end of V c ′ is rearranged at the head, and the head position is updated (step S109). That is, an operation of shifting the head position one by one is performed.

【0041】つぎに、全てのベクトルについて、先頭位
置の選択を調べる(ステップS111)。調べた場合
は、ステップS105,107,109で求めたベクト
ル系列VROMの中でベクトル長が最小のものをROM
に格納するベクトル系列とする(ステップS113)。
Next, the selection of the head position is checked for all the vectors (step S111). If examined, ROM, what vector length is minimum among the vector sequence V ROM obtained in step S105,107,109
(Step S113).

【0042】ここで、回路Cを固定してアルゴリズムの
複雑度を考える。ADD1(V)でのベクトルの生成操
作およびCOVER(C,V)での故障シミュレーシ
ョンはそれぞれ(j+1)×n回繰り返されるので複雑
度はO(n)である。ADD −1(V’)はインデック
スの計算で求められるので、その複雑度はO(1)であ
る。ステップS105,107,109は、n回繰り返
されるので、この手順の複雑度はO(n)である。
Here, the circuit C is fixed and the algorithm C
Think about complexity. Vector generation operation in ADD1 (V)
Work and COVER (C, V*) Failure simulation
The operation is complicated because each is repeated (j + 1) × n times
The degree is O (n). ADD -1(V ') is the index
The complexity is O (1)
You. Steps S105, 107 and 109 are repeated n times
, The complexity of this procedure is O (n2).

【0043】次に、O(n)抜き取り法を示す。これ
は、O(n)先頭位置選択法で求めたベクトル系列V
ROMに対しさらに圧縮を行うこともできる。図7に、
O(n)抜き取り法についてのフローチャートを示
す。
Next, an O (n 2 ) extraction method will be described. This is based on the vector sequence V obtained by the O (n 2 ) head position selection method.
Further compression can be performed on the ROM . In FIG.
4 shows a flowchart of an O (n 2 ) sampling method.

【0044】O(n)抜き取り法は、O(n)先頭
位置選択法で求めたベクトル系列V ROMの各ベクトル
について、以下の手順で不必要であるものを求め、V
ROMよりベクトルを抜き取るものである。なお、O
(n)抜き取り法は、O(n)先頭位置選択法とは
独立に、別個に実行されてもよい。まず、一時的に使用
するベクトル系列V’とVROM’のそれぞれをV
とVROMに初期化する(ステップS201)。ここ
で、VはATPGツールで求めたベクトル系列であ
り、VROMは、先頭位置選択法で圧縮したROMに格
納するベクトル系列である。つぎに、ループを初期化す
る(ステップS203)。
O (n2) The sampling method is O (n2)lead
Vector sequence V obtained by position selection method ROMEach vector
For unnecessary, the following procedure is used to find unnecessary
ROMThis is to extract the vector more. Note that O
(N2) The sampling method is O (n2) What is the head position selection method?
It may be performed independently and separately. First, use temporarily
Vector series Vc’And VROM’Each Vc
And VROM(Step S201). here
And VcIs a vector series obtained by the ATPG tool.
And VROMIs stored in ROM compressed by the head position selection method.
This is a vector series to be stored. Next, initialize the loop
(Step S203).

【0045】VROMのi番目のベクトルvを評価対
象ベクトルvとし、ADD2(V、v)により、V
よりvを取り除き、vの代わりにvp−1とv
p+1それぞれに対しjずつ、すなわち長さ2×jのパ
ターン追加を行い、ベクトル系列Vを生成する(ステッ
プS205)。また、関数ADD2(V,v)はベク
トル系列Vよりベクトルvを取り除いた後、vの代
わりにvに隣接していた2個のベクトルvp−1、v
p+1に対して、ベクトル(v,vp−1,v ,v
p−1,...,vp−1)と(v,vp+1
,vp+1,...,vp+1)を追加する。追加
されるパターン長は2×jである。この関数はベクトル
が擬似ランダムベクトルvで置き換えられる可能
性を調べるために使用される。次に、前述と同様に汎用
の故障シミュレータを用いることで、VがATPGカバ
レージを達成した場合(ステップS207)(SIM
(C,V))、ベクトルv が不必要であると仮定し、
ROMよりvを一時的に取り除いたベクトル系列V
に対してADD1(V)によるパターン追加を行う(ス
テップS209)。
VROMThe i-th vector v ofiEvaluate vs
Elephant vector vpAnd ADD2 (V, vp), V
cMore vpAnd remove vpInstead of vp-1And v
p + 1J for each, that is, a 2 × j length
Turns are added to generate a vector sequence V (step
S205). Also, the function ADD2 (V, vp) Is Baek
Vector v from the tor sequence VpAfter removingpOf
Instead vpTwo vectors v adjacent top-1, V
p + 1For the vector (vr, Vp-1, V r, V
p-1,. . . , Vp-1) And (vr, Vp + 1,
vr, Vp + 1,. . . , Vp + 1). add to
The pattern length is 2 × j. This function is a vector
vpIs the pseudo-random vector vrCan be replaced by
Used to determine gender. Next, as described above,
V is ATPG cover
Storage has been achieved (step S207) (SIM
(C, V)), vector v pIs unnecessary, and
VROMMore vpVector series V from which is temporarily removed
To ADD1 (V) to add a pattern to
Step S209).

【0046】同様に、故障シミュレータを用いること
で、Vが100%の故障カバレージを達成した場合(ス
テップS211)(SIM(C,V))、VROM’よ
りvを取り除く(ステップS213)。つぎに、全て
のベクトルに評価を行った場合(ステップS215)
は、ステップS205,207,209,211,21
3から繰り返し求められたベクトル系列VROM’をR
OMに格納するベクトル系列とする(ステップS21
7)。なお、ステップS205,207は、予め、当た
りを付けるために行うものであり、この手順を省略して
も構わない(但し、評価時間は大きくなる)。また、ス
テップS205,207,209,211,213の手
順は、もとになるベクトル集合から一個のベクトルv
を取り除き、評価するものである。故障カバレージが1
00%のままであれば、このベクトルv を取り除くこ
とができ、さらに、故障カバレージが低下した場合は、
このベクトルvをもとに戻すことになる。
Similarly, using a failure simulator
And if V achieves 100% fault coverage (S
Step S211) (SIM (C, V)), VROM
RevpIs removed (step S213). Next, everything
When the evaluation is performed on the vector (step S215)
Correspond to steps S205, 207, 209, 211, 21
Vector series V repeatedly obtained fromROM’To R
A vector series stored in the OM (step S21)
7). Steps S205 and S207 are performed in advance.
This step is performed to skip this step.
(However, the evaluation time becomes longer). Also,
Steps 205, 207, 209, 211 and 213
The order is one vector v from the original vector set.p
Is removed and evaluated. 1 failure coverage
If it remains at 00%, this vector v pGet rid of
If the failure coverage is reduced,
This vector vpWill be restored.

【0047】回路Cを固定してアルゴリズムの複雑度を
考える。ADD2(V、v)は2×j回のパターン追
加を行う。ステップS207でのSIM(C,V)は、
n+2×j回であると共に、ステップS211でのSI
M(C,V)は、評価対象ベクトルが抜き取れる可能性
がある場合のみ実行されるので、コンスタントである。
ステップS205,207,209,211,213の
手順は、最大n回繰り返されるので、この手順の複雑度
はO(n)である。
Consider the complexity of the algorithm by fixing the circuit C. ADD2 (V, v p ) performs pattern addition 2 × j times. SIM (C, V) in step S207 is
n + 2 × j times and the SI in step S211
Since M (C, V) is executed only when there is a possibility that the evaluation target vector can be extracted, M (C, V) is constant.
Since the procedure of steps S205, 207, 209, 211, and 213 is repeated at most n times, the complexity of this procedure is O (n 2 ).

【0048】なお、以上の説明では、ATPGカバレー
ジ、故障カバレージが、100%である場合を示した
が、これに限らず、100%に近い高い率を基準にした
場合も本発明と同様に適用することができる。また、シ
フトレジスタSR、マルチプレクサMUX、メモリRO
M、擬似ランダムパターン発生器LFSR等も適宜の数
設けるようにしてもよい。
In the above description, the case where the ATPG coverage and the fault coverage are 100% has been described. can do. Also, a shift register SR, a multiplexer MUX, a memory RO
M, a pseudo random pattern generator LFSR, and the like may be provided in an appropriate number.

【0049】4.実験および比較 4−1.実験方法 ここでは、本発明をISCAS’85およびフルスキャ
ンISCAS’89ベンチマーク回路に対して適用した
実験結果を示す。前述のTPGについて、100%の故
障カバレージの達成に必要なROM容量とテスト長とを
求めた。冗長故障は故障の対象から除外した。ROMに
格納するベクトル系列はATPGツールによって生成し
た後、O(n)先頭位置選択法とO(n)抜き取り
法により圧縮した。ATPGツールは、縮退故障用AT
PGツールをトランジション故障用に拡張した。
4. Experiment and comparison 4-1. Experimental Method Here, experimental results obtained by applying the present invention to ISCAS'85 and full-scan ISCAS'89 benchmark circuits are shown. For the above-mentioned TPG, the ROM capacity and test length required to achieve 100% fault coverage were determined. Redundant faults were excluded from the list of faults. The vector series stored in the ROM was generated by the ATPG tool, and then compressed by the O (n 2 ) head position selection method and the O (n 2 ) sampling method. ATPG tool is a stuck-at fault AT
The PG tool has been extended for transition failures.

【0050】まず、ATPGベクトル1個当りの追加パ
ターン数jに関し、j=4×mとj=8×mの場合をい
くつかのCUTを用いて比較した(mはCUTの入力
数)。この結果では、j=8×mの場合は、j=4×m
の場合と比べてテスト長が約2倍になる割には格納する
ベクトルはそれ程圧縮されなかった。そこで、j=4×
mとして以下のような評価を行った。
First, with respect to the number j of additional patterns per ATPG vector, the case where j = 4 × m and j = 8 × m was compared using several CUTs (m is the number of inputs of the CUT). As a result, when j = 8 × m, j = 4 × m
Although the test length is about twice as large as that in the case of, the stored vector is not so compressed. Therefore, j = 4 ×
The following evaluation was performed as m.

【0051】また、TPGのハードウェア量の評価に関
しては、文献(J. Savir, “On chip weighted random
patterns,”in Proc. of Asian Test Symposium, pp. 3
44-352, Nov. 1997.、 C. Fagot, O. Gascuel, P. Girar
d, and C. Landrault, “A ring architecture strateg
y for BIST test pattern generation,” in Proc. of
Asian Test Symposium, pp. 418-423, Dec. 1998.)と
同様に、TPG回路をゲート換算し評価を行った。アド
レスデコーダ等含むROM部分は量産デバイス情報をも
とに1ゲート当り、一例として、22.6ビットとし
た。
Regarding the evaluation of the hardware amount of TPG, refer to the literature (J. Savir, “On chip weighted random”).
patterns, ”in Proc. of Asian Test Symposium, pp. 3
44-352, Nov. 1997., C. Fagot, O. Gascuel, P. Girar
d, and C. Landrault, “A ring architecture strateg
y for BIST test pattern generation, ”in Proc. of
As in Asian Test Symposium, pp. 418-423, Dec. 1998.), the TPG circuit was evaluated by converting it to a gate. The ROM portion including the address decoder and the like has 22.6 bits per gate as an example based on mass-produced device information.

【0052】4−2.ATPGベクトル系列の圧縮効果 図8は、O(n)先頭位置選択法とO(n)抜き取
り法によるATPGベクトル系列の圧縮結果についての
説明図である。図中、「CUT」は、CUTの種類を示
し、「テストベクトル数」は、100%の故障カバレー
ジを達成するために必要なテストベクトル数を示し、
「圧縮率」は、ATPGベクトルで生成されたテストベ
クトル数を基準としたときの圧縮率を示す。red.1
は、O(n )先頭位置選択法のみを適用した結果を示
し、red.2は、O(n)先頭位置選択法とO(n
)抜き取り法の両方を適用した結果を示す。
4-2. FIG. 8 shows the compression effect of the ATPG vector sequence.2) Head position selection method and O (n2) Extraction
The compression result of the ATPG vector series by the
FIG. In the figure, “CUT” indicates the type of CUT.
And the “number of test vectors” is 100% fault coverage
Indicates the number of test vectors needed to achieve
The “compression ratio” is the test vector generated by the ATPG vector.
Shows the compression ratio based on the vector number. red. 1
Is O (n 2) Shows the result of applying only the head position selection method
And red. 2 is O (n2) Head position selection method and O (n
23) shows the results of applying both sampling methods.

【0053】例えばC1355では、ATPGで生成さ
れた100%の故障カバレージを達成するテストベクト
ル203個に対し、O(n)先頭位置選択法によりベ
クトル数は164個(80.8%)に圧縮される。O
(n)先頭位置選択法に加えてO(n)抜き取り法
を行った場合、ベクトル数は126個(62.1%)に
圧縮される。
For example, in C1355, the number of vectors is reduced to 164 (80.8%) by the O (n 2 ) head position selection method for 203 test vectors that achieve 100% fault coverage generated by ATPG. Is done. O
When the O (n 2 ) extraction method is performed in addition to the (n 2 ) head position selection method, the number of vectors is compressed to 126 (62.1%).

【0054】O(n)先頭位置選択法によるATPG
ベクトル集合の圧縮率は平均で83.3%、加えてO
(n)抜き取り法を行うと72.8%であった。この
ように、BISTにおけるROM容量および外部テスタ
を利用した場合の使用メモリ量を圧縮できることが分か
る。
ATPG by O (n 2 ) head position selection method
The compression ratio of the vector set is 83.3% on average, plus O
(N 2 ) The result was 72.8% when the sampling method was performed. As described above, it can be understood that the ROM capacity in the BIST and the used memory capacity when the external tester is used can be reduced.

【0055】4−3.原始LFSRによるTPGとの比
較 図9は、本発明に関するTPGを故障カバレージ、テス
ト長、ハードウェアに関する原始LFSRとの比較結果
についての説明図である。図中、対象故障数は、冗長故
障を除いたトランジション故障数を示す。TPGに関し
ては、100%の故障カバレージを達成するテスト長、
ROM容量(bit)、ハードウェア比率を示す。ハー
ドウェア比率は、原始LFSRとの比率(本発明のTP
Gのハードウェア量/原始LFSRのハードウェア量)
を示す。原始LFSR方式に関しては、90%の故障カ
バレージを達成した時点でのテスト長と故障カバレージ
を示す。また、評価した範囲で最も高い故障カバレージ
を達成したテスト長と故障カバレージをも示す。
4-3. Comparison with TPG by Primitive LFSR FIG. 9 is an explanatory diagram of a comparison result of the TPG according to the present invention with the primitive LFSR relating to fault coverage, test length, and hardware. In the figure, the number of target faults indicates the number of transition faults excluding redundant faults. For TPG, test length to achieve 100% fault coverage,
The ROM capacity (bit) and the hardware ratio are shown. The hardware ratio is the ratio to the primitive LFSR (TP of the present invention).
G hardware amount / primary LFSR hardware amount)
Is shown. For the primitive LFSR method, the test length and the fault coverage at the time when 90% fault coverage is achieved are shown. It also shows the test length and fault coverage that achieved the highest fault coverage in the evaluated range.

【0056】例えば、C880では、本発明に関するT
PG方式は、テスト長は7017で100%の故障カバ
レージを達成し、1740(=29×60)ビットのR
OM容量を必要とする。また、ハードウェア比率は3.
2である。これに対して原始LFSR方式では、テスト
長951で故障カバレージは90.1%、テスト長26
2144で故障カバレージは94.5%である。したが
って、原始LFSR手法のTPGが100%の故障カバ
レージを達成するのが困難であるのに対し、本発明に関
するTPG方式では短いテスト長での達成が可能である
ことがわかる。この結果は、TPG手法は、原始LFS
Rに比べ3〜4倍程度のハードウェア量が必要であるも
のの、トランジション故障に対して短いテスト長でAT
PGカバレージを達成できることを示した。
For example, in C880, T
The PG method achieves 100% fault coverage with a test length of 7017 and an R of 1740 (= 29 × 60) bits.
Requires OM capacity. The hardware ratio is 3.
2. On the other hand, in the primitive LFSR system, the test length is 951, the fault coverage is 90.1%, and the test length is 26.
At 2144, the fault coverage is 94.5%. Therefore, it is found that it is difficult for the TPG of the primitive LFSR method to achieve 100% fault coverage, whereas the TPG method according to the present invention can be achieved with a short test length. This result shows that the TPG method uses the primitive LFS
Although it requires about 3 to 4 times the amount of hardware as compared to R, the AT has a short test length for transition failures.
It has been shown that PG coverage can be achieved.

【0057】4−4.低速テスタによる実動作速度テス
ト 図10に、本発明に関するTPGと外部テスタを組合せ
たテスタの構成図を示す。なお、図中の符号は、図3の
符号と対応している。ベクトル系列VROMは外部テス
タ21内のメモリ41に格納され、スキャンクロックc
k_A,ck_Bは、外部テスタ21で発生する。この
システムではCUT6の内部クロックよりも遅いスキャ
ンクロックを使用することができる。すなわち、トラン
ジション故障に対して、CUT6より低速な外部テスタ
21を使用した実動作テストが可能となる。したがっ
て、TPG手法では、スキャンインの周波数が実動作速
度テストクロックより低くできるため、低速テスタを使
用した実動作速度テストが可能であり、BIST設計お
よび実テストの面で有効な一手法であると言える。
4-4. Actual operation speed test using low-speed tester FIG. 10 shows a configuration diagram of a tester in which a TPG and an external tester according to the present invention are combined. In addition, the code | symbol in a figure corresponds to the code | symbol of FIG. The vector series VROM is stored in the memory 41 in the external tester 21 and has the scan clock c
k_A and ck_B are generated by the external tester 21. In this system, a scan clock that is slower than the internal clock of the CUT 6 can be used. That is, an actual operation test using the external tester 21 slower than the CUT 6 can be performed for the transition failure. Therefore, in the TPG method, since the scan-in frequency can be lower than the actual operation speed test clock, an actual operation speed test using a low-speed tester is possible, and this is an effective method in terms of BIST design and actual test. I can say.

【0058】なお、、外部テスタの代わりに書きこみ可
能ゲートアレイ(FPGA:fieldprogrammable gate a
rray)とROM(または不揮発性メモリ)を用いて、安
価なテスト回路を実現できる。また、分割シフト法(S.
Hellebrand, J. Rajski,S. Tarnick, S. Venkatarama
n, and B. Courtois, “Built-in test for circuits w
ith scan based on reseeding of multiple-polynomial
linear feedback shift resisters,” IEEE Trans. on
Computer, Vol. 44, no. 2, pp. 223-233,Feb. 199
5.)を用いると、スキャンインのためのピンが増加する
ものの、テスト時間は大幅に短縮できる。
It should be noted that a writable gate array (FPGA: field programmable gate a) is used instead of the external tester.
rray) and ROM (or non-volatile memory) can be used to realize an inexpensive test circuit. In addition, the split shift method (S.
Hellebrand, J. Rajski, S. Tarnick, S. Venkatarama
n, and B. Courtois, “Built-in test for circuits w
ith scan based on reseeding of multiple-polynomial
linear feedback shift resisters, ”IEEE Trans. on
Computer, Vol. 44, no.2, pp. 223-233, Feb. 199
Using 5.) increases the number of pins for scan-in, but can significantly reduce the test time.

【0059】[0059]

【発明の効果】本発明によれば、必要なハードウェアが
少なく、短いテスト長で高い故障カバレージを達成する
ことができる。さらに、本発明によれば、テストクロッ
クごとにスキャンインを行う必要がないため、低速テス
タを使用した実動作速度テストを行うことができる。ま
た、本発明によれば、ランダムパターン検出困難故障を
ATPGベクトルの部分列によって検出するので、AT
PGベクトルを全て使用する故障カバレージを達成する
ことができる。
According to the present invention, the required hardware is small, and a high fault coverage can be achieved with a short test length. Further, according to the present invention, since it is not necessary to perform scan-in for each test clock, an actual operation speed test using a low-speed tester can be performed. Further, according to the present invention, a random pattern detection failure is detected by a partial sequence of an ATPG vector.
Fault coverage using all PG vectors can be achieved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】関数ADD1(V)による、パターン追加例
(j=8)の説明図。
FIG. 1 is an explanatory diagram of a pattern addition example (j = 8) by a function ADD1 (V).

【図2】ベンチマーク回路に対するパターン生成例を示
すの説明図。
FIG. 2 is an explanatory diagram showing an example of pattern generation for a benchmark circuit.

【図3】本発明に関するテストパターン発生回路(TP
G)の構成図。
FIG. 3 shows a test pattern generation circuit (TP) according to the present invention.
FIG.

【図4】本発明に関するテストパターン発生器(TP
G)によるテストパターン発生例(j=8)の説明図。
FIG. 4 shows a test pattern generator (TP) according to the present invention.
FIG. 9 is an explanatory diagram of a test pattern generation example (j = 8) by G).

【図5】O(n)先頭位置選択法の説明図。FIG. 5 is an explanatory diagram of an O (n 2 ) head position selection method.

【図6】O(n)先頭位置選択法についてのフローチ
ャート。
FIG. 6 is a flowchart of an O (n 2 ) head position selection method.

【図7】O(n)抜き取り法についてのフローチャー
ト。
FIG. 7 is a flowchart of an O (n 2 ) sampling method.

【図8】O(n)先頭位置選択法とO(n)抜き取
り法によるATPGベクトル系列の圧縮結果についての
説明図。
FIG. 8 is an explanatory diagram of a compression result of an ATPG vector sequence by an O (n 2 ) head position selection method and an O (n 2 ) extraction method.

【図9】本発明に関するTPGを故障カバレージ、テス
ト長、ハードウェアに関する原始LFSRとの比較結果
についての説明図。
FIG. 9 is an explanatory diagram illustrating a comparison result of a TPG according to the present invention with a primitive LFSR relating to fault coverage, test length, and hardware.

【図10】本発明に関するTPGと外部テスタを組合せ
たテスタの構成図。
FIG. 10 is a configuration diagram of a tester that combines a TPG and an external tester according to the present invention.

【図11】BISTの一般的な構成図。FIG. 11 is a general configuration diagram of a BIST.

【符号の説明】[Explanation of symbols]

1 LFSR 2 SR_A 3 SR_B 4 ROM 5−1、5−2、5−m MUX 6 CUT 10 TPG 1 LFSR 2 SR_A 3 SR_B 4 ROM 5-1 5-2, 5-m MUX 6 CUT 10 TPG

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】状態遷移をテストするための第1のテスト
ベクトル系列を記憶した記憶部と、 前記記憶部に格納される第1のテストベクトル系列が第
1及び第2のベクトル単位に分割されてそれぞれ入力さ
れ、第1及び第2のベクトルをそれぞれ出力する第1及
び第2のベクトル発生部と、 擬似ランダムベクトルを出力する第3のベクトル発生部
と、 前記第1及び第3のベクトル発生部からの出力を混在さ
せ、また、前記第2及び第3のベクトル発生部からの出
力を混在させることにより、第2のテストベクトル系列
を作成し、被テスト回路に出力する多重部とを備えたテ
スト装置。
1. A storage unit storing a first test vector sequence for testing a state transition, and a first test vector sequence stored in the storage unit is divided into first and second vector units. First and second vector generators that output first and second vectors, respectively, a third vector generator that outputs a pseudo-random vector, and the first and third vector generators And a multiplexing unit that creates a second test vector sequence by mixing outputs from the second and third vector generation units and outputs the second test vector sequence to the circuit under test. Test equipment.
【請求項2】前記多重化部で作成される第2のテストベ
クトル系列は、前記第1のベクトル発生器から出力され
た第1のベクトル単位と、前記第3のベクトル発生部か
ら出力された擬似ランダムベクトルとを交互に配列し、
さらに、前記第2のベクトル発生器から出力された第2
のベクトル単位と、前記第3のベクトル発生部から出力
された擬似ランダムベクトルとを交互に配列することに
より生成されるようにした請求項1に記載のテスト装
置。
2. A second test vector sequence generated by the multiplexing unit, wherein a first vector unit output from the first vector generator and a second test vector sequence output from the third vector generation unit are output. Pseudo-random vectors are arranged alternately,
Further, the second vector output from the second vector generator
2. The test apparatus according to claim 1, wherein the vector unit is generated by alternately arranging a vector unit of the first vector and a pseudo random vector output from the third vector generation unit.
【請求項3】前記記憶部は、 もととなるテストベクトル系列のうち、要求された故障
カバレージを達成するために必要な部分列のみを第1の
テストベクトル系列として記憶した請求項1又は2に記
載のテスト装置。
3. The storage system according to claim 1, wherein the storage unit stores only a subsequence of the original test vector sequence necessary to achieve the required fault coverage as a first test vector sequence. A test device according to claim 1.
【請求項4】前記記憶部は、 もととなるテストベクトル系列のうち、要求された故障
カバレージを達成するのに不要なベクトルを除いて第1
のテストベクトル系列として記憶した請求項1乃至3の
いずれかに記載のテスト装置。
4. The storage unit according to claim 1, further comprising: a first test vector sequence excluding a vector unnecessary for achieving a required fault coverage.
4. The test apparatus according to claim 1, wherein the test apparatus stores the test vector series.
【請求項5】前記記憶部、前記第1乃至第3のベクトル
発生部、前記多重部は、被テスト回路と同じチップ内に
設けられるようにしたことを特徴とする請求項1乃至4
のいずれかに記載のテスト装置。
5. The apparatus according to claim 1, wherein said storage section, said first to third vector generation sections, and said multiplexing section are provided in the same chip as the circuit under test.
The test device according to any one of the above.
【請求項6】前記多重部を複数備え、 各々の前記多重部は、前記第1乃至第3のベクトル発生
部からの出力を混在させて被テスト回路へ出力するよう
にした請求項1乃至5のいずれかに記載のテスト装置。
6. The apparatus according to claim 1, further comprising a plurality of said multiplexing units, wherein each of said multiplexing units mixes outputs from said first to third vector generation units and outputs the mixed signals to a circuit under test. The test device according to any one of the above.
【請求項7】前記第3のベクトル発生部は、線形帰還シ
フトレジスタを有することを特徴とする請求項1乃至6
のいずれかに記載のテスト装置。
7. The apparatus according to claim 1, wherein said third vector generator has a linear feedback shift register.
The test device according to any one of the above.
【請求項8】前記第1及び第2のベクトル発生部は、シ
フトレジスタを有することを特徴とする請求項1乃至7
のいずれかに記載のテスト装置。
8. The apparatus according to claim 1, wherein said first and second vector generators include a shift register.
The test device according to any one of the above.
【請求項9】状態遷移をテストするための第1のテスト
ベクトル系列を第1及び第2のベクトル単位に分割して
記憶するステップと、 第1のベクトル及び擬似ランダムベクトルを混在させて
出力し、また、第2のベクトル及び擬似ランダムベクト
ルを混在させて出力することにより、第2のテストベク
トル系列を作成し、被テスト回路に出力するステップと
を備えたテスト方法。
9. A step of dividing a first test vector sequence for testing a state transition into first and second vector units and storing the divided first test vector series, and outputting the first vector and the pseudo-random vector in a mixed manner. And generating a second test vector sequence by mixing and outputting the second vector and the pseudo-random vector, and outputting the second test vector sequence to the circuit under test.
【請求項10】もととなるテストベクトル系列から要求
された故障カバレージを達成するのに必要な部分列を求
めるステップと、 もととなるテストベクトル系列のベクトル単位をずらし
て、前記部分列を求めるステップを実行するステップ
と、 最小又は比較的小さい長さの部分列を選択するステップ
とをさらに含み、 選択された部分列を第1のテストベクトル系列として用
いるようにした請求項9に記載のテスト方法。
10. A step of obtaining a subsequence necessary to achieve a required fault coverage from an original test vector sequence; and shifting the subsequence by shifting a vector unit of the original test vector sequence. 10. The method of claim 9, further comprising: performing a determining step; and selecting a subsequence of a minimum or relatively small length, wherein the selected subsequence is used as a first test vector sequence. Test method.
【請求項11】もととなるテストベクトル系列の任意の
ベクトル単位を取り除くステップと、 取り除いたベクトル単位に隣接するベクトル単位を加え
て、ベクトル系列を生成するステップとをさらに含み、 要求された故障カバレージを達成した場合、取り除かれ
たベクトル単位を除いたものを第1のテストベクトル系
列として用いるようにした請求項9又は10に記載のテ
スト方法。
11. The method according to claim 1, further comprising: removing an arbitrary vector unit of the original test vector sequence; and generating a vector sequence by adding an adjacent vector unit to the removed vector unit. 11. The test method according to claim 9, wherein, when the coverage is achieved, a value excluding the removed vector unit is used as a first test vector sequence.
【請求項12】外部ピンからもととなるテストデータを
入力することを特徴とする請求項9乃至11のいずれか
に記載のテスト方法。
12. The test method according to claim 9, wherein original test data is input from an external pin.
【請求項13】もととなるテストデータとして、自動テ
ストパターン発生ツールによるテストデータを用いるこ
とを特徴とする請求項9乃至12のいずれかに記載のテ
スト方法。
13. The test method according to claim 9, wherein test data obtained by an automatic test pattern generation tool is used as the original test data.
【請求項14】前記記憶するステップは、 第2のテストベクトル系列を被テスト回路に出力するス
ピードより遅いスピードで、第1のテストベクトル系列
をスキャンインして記憶することを特徴する請求項9乃
至13のいずれかに記載のテスト方法。
14. The method according to claim 9, wherein said storing step scans in and stores the first test vector sequence at a speed lower than a speed at which the second test vector sequence is output to the circuit under test. 14. The test method according to any one of claims 13 to 13.
JP2000028823A 2000-02-07 2000-02-07 Testing apparatus and method Pending JP2001221836A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000028823A JP2001221836A (en) 2000-02-07 2000-02-07 Testing apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000028823A JP2001221836A (en) 2000-02-07 2000-02-07 Testing apparatus and method

Publications (1)

Publication Number Publication Date
JP2001221836A true JP2001221836A (en) 2001-08-17

Family

ID=18554164

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000028823A Pending JP2001221836A (en) 2000-02-07 2000-02-07 Testing apparatus and method

Country Status (1)

Country Link
JP (1) JP2001221836A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7836370B2 (en) 2007-03-07 2010-11-16 Ricoh Company, Ltd. Scan test circuit, semiconductor integrated circuit and scan enable signal time control circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7836370B2 (en) 2007-03-07 2010-11-16 Ricoh Company, Ltd. Scan test circuit, semiconductor integrated circuit and scan enable signal time control circuit

Similar Documents

Publication Publication Date Title
Mitra et al. X-compact: An efficient response compaction technique for test cost reduction
Krasniewski et al. Circular self-test path: A low-cost BIST technique for VLSI circuits
US8280687B2 (en) Direct fault diagnostics using per-pattern compactor signatures
US5583786A (en) Apparatus and method for testing integrated circuits
US7010735B2 (en) Stuck-at fault scan chain diagnostic method
US7908534B2 (en) Diagnosable general purpose test registers scan chain design
Zhang et al. Putting wasted clock cycles to use: Enhancing fortuitous cell-aware fault detection with scan shift capture
Cheng et al. Compactor independent direct diagnosis
US7395469B2 (en) Method for implementing deterministic based broken scan chain diagnostics
US6728914B2 (en) Random path delay testing methodology
US11815555B2 (en) Universal compactor architecture for testing circuits
Wohl et al. Analysis and design of optimal combinational compactors [logic test]
Han et al. Test resource partitioning based on efficient response compaction for test time and tester channels reduction
Wang et al. Deviation-based LFSR reseeding for test-data compression
JP3672546B2 (en) Method and apparatus for determining optimum initial value in test pattern generator
Ichino et al. Hybrid BIST using partially rotational scan
US11320487B1 (en) Programmable test compactor for improving defect determination
JP2001221836A (en) Testing apparatus and method
Pomeranz Zoom-in feature for storage-based logic built-in self-test
Wang et al. A seed-selection method to increase defect coverage for LFSR-reseeding-based test compression
Li et al. Deterministic BIST based on a reconfigurable interconnection network
Pomeranz et al. On the use of fully specified initial states for testing of synchronous sequential circuits
GB2386693A (en) Scan data multiplication
Gage Structured CBIST in ASICs
Asakawa et al. BIST‐oriented test pattern generator for detection of transition faults

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20031031

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040129