JP2001156058A - Film forming apparatus - Google Patents

Film forming apparatus

Info

Publication number
JP2001156058A
JP2001156058A JP33403999A JP33403999A JP2001156058A JP 2001156058 A JP2001156058 A JP 2001156058A JP 33403999 A JP33403999 A JP 33403999A JP 33403999 A JP33403999 A JP 33403999A JP 2001156058 A JP2001156058 A JP 2001156058A
Authority
JP
Japan
Prior art keywords
reaction chamber
plasma
film
sample
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP33403999A
Other languages
Japanese (ja)
Inventor
Tetsuya Okamoto
哲也 岡本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP33403999A priority Critical patent/JP2001156058A/en
Publication of JP2001156058A publication Critical patent/JP2001156058A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a film forming apparatus capable of forming a CVD film, without causing damage to a sample due to a plasma, and forming a sputter film, without exposing the sample to the air. SOLUTION: A first reaction chamber 1 for forming the CVD film comprises a plasma generator 3 and a plasma processor 4, a second reaction chamber 2 for forming the sputter film is connected to the first reaction chamber 1, the plasma generator 3 and the plasma processor 4 are connected through an opening 18, and the first reaction chamber 1 has an exhaust hole 7 for exhausting the atmosphere in the first reaction chamber 1.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、一般に、成膜装
置に関するものであり、より特定的には、液晶表示装置
に使用されるガラス基板、または半導体装置に使用され
るシリコン等からなる半導体基板等の上に薄膜を形成す
る装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention generally relates to a film forming apparatus, and more particularly, to a glass substrate used for a liquid crystal display device or a semiconductor substrate made of silicon or the like used for a semiconductor device. And a device for forming a thin film on the like.

【0002】[0002]

【従来の技術】図9は、特開平7−115062号公報
に開示されている、従来の成膜装置の概念図である。
2. Description of the Related Art FIG. 9 is a conceptual diagram of a conventional film forming apparatus disclosed in Japanese Patent Application Laid-Open No. Hei 7-15062.

【0003】従来の成膜装置は、減圧可能に形成された
成膜室90を備える。第1の電極100と第2の電極1
02が対向して設けられている。第2の電極102の上
に基板103が装着されている。第1の電極100に高
周波電力を供給し、第2の電極102にも高周波電力を
供給し、成膜室90内に反応ガスを供給して基板103
の上に薄膜形成がCVDにより行なわれる。同一の成膜
室90において、第1の電極100にスパッタ成膜用の
ターゲット101を装着する。第2の電極102に基板
103を装着する。第1の電極100に高周波電力を供
給し、第2の電極102にも高周波電力を供給し、基板
103上に薄膜形成をスパッタ法により行なう。この装
置によれば、基板を酸化雰囲気にさらすことなく、連続
して薄膜形成が行なわれる。図中、90aは排気ユニッ
ト、90bは反応ガス供給機構、105は高周波電源
(第1の電極)、106は整合回路、107はバンドパ
スフィルタ、108は直流電源、110は高周波電源
(第2の電極)、111は整合回路を表わしている。
[0003] The conventional film forming apparatus includes a film forming chamber 90 formed so as to be capable of reducing pressure. First electrode 100 and second electrode 1
02 are provided facing each other. A substrate 103 is mounted on the second electrode 102. A high-frequency power is supplied to the first electrode 100, a high-frequency power is also supplied to the second electrode 102, and a reaction gas is supplied into the film formation chamber 90 to supply the substrate 103
A thin film is formed thereon by CVD. In the same film forming chamber 90, a target 101 for sputtering film formation is mounted on the first electrode 100. The substrate 103 is mounted on the second electrode 102. High-frequency power is supplied to the first electrode 100 and high-frequency power is also supplied to the second electrode 102, and a thin film is formed on the substrate 103 by a sputtering method. According to this apparatus, a thin film is continuously formed without exposing the substrate to an oxidizing atmosphere. In the figure, 90a is an exhaust unit, 90b is a reaction gas supply mechanism, 105 is a high-frequency power supply (first electrode), 106 is a matching circuit, 107 is a bandpass filter, 108 is a DC power supply, 110 is a high-frequency power supply (second power supply). Electrodes) and 111 represent matching circuits.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、上述の
従来の装置では、TFT(薄膜トランジスタ)を作製す
る場合において、半導体層であるSi層上にゲート絶縁
膜SiO2層を形成する際、試料表面にプラズマが照射
され、試料表面が損傷され得る。
However, in the conventional device described above, when a TFT (thin film transistor) is manufactured, when a gate insulating film SiO 2 layer is formed on a Si layer which is a semiconductor layer, a sample surface is formed. The plasma is irradiated and the sample surface may be damaged.

【0005】ゲート絶縁膜のSiO2層と半導体層のS
i層界面に、上記損傷により、電荷の蓄積あるいはトラ
ップが生じると、TFTのフラットバンド電圧(Vf
b)が変化する。フラットバンド電圧(Vfb)が変化
すると、下記(1)式に示すように、TFTのしきい値
電圧(Vth)が変化する。
[0005] The SiO 2 layer of the gate insulating film and the S
When charge accumulation or trapping occurs at the i-layer interface due to the above-mentioned damage, the flat band voltage (Vf
b) changes. When the flat band voltage (Vfb) changes, the threshold voltage (Vth) of the TFT changes as shown in the following equation (1).

【0006】 Vth=2φf+Vfb+√{2KεqNa(2φf)}/Co・・・(1) 上式において、φfはフェルミポテンシャル差、Kは半
導体の比誘電率、εは真空の誘電率、qは電子の電荷、
Naはアクセプタ密度、Coは絶縁膜の容量を表わして
いる。
Vth = 2φf + Vfb + {2KεqNa (2φf)} / Co (1) In the above equation, φf is a Fermi potential difference, K is a relative permittivity of a semiconductor, ε is a permittivity of a vacuum, and q is a permittivity of an electron. charge,
Na represents the acceptor density, and Co represents the capacity of the insulating film.

【0007】また、ゲート絶縁膜SiO2層形成後、試
料を一度大気中にさらすと、試料表面に形成されたゲー
ト絶縁膜SiO2層の表面および側面が大気と触れ、大
気中の水分を吸着する。
[0007] After the gate insulating film SiO 2 layer formed and exposing the sample once the atmosphere, touching surfaces and side surfaces of the gate insulating film SiO 2 layer formed on the sample surface with the atmosphere, adsorbs moisture in the air I do.

【0008】図10に示すとおり、SiO2層中の水分
とVfbは、水分が増えるとVfbの絶対値が大きくな
る傾向がある。上述したVfb理論値からのシフトは、
TFTを不良品とする要因となり、製品の歩留り低下を
もたらす。
As shown in FIG. 10, the moisture and Vfb in the SiO 2 layer tend to increase in absolute value of Vfb as the moisture increases. The shift from the theoretical Vfb value described above is
This may cause the TFT to be defective, resulting in a lower product yield.

【0009】この発明は、上記のような問題点を解決す
るためになされたものであり、試料にプラズマによるダ
メージを発生し難くできる成膜装置を用いて、CVD膜
を形成後、試料を大気中にさらすことなくスパッタ膜を
形成する装置を提供することを目的とする。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-described problems. After a CVD film is formed using a film forming apparatus capable of hardly causing plasma damage to a sample, the sample is removed from the atmosphere. It is an object of the present invention to provide an apparatus for forming a sputtered film without exposure to the inside.

【0010】[0010]

【課題を解決するための手段】請求項1に係る成膜装置
は、プラズマ生成部とプラズマ処理部を含む、CVD膜
を形成するための第1反応室を備える。上記第1反応室
に、スパッタ膜を形成するための第2反応室が連結され
ている。上記プラズマ生成部と上記プラズマ処理部を開
口部が結んでいる。上記第1反応室に、該第1の反応室
内の雰囲気を排気するための排気口が設けられている。
According to a first aspect of the present invention, there is provided a film forming apparatus including a first reaction chamber for forming a CVD film including a plasma generating unit and a plasma processing unit. A second reaction chamber for forming a sputtered film is connected to the first reaction chamber. An opening connects the plasma generation unit and the plasma processing unit. An exhaust port for exhausting the atmosphere in the first reaction chamber is provided in the first reaction chamber.

【0011】請求項2に係る成膜装置においては、上記
排気口は、上記開口部を挟むように該開口部の両側に設
けられている。
[0011] In the film forming apparatus according to the second aspect, the exhaust port is provided on both sides of the opening so as to sandwich the opening.

【0012】請求項3に係る成膜装置においては、上記
開口部には、気流を制御するための気流制御手段が設け
られている。
According to a third aspect of the present invention, the opening is provided with an airflow control means for controlling an airflow.

【0013】請求項4に係る成膜装置においては、請求
項2の成膜装置の場合に、上記開口部のそれぞれに、気
流を制御するための気流制御手段が設けられている。
According to a fourth aspect of the present invention, in the film forming apparatus of the second aspect, airflow control means for controlling an airflow is provided in each of the openings.

【0014】[0014]

【発明の実施の形態】請求項1に記載の成膜装置は、第
1の反応室では、プラズマ領域を試料から分離して形成
することにより、SiO2膜形成は、試料表面に損傷を
与えない酸素ラジカルとSiH4の反応により行なう。
これにより、ゲート絶縁膜へのSiO2層内部への電荷
の蓄積あるいはトラップの発生、または、SiO2層と
SiO2層の下層の半導体層のSi層界面への界面準位
の発生は抑制される。
According to the first aspect of the present invention, in the first reaction chamber, the plasma region is formed separately from the sample, so that the formation of the SiO 2 film causes damage to the sample surface. The reaction is performed by the reaction of no oxygen radical with SiH 4 .
Thus, the occurrence of accumulated or trapped charge to the internal SiO 2 layer to the gate insulating film, or the occurrence of interface states in the Si layer interface between the lower semiconductor layer of the SiO 2 layer and the SiO 2 layer is suppressed You.

【0015】第1の反応室で、SiO2層を形成した
後、試料は真空状態の第1の反応室から真空状態の第2
の反応室へ移動後、スパッタによりアルミ層を形成す
る。試料は、アルミ層を形成する前に大気にさらされな
い。また、試料を第2の反応室から大気中へ取出すとき
には、試料表面はアルミ層で覆われているため、SiO
2層に吸着される水分は低減される。
After forming the SiO 2 layer in the first reaction chamber, the sample is moved from the first reaction chamber in a vacuum state to the second reaction chamber in a vacuum state.
Then, an aluminum layer is formed by sputtering. The sample is not exposed to the atmosphere before forming the aluminum layer. When the sample is taken out of the second reaction chamber into the atmosphere, the sample surface is covered with an aluminum layer.
The moisture adsorbed on the two layers is reduced.

【0016】次に、本発明の作用について説明する。本
発明の成膜装置にあっては、2層の膜を連続して成膜す
ることができる。最初に試料にプラズマによるダメージ
を発生し難くできる成膜装置を用いて、CVD膜を形成
する。CVD膜形成時に、試料にプラズマ照射による損
傷を与えないので、良好なCVD膜と試料の界面が形成
される。
Next, the operation of the present invention will be described. In the film forming apparatus of the present invention, a two-layer film can be formed continuously. First, a CVD film is formed by using a film forming apparatus that can prevent plasma damage to a sample. Since the sample is not damaged by the plasma irradiation during the formation of the CVD film, a good interface between the CVD film and the sample is formed.

【0017】次に、上記以外の電場発生手段、つまり、
平行平板型の電場発生手段を用いてスパッタ膜を形成す
る。第1の反応室でCVD膜層形成後、試料を真空状態
のまま、別に設けた第2の反応室へ移してスパッタする
こと、および第2の反応室から大気中に取出す場合、S
iO2層上面はすべてアルミ層で覆われているため、S
iO2膜が大気と触れる面積は、スパッタ膜がない場合
より小さくなることより、CVD膜に吸着される水分の
量は少なくなる。したがって、上述の(1)式に示すV
fbの理論値からのシフトは小さくなり、作製された半
導体装置のしきい値電圧は安定する。
Next, electric field generating means other than the above, that is,
A sputtered film is formed using a parallel plate type electric field generating means. After the CVD film layer is formed in the first reaction chamber, the sample is transferred to a second reaction chamber provided separately in a vacuum state and sputtered, and when the sample is taken out from the second reaction chamber to the atmosphere,
Since the upper surface of the iO 2 layer is entirely covered with the aluminum layer,
Since the area where the iO 2 film comes into contact with the atmosphere is smaller than that without the sputtered film, the amount of water adsorbed on the CVD film is reduced. Therefore, V shown in the above equation (1)
The shift of fb from the theoretical value becomes small, and the threshold voltage of the manufactured semiconductor device becomes stable.

【0018】請求項2に記載の成膜装置は、請求項1の
第1の反応室の線形のプラズマ生成室の開口部の両側に
排気口を設け、気流をプラズマ生成室の開口部中心に対
して対称に制御し、試料の幅方向のSiO2膜厚分布の
均一性を向上させた。
According to a second aspect of the present invention, an exhaust port is provided on both sides of the opening of the linear plasma generation chamber of the first reaction chamber of the first reaction chamber of the first embodiment, and an air flow is provided at the center of the opening of the plasma generation chamber. In contrast, control was made symmetrically, and the uniformity of the SiO 2 film thickness distribution in the width direction of the sample was improved.

【0019】請求項3に記載の成膜装置は、請求項1の
第1の反応室の線形のプラズマ生成室の開口部の片側に
設けた排気口に気流制御を目的とした複数の開口部を設
け、試料の幅方向のSiO2膜厚分布の均一性を向上さ
せた。
According to a third aspect of the present invention, there is provided the film forming apparatus, wherein a plurality of openings for controlling airflow are provided at an exhaust port provided on one side of the opening of the linear plasma generation chamber of the first reaction chamber. To improve the uniformity of the SiO 2 film thickness distribution in the width direction of the sample.

【0020】請求項4に記載の成膜装置は、請求項1の
第1の反応室の線形のプラズマ生成室の開口部の両側に
設けた複数の排気口に、気流制御を目的とした複数の閉
口部を設け、試料の幅方向のSiO2膜厚分布の均一性
を向上させた。
According to a fourth aspect of the present invention, there is provided a film forming apparatus having a plurality of exhaust ports provided on both sides of an opening of a linear plasma generation chamber of the first reaction chamber for the purpose of controlling airflow. the closure part is provided, with improved homogeneity of the SiO 2 film thickness distribution in the width direction of the sample.

【0021】[0021]

【実施例】以下、この発明の実施例を図について説明す
る。
BRIEF DESCRIPTION OF THE DRAWINGS FIG.

【0022】実施例1 図1は、実施例1に係る、SiO2とアルミの成膜装置
である。図2は、成膜室の処理部を上方からみた透過断
面の概要図である。これらの図を参照して、当該成膜装
置は、プラズマ生成部3とプラズマ処理部4からなる反
応室1と、平行平板電極5,6を有する反応室2で構成
されている。反応室1および反応室2はアルミ製で、各
室内を真空にするための排気口7,8が設けられてい
る。排気口7,8には、反応室1および反応室2を真空
にするためのポンプ9,10が接続されている。反応室
1と反応室2は、仕切りバルブ11を介して直列に接続
されている。仕切りバルブ11はアルミ製で、開閉可能
に形成され、開の状態では通路などとしての機能を有
し、閉の状態では反応室1および反応室2を密閉にでき
る機能を有する。試料12は、まず反応室1に配置され
る。
Embodiment 1 FIG. 1 shows an apparatus for depositing SiO 2 and aluminum according to Embodiment 1. FIG. 2 is a schematic diagram of a transmission cross section of the processing unit of the film forming chamber as viewed from above. Referring to these figures, the film forming apparatus includes a reaction chamber 1 including a plasma generation unit 3 and a plasma processing unit 4 and a reaction chamber 2 having parallel plate electrodes 5 and 6. The reaction chamber 1 and the reaction chamber 2 are made of aluminum, and are provided with exhaust ports 7 and 8 for evacuating each chamber. Pumps 9 and 10 for evacuating the reaction chamber 1 and the reaction chamber 2 are connected to the exhaust ports 7 and 8. The reaction chamber 1 and the reaction chamber 2 are connected in series via a partition valve 11. The partition valve 11 is made of aluminum and formed to be openable and closable, has a function as a passage in an open state, and has a function of closing the reaction chamber 1 and the reaction chamber 2 in a closed state. The sample 12 is placed in the reaction chamber 1 first.

【0023】反応室1のプラズマ生成部3には、プラズ
マ生成用の高周波電力を導入するための高周波電源1
3、石英ガラス製の窓14が設けられている。石英ガラ
ス窓14の外部には、高周波電力をプラズマと結合する
ためのレゾネータ15が配置されている。また、プラズ
マ生成部3には、プラズマ形成ガス供給系16、反応ガ
ス供給系17が設けられている。線形のプラズマ生成部
3の開口部18の片側に、排気口7が設けられている。
プラズマ生成部3の開口部18の片側に排気口7を設け
ることにより、気流の制御を行ない、成膜領域を限定し
ている。
A high-frequency power supply 1 for introducing high-frequency power for plasma generation is provided in a plasma generation section 3 of the reaction chamber 1.
3. A window 14 made of quartz glass is provided. Outside the quartz glass window 14, a resonator 15 for coupling high frequency power with plasma is arranged. Further, the plasma generating unit 3 is provided with a plasma forming gas supply system 16 and a reaction gas supply system 17. An exhaust port 7 is provided on one side of the opening 18 of the linear plasma generation unit 3.
By providing the exhaust port 7 on one side of the opening 18 of the plasma generating section 3, the airflow is controlled, and the film formation region is limited.

【0024】ポンプ9で反応室1内を真空にし、レゾネ
ータ15に高周波電源13を用いて高周波電力を供給
し、プラズマ形成ガス供給系16からO2ガスを導入す
ることでプラズマが生成される。
The inside of the reaction chamber 1 is evacuated by the pump 9, high frequency power is supplied to the resonator 15 using the high frequency power supply 13, and O 2 gas is introduced from the plasma forming gas supply system 16 to generate plasma.

【0025】試料12をプラズマ生成部3の開口部18
の下へ移動させ、反応ガス供給系17からSiH4ガス
を導入すると、プラズマ中の酸素ラジカルはイオン種に
比べ、その寿命が長いため、試料12上でSiH4と反
応し、SiO2膜を形成する。ライン状に酸素ラジカル
とSiH4を試料12表面に接触させ、ステージを搬送
することにより、試料12表面全面にSiO2膜を形成
する。次に、試料12は反応室2へ移される。
The sample 12 is supplied to the opening 18 of the plasma generator 3.
When the SiH 4 gas is introduced from the reaction gas supply system 17, the oxygen radicals in the plasma have a longer lifetime than the ionic species, and therefore react with the SiH 4 on the sample 12 to form the SiO 2 film. Form. By bringing oxygen radicals and SiH 4 into contact with the surface of the sample 12 in a line, and transporting the stage, an SiO 2 film is formed on the entire surface of the sample 12. Next, the sample 12 is moved to the reaction chamber 2.

【0026】反応室2には、平行平板電極5,6、アル
ミターゲット19、プラズマ生成用の高周波電力を導入
するための高周波電源20、プラズマ形成ガス供給系2
1が設けられている。反応室2をポンプ21で真空に
し、プラズマ形成ガス供給系21からArを導入して、
プラズマを形成し、反応室1で形成されたSiO2膜の
上にアルミ膜をスパッタ法により形成する。
The reaction chamber 2 has parallel plate electrodes 5 and 6, an aluminum target 19, a high-frequency power supply 20 for introducing high-frequency power for plasma generation, and a plasma forming gas supply system 2.
1 is provided. The reaction chamber 2 is evacuated by the pump 21, Ar is introduced from the plasma forming gas supply system 21,
Plasma is formed, and an aluminum film is formed on the SiO 2 film formed in the reaction chamber 1 by a sputtering method.

【0027】実施例2 図3は、実施例2に係る、SiO2とアルミの成膜装置
である。図4は、図3に示す成膜装置の処理部を上方か
ら見た透過断面の概要図である。
Embodiment 2 FIG. 3 shows an apparatus for depositing SiO 2 and aluminum according to Embodiment 2. FIG. 4 is a schematic cross-sectional view of a processing section of the film forming apparatus shown in FIG. 3 as viewed from above.

【0028】これらの図を参照して、成膜室の概略構造
は、プラズマ生成部24とプラズマ処理部25からなる
反応室22と、平行平板電極26,27を有する反応室
23で構成されている。反応室22および反応室23は
アルミ製で、各室内を真空にするための排気口28,2
9が設けられている。排気口28,29には、反応室2
2および反応室23を真空にするためのポンプ30,4
2が接続されている。反応室22と反応室23は、仕切
りバルブ32を介し、直列に接続されている。仕切りバ
ルブ32はアルミ製で、開閉可能に形成され、開の状態
では通路などとしての機能を有し、閉の状態では反応室
22および反応室23を密閉にできる機能を有する。試
料33はまず反応室22に配置される。
Referring to these figures, the schematic structure of the film forming chamber is composed of a reaction chamber 22 having a plasma generating section 24 and a plasma processing section 25, and a reaction chamber 23 having parallel plate electrodes 26 and 27. I have. The reaction chamber 22 and the reaction chamber 23 are made of aluminum and have exhaust ports 28 and 2 for evacuating each chamber.
9 are provided. The reaction chamber 2 is provided at the exhaust ports 28 and 29.
2 and pumps 30, 4 for evacuating the reaction chamber 23
2 are connected. The reaction chamber 22 and the reaction chamber 23 are connected in series via a partition valve 32. The partition valve 32 is made of aluminum and is formed to be openable and closable, has a function as a passage in an open state, and has a function of closing the reaction chamber 22 and the reaction chamber 23 in a closed state. The sample 33 is first placed in the reaction chamber 22.

【0029】反応室22のプラズマ生成部24には、プ
ラズマ生成用の高周波電力を導入するための高周波電源
34、石英ガラス製の窓35が設けられている。石英ガ
ラス窓35の外部には高周波電力をプラズマと結合する
ためのレゾネータ36が設置されている。また、プラズ
マ生成部24にはプラズマ形成ガス供給系37、反応ガ
ス供給系38が設けられている。線形のプラズマ生成部
24の開口部39の両側に、排気口28が設けられてい
る。反応室22の線形のプラズマ生成部24の開口部3
9の両側に、排気口28を設けることにより、気流の制
御を行ない、試料33の成膜領域を限定している。
The plasma generation section 24 of the reaction chamber 22 is provided with a high-frequency power supply 34 for introducing high-frequency power for plasma generation and a window 35 made of quartz glass. Outside the quartz glass window 35, a resonator 36 for coupling high frequency power with plasma is provided. The plasma generating section 24 is provided with a plasma forming gas supply system 37 and a reaction gas supply system 38. Exhaust ports 28 are provided on both sides of the opening 39 of the linear plasma generator 24. Opening 3 of linear plasma generator 24 in reaction chamber 22
By providing exhaust ports 28 on both sides of 9, the airflow is controlled, and the film formation area of the sample 33 is limited.

【0030】ポンプ30で反応室22内を真空にし、レ
ゾネータ36に高周波電源34を用いて高周波電力を供
給し、プラズマ形成ガス供給系37からO2ガスを導入
することで、プラズマが生成される。
The reaction chamber 22 is evacuated by the pump 30, high-frequency power is supplied to the resonator 36 using the high-frequency power supply 34, and O 2 gas is introduced from the plasma forming gas supply system 37 to generate plasma. .

【0031】試料33をプラズマ生成部24の開口部3
9の下へ移動させ、反応ガス供給系38からSiH4
スを導入すると、プラズマ中の酸素ラジカルはイオン種
に比べその寿命が長いため、試料33上でSiH4と反
応し、SiO2膜を形成する。ライン状に酸素ラジカル
とSiH4を試料33表面に接触させ、ステージを搬送
することにより、試料33表面全面にSiO2膜を形成
する。次に、試料33は反応室23に移される。
The sample 33 is placed in the opening 3 of the plasma generator 24.
9 and the introduction of SiH 4 gas from the reaction gas supply system 38, the oxygen radicals in the plasma have a longer life than the ionic species, and thus react with the SiH 4 on the sample 33 to form the SiO 2 film. Form. By bringing oxygen radicals and SiH 4 into contact with the surface of the sample 33 in a line shape and transporting the stage, an SiO 2 film is formed on the entire surface of the sample 33. Next, the sample 33 is moved to the reaction chamber 23.

【0032】反応室23には、平行平板電極26,2
7、アルミターゲット40、プラズマ生成用の高周波電
力を導入するための高周波電源20、プラズマ形成ガス
供給系42が設けられている。反応室23をポンプ31
で真空にし、プラズマ形成ガス供給系42からArを導
入してプラズマを形成し、反応室22で形成されたSi
2膜の上にアルミ膜をスパッタ法により形成する。
The reaction chamber 23 has parallel plate electrodes 26, 2
7, an aluminum target 40, a high frequency power supply 20 for introducing high frequency power for plasma generation, and a plasma forming gas supply system 42. Pumping the reaction chamber 23
, And Ar is introduced from the plasma forming gas supply system 42 to form plasma, and the Si formed in the reaction chamber 22 is formed.
An aluminum film is formed on the O 2 film by a sputtering method.

【0033】実施例3 図5は、実施例3に係るSiO2とアルミの成膜装置の
概念図である。図6は、この成膜装置の処理部を上方か
ら見た透過断面の概要図である。
Embodiment 3 FIG. 5 is a conceptual view of a SiO 2 and aluminum film forming apparatus according to Embodiment 3. FIG. 6 is a schematic cross-sectional view of a processing section of the film forming apparatus as viewed from above.

【0034】これらの図を参照して、成膜装置の概略構
造は、プラズマ生成部45とプラズマ処理部46からな
る反応室43と、平行平板電極47,48を有する反応
室44で構成されている。反応室43および反応室44
はアルミ製で、各室内を真空にするための排気口49,
50を有し、排気口49,50には反応室43および反
応室44を真空にするためのポンプ51,52が接続さ
れている。反応室43と反応室44は、仕切りバルブ5
3を介し、直列に接続されている。仕切りバルブ53は
アルミ製で、開閉可能に形成され、開の状態では通路な
どとしての機能を有し、閉の状態では反応室43および
反応室44を密閉にできる機能を有する。試料54はま
ず反応室43に配置される。
Referring to these drawings, the schematic structure of the film forming apparatus is composed of a reaction chamber 43 having a plasma generation section 45 and a plasma processing section 46, and a reaction chamber 44 having parallel plate electrodes 47 and 48. I have. Reaction chamber 43 and reaction chamber 44
Is made of aluminum and has an exhaust port 49 for evacuating each room.
Pumps 51 and 52 for evacuating the reaction chamber 43 and the reaction chamber 44 are connected to the exhaust ports 49 and 50, respectively. The reaction chamber 43 and the reaction chamber 44
3 are connected in series. The partition valve 53 is made of aluminum and formed to be openable and closable, has a function as a passage in an open state, and has a function of closing the reaction chamber 43 and the reaction chamber 44 in a closed state. The sample 54 is first placed in the reaction chamber 43.

【0035】反応室43のプラズマ生成部45には、プ
ラズマ生成用の高周波電力を導入するための高周波電源
55、石英ガラス製の窓56が設けられている。石英ガ
ラス窓56の外部には高周波電力をプラズマと結合する
ためのレゾネータ55が設置されている。また、プラズ
マ生成部45にはプラズマ形成ガス供給系58、反応ガ
ス供給系59が設けられている。線形のプラズマ生成部
45の開口部60の片側に、排気口49が設けられてい
る。
The plasma generation section 45 of the reaction chamber 43 is provided with a high frequency power supply 55 for introducing high frequency power for plasma generation and a window 56 made of quartz glass. Outside the quartz glass window 56, a resonator 55 for coupling high frequency power with plasma is provided. The plasma generating section 45 is provided with a plasma forming gas supply system 58 and a reaction gas supply system 59. An exhaust port 49 is provided on one side of the opening 60 of the linear plasma generation unit 45.

【0036】プラズマ生成部45の開口部60の片側に
排気口49を設けることにより、気流の制御を行ない、
成膜領域を限定している。排気口49には、さらに気流
制御を目的とした複数の閉口部64が設けられている。
これにより、幅方向の膜厚分布の均一性を改善してい
る。ポンプ51で反応室43内を真空にし、レゾネータ
57に高周波電源55を用いて高周波電力を供給し、プ
ラズマ形成ガス供給系58からO2ガスを投入すること
で、プラズマが生成される。
By providing an exhaust port 49 on one side of the opening 60 of the plasma generating section 45, the air flow is controlled,
The film formation region is limited. The exhaust port 49 is further provided with a plurality of closing portions 64 for the purpose of airflow control.
Thereby, the uniformity of the film thickness distribution in the width direction is improved. The inside of the reaction chamber 43 is evacuated by the pump 51, high frequency power is supplied to the resonator 57 using the high frequency power supply 55, and O 2 gas is supplied from the plasma forming gas supply system 58 to generate plasma.

【0037】試料54をプラズマ生成部45の開口部6
0の下へ移動させ、反応ガス供給系59からSiH4
スを導入すると、プラズマ中の酸素ラジカルはイオン種
に比べてその寿命が長いため、試料54上でSiH4
反応し、SiO2膜を形成する。ライン状に酸素ラジカ
ルとSiH4を試料54表面に接触させ、ステージを搬
送することにより、試料54表面全面にSiO2膜を形
成する。次に、試料54は反応室44へ移される。
The sample 54 is placed in the opening 6 of the plasma generator 45.
0, and the SiH 4 gas is introduced from the reaction gas supply system 59, the oxygen radicals in the plasma have a longer lifetime than the ionic species, and thus react with the SiH 4 on the sample 54 to form an SiO 2 film. To form By bringing oxygen radicals and SiH 4 into contact with the surface of the sample 54 in a line, and transporting the stage, an SiO 2 film is formed on the entire surface of the sample 54. Next, the sample 54 is moved to the reaction chamber 44.

【0038】反応室44には平行平板電極47,48、
アルミターゲット61、プラズマ生成用の高周波電力を
導入するための高周波電源62、プラズマ形成ガス供給
系63が設けられている。反応室44をポンプ63で真
空にし、プラズマ形成ガス供給系63からArを導入し
てプラズマを形成し、反応室43で形成されたSiO 2
膜の上にアルミ膜をスパッタ法により形成する。
In the reaction chamber 44, parallel plate electrodes 47, 48,
Aluminum target 61, high frequency power for plasma generation
High frequency power supply 62 for introduction, supply of plasma forming gas
A system 63 is provided. Reaction chamber 44 is pumped by pump 63
Empty and introduce Ar from the plasma forming gas supply system 63
To form plasma, and the SiO 2 formed in the reaction chamber 43 Two
An aluminum film is formed on the film by a sputtering method.

【0039】実施例4 図7は、実施例4に係る、SiO2とアルミの成膜装置
である。図8は、成膜装置の処理部を上方から見た透過
断面の概略図である。
Fourth Embodiment FIG. 7 shows an apparatus for forming a film of SiO 2 and aluminum according to a fourth embodiment. FIG. 8 is a schematic diagram of a transmission cross section of the processing unit of the film forming apparatus viewed from above.

【0040】これらの図を参照して、成膜装置は、プラ
ズマ生成部67とプラズマ処理部68からなる反応室6
5と、平行平板電極69,70を有する反応室66で構
成されている。反応室65および反応室66はアルミ製
で、各室内を真空にするための排気口71,72が設け
られている。排気口71,72には反応室65および反
応室66を真空にするためのポンプ73,74が接続さ
れている。反応室65と反応室66は、仕切りバルブ7
5を介して直列に接続されている。仕切りバルブ75は
アルミ製で、開閉可能に形成され、開の状態では通路な
どとしての機能を有し、閉の状態では反応室65および
反応室66を密閉にできる機能を有する。試料76はま
ず反応室65に配置される。
Referring to these figures, the film forming apparatus comprises a reaction chamber 6 comprising a plasma generating section 67 and a plasma processing section 68.
5 and a reaction chamber 66 having parallel plate electrodes 69 and 70. The reaction chamber 65 and the reaction chamber 66 are made of aluminum, and are provided with exhaust ports 71 and 72 for evacuating each chamber. Pumps 73 and 74 for evacuating the reaction chamber 65 and the reaction chamber 66 are connected to the exhaust ports 71 and 72, respectively. The reaction chamber 65 and the reaction chamber 66
5 are connected in series. The partition valve 75 is made of aluminum and is formed to be openable and closable, has a function as a passage in an open state, and has a function of closing the reaction chamber 65 and the reaction chamber 66 in a closed state. The sample 76 is first placed in the reaction chamber 65.

【0041】反応室65のプラズマ生成部67にはプラ
ズマ生成用の高周波電力を導入するための高周波電源7
7、石英ガラス製の窓78が設けられている。石英ガラ
ス窓78の外部には高周波電力をプラズマと結合するた
めのレゾネータ79が設置されている。また、プラズマ
生成部67にはプラズマ形成ガス供給系80、反応ガス
供給系81が設けられている。
A high-frequency power source 7 for introducing high-frequency power for plasma generation is provided in a plasma generation section 67 of the reaction chamber 65.
7. A window 78 made of quartz glass is provided. Outside the quartz glass window 78, a resonator 79 for coupling high frequency power with plasma is provided. The plasma generating section 67 is provided with a plasma forming gas supply system 80 and a reaction gas supply system 81.

【0042】線形のプラズマ生成部67の開口部68の
両側に排気口71が設けられている。反応室65の線形
のプラズマ生成部67の開口部68の両側に排気口7
1,71が設けられている。開口部68の両側に排気口
71,71を設けることにより、気流の制御を行ない、
試料76の成膜領域を限定している。ポンプ73で反応
室65内を真空にし、レゾネータ79に高周波電源77
を用いて高周波電力を供給し、プラズマ形成ガス供給系
80からO2ガスを導入することでプラズマが生成され
る。試料76をプラズマ生成部67の開口部82の下へ
移動させ、反応ガス供給系81からSiH4ガスを導入
すると、プラズマ中の酸素ラジカルはイオン種に比べ、
その寿命が長いため、試料76上でSiH4と反応し、
SiO2膜を形成する。ライン状に酸素ラジカルとSi
4を試料76表面に接触させ、ステージを搬送するこ
とにより、試料76表面全面にSiO2膜を形成する。
次に、試料76は反応室66へ移される。
Exhaust ports 71 are provided on both sides of the opening 68 of the linear plasma generating section 67. Exhaust ports 7 are provided on both sides of the opening 68 of the linear plasma generating section 67 of the reaction chamber 65.
1, 71 are provided. By providing exhaust ports 71, 71 on both sides of the opening 68, airflow is controlled,
The film formation region of the sample 76 is limited. The inside of the reaction chamber 65 is evacuated by the pump 73, and the high frequency power supply 77 is supplied to the resonator 79.
The plasma is generated by supplying high-frequency electric power using O 2 and introducing O 2 gas from the plasma forming gas supply system 80. When the sample 76 is moved below the opening 82 of the plasma generation unit 67 and SiH 4 gas is introduced from the reaction gas supply system 81, oxygen radicals in the plasma are
Due to its long life, it reacts with SiH 4 on sample 76,
An SiO 2 film is formed. Oxygen radicals and Si in a line
By bringing H 4 into contact with the surface of the sample 76 and transporting the stage, an SiO 2 film is formed on the entire surface of the sample 76.
Next, the sample 76 is moved to the reaction chamber 66.

【0043】反応室65には平行平板電極69,70、
アルミターゲット83、プラズマ生成用の高周波電力を
導入するための高周波電源84、プラズマ形成ガス供給
系85が設けられている。
In the reaction chamber 65, parallel plate electrodes 69, 70,
An aluminum target 83, a high frequency power supply 84 for introducing high frequency power for plasma generation, and a plasma forming gas supply system 85 are provided.

【0044】反応室66をポンプ74で真空にし、プラ
ズマ形成ガス供給系85からArを導入してプラズマを
形成し、反応室65で形成されたSiO2膜の上にアル
ミ膜をスパッタ法により形成する。
The reaction chamber 66 is evacuated by a pump 74, Ar is introduced from a plasma forming gas supply system 85 to form plasma, and an aluminum film is formed by sputtering on the SiO 2 film formed in the reaction chamber 65. I do.

【0045】以上この発明の実施例を説明したが、この
発明はこれに限られるものでなく、ロードロックチャン
バ→CVD装置→スパッタ装置→ロッドロックチャンバ
の構成としたインライン方式を採用することも、もちろ
ん可能である。
Although the embodiment of the present invention has been described above, the present invention is not limited to this, and it is possible to adopt an in-line system having a load lock chamber → CVD apparatus → sputter apparatus → rod lock chamber. Of course it is possible.

【0046】以上、本発明を要約すると、次のとおりで
ある。図1を参照して、請求項1に記載の成膜装置によ
り、最初、反応室1で、プラズマによる照射損傷を試料
12に与えないように設けた電場発生手段を用いて、試
料12の表面にSiO2膜を形成する。反応室1に設け
たプラズマ形成ガス供給系16からO2ガスを供給し、
プラズマにより酸素ラジカルを形成する。反応ガス供給
系17からSiH4ガスを供給し、酸素ラジカルと反応
させることにより、試料12の表面にSiO2膜が形成
される。これにより、プラズマ照射によるゲート絶縁膜
のSiO2層内部への電荷の蓄積あるいはトラップの発
生が抑制でき、また上記SiO2層と上記SiO2層の下
層の半導体装置のSi層界面への界面準位の発生が抑制
できる。反応室1の線形のプラズマ生成室33の開口部
18の片側に排気口7を設けることにより、気流の制御
を行ない、試料12の成膜領域を限定している。
The present invention is summarized as follows. Referring to FIG. 1, the surface of sample 12 is first formed by a film forming apparatus according to claim 1 by using an electric field generating means provided in reaction chamber 1 so as not to cause irradiation damage to sample 12 by plasma. To form a SiO 2 film. O 2 gas is supplied from a plasma forming gas supply system 16 provided in the reaction chamber 1,
Oxygen radicals are formed by plasma. By supplying SiH 4 gas from the reaction gas supply system 17 and reacting it with oxygen radicals, a SiO 2 film is formed on the surface of the sample 12. Accordingly, the accumulation or trap occurrence of charges into inside the SiO 2 layer of the gate insulating film by plasma irradiation can be suppressed, and the interface state of the Si layer interface of a semiconductor device of the lower layer of the SiO 2 layer and the SiO 2 layer The generation of the position can be suppressed. By providing the exhaust port 7 on one side of the opening 18 of the linear plasma generation chamber 33 of the reaction chamber 1, the airflow is controlled, and the film formation area of the sample 12 is limited.

【0047】第1の反応室1でCVD膜層を形成した
後、試料12を真空状態のまま、別に設けた第2の反応
室2へ移してスパッタ後、大気中に取り出すことによ
り、SiO2層が大気と触れる面積は、スパッタ膜があ
るため小さくなり、CVD膜に吸着される水分の量も少
なくなる。したがって、上述の(1)式に示すVfbの
理論値からのシフトは小さくなり、作製された半導体装
置のしきい値電圧は安定する効果がある。
After the CVD film layer is formed in the first reaction chamber 1, the sample 12 is transferred to a second reaction chamber 2 provided separately while keeping the vacuum state, and after sputtering, the sample 12 is taken out to the atmosphere to obtain SiO 2. The area where the layer is in contact with the atmosphere is reduced due to the presence of the sputtered film, and the amount of moisture adsorbed on the CVD film is also reduced. Accordingly, the shift from the theoretical value of Vfb shown in the above equation (1) becomes small, and the threshold voltage of the manufactured semiconductor device is stabilized.

【0048】図3を参照して、請求項2に記載の成膜装
置により、最初、反応室22で、プラズマによる照射損
傷を試料33に与えないように設けた電場発生手段を用
いて、試料33の表面にSiO2膜を形成する。反応室
22に設けたプラズマ形成ガス供給系37からO2ガス
を供給し、プラズマにより酸素ラジカルを形成する。反
応ガス供給系38からSiH4ガスを供給し、酸素ラジ
カルと反応させることにより、試料33の表面にSiO
2膜が形成される。これにより、プラズマ照射によるゲ
ート絶縁膜のSiO2層内部への電荷の蓄積あるいはト
ラップの発生が抑制でき、また、上記SiO2層と上記
SiO2層の下層の半導体層のSi層界面への界面準位
の発生が抑制できる。
Referring to FIG. 3, the film forming apparatus according to claim 2 first uses the electric field generating means provided in the reaction chamber 22 so as not to damage the sample 33 by irradiation with plasma. An SiO 2 film is formed on the surface of the substrate 33. O 2 gas is supplied from a plasma forming gas supply system 37 provided in the reaction chamber 22, and oxygen radicals are formed by plasma. By supplying SiH 4 gas from the reaction gas supply system 38 and reacting it with oxygen radicals, SiO
Two films are formed. Thereby, accumulation of electric charges or generation of traps inside the SiO 2 layer of the gate insulating film due to plasma irradiation can be suppressed, and the interface between the SiO 2 layer and the semiconductor layer below the SiO 2 layer to the Si layer interface can be suppressed. Generation of a level can be suppressed.

【0049】反応室22の線形のプラズマ生成室24の
開口部39の両側に排気口28を設けることにより、気
流の制御を行ない、試料33の成膜領域を限定してい
る。
By providing exhaust ports 28 on both sides of the opening 39 of the linear plasma generation chamber 24 of the reaction chamber 22, the airflow is controlled, and the film formation area of the sample 33 is limited.

【0050】第1の反応室22でCVD膜層を形成した
後、試料を真空状態のまま、別に設けた第2の反応室2
3に移してスパッタ後、大気中に取り出すことにより、
SiO2層が直接大気と触れる面積は、スパッタ膜があ
るため小さくなり、CVD膜に吸着される水分の量も少
なくなる。したがって、(1)式に示すVfbの理論値
からのシフトは小さくなり、作製された半導体装置のし
きい値電圧は安定する効果がある。
After the CVD film layer is formed in the first reaction chamber 22, the sample is kept in a vacuum state while the second reaction chamber 2 is provided separately.
3 and after sputtering, take it out to the atmosphere,
The area where the SiO 2 layer is in direct contact with the atmosphere is reduced due to the presence of the sputtered film, and the amount of moisture adsorbed on the CVD film is also reduced. Therefore, the shift from the theoretical value of Vfb shown in the equation (1) becomes small, and the threshold voltage of the manufactured semiconductor device is stabilized.

【0051】図5を参照して、請求項3に記載の成膜装
置により、最初、反応室43で、プラズマによる照射損
傷を試料54に与えないように設けた電波発生手段を用
いて、試料54の表面にSiO2膜を形成する。反応室
43に設けたプラズマ形成ガス供給系58からO2ガス
を供給し、プラズマにより酸素ラジカルを形成する。反
応ガス供給系59からSiH4ガスを供給し、酸素ラジ
カルと反応させることにより、試料54の表面にSiO
2膜が形成される。これにより、プラズマ照射によるゲ
ート絶縁膜のSiO2層内部への電荷の蓄積あるいはト
ラップの発生が抑制でき、また、上記SiO2層と上記
SiO2層の下層の半導体層のSi層界面への界面準位
の発生が抑制できる。
Referring to FIG. 5, the film forming apparatus according to claim 3 uses a radio wave generating means provided in the reaction chamber 43 so as not to damage the sample 54 by irradiation with plasma. An SiO 2 film is formed on the surface of the substrate. O 2 gas is supplied from a plasma forming gas supply system 58 provided in the reaction chamber 43, and oxygen radicals are formed by plasma. By supplying SiH 4 gas from the reaction gas supply system 59 and reacting it with oxygen radicals, SiO
Two films are formed. Thereby, accumulation of electric charges or generation of traps inside the SiO 2 layer of the gate insulating film due to plasma irradiation can be suppressed, and the interface between the SiO 2 layer and the semiconductor layer below the SiO 2 layer to the Si layer interface can be suppressed. Generation of a level can be suppressed.

【0052】反応室33の排気口49には気流制御を目
的とした複数の開口部64が設けられている。これによ
り、気流の制御を行ない、幅方向の膜厚分布の均一性を
改善している。
The exhaust port 49 of the reaction chamber 33 is provided with a plurality of openings 64 for controlling air flow. Thereby, the airflow is controlled, and the uniformity of the film thickness distribution in the width direction is improved.

【0053】第1の反応室43でCVD膜層を形成した
後、試料54を真空状態のまま、別に設けた第2の反応
室44へ移してスパッタ後、大気中に取り出すことによ
り、SiO2層が直接大気と触れる面積は、スパッタ膜
があるため小さくなり、CVD膜に吸着される水分の量
も少なくなる。したがって、(1)式に示すVfbの理
論値からのシフトは小さくなり、作製された半導体装置
のしきい値電圧は安定する効果がある。
After the CVD film layer is formed in the first reaction chamber 43, the sample 54 is transferred to a second reaction chamber 44 provided separately while keeping the vacuum state, and after sputtering, the sample 54 is taken out to the atmosphere to obtain SiO 2. The area where the layer is in direct contact with the atmosphere is reduced due to the presence of the sputtered film, and the amount of moisture adsorbed on the CVD film is also reduced. Therefore, the shift from the theoretical value of Vfb shown in the equation (1) becomes small, and the threshold voltage of the manufactured semiconductor device is stabilized.

【0054】図7を参照して、請求項4に記載の成膜装
置により、最初、反応室65で、プラズマによる照射損
傷を試料76に与えないように設けた電場発生手段を用
いて、試料76の表面にSiO2膜を形成する。反応室
65に設けたプラズマ形成ガス供給系80からO2ガス
を供給し、プラズマにより酸素ラジカルを形成する。反
応ガス供給系81からSiH4ガスを供給し、酸素ラジ
カルと反応させることにより、試料76の表面にSiO
2膜が形成される。これにより、プラズマ照射によるゲ
ート絶縁膜のSiO2層内部への電荷の蓄積あるいはト
ラップの発生が抑制でき、また、上記SiO2層と上記
SiO2層の下層の半導体層のSi層界面への界面準位
の発生が抑制できる。
Referring to FIG. 7, the film forming apparatus according to claim 4 first uses the electric field generating means provided in the reaction chamber 65 so as not to damage the sample 76 by irradiation with plasma. An SiO 2 film is formed on the surface of the substrate. O 2 gas is supplied from a plasma forming gas supply system 80 provided in the reaction chamber 65, and oxygen radicals are formed by plasma. By supplying SiH 4 gas from the reaction gas supply system 81 and reacting it with oxygen radicals, SiO 2 is deposited on the surface of the sample 76.
Two films are formed. Thereby, accumulation of electric charges or generation of traps inside the SiO 2 layer of the gate insulating film due to plasma irradiation can be suppressed, and the interface between the SiO 2 layer and the semiconductor layer below the SiO 2 layer to the Si layer interface can be suppressed. Generation of a level can be suppressed.

【0055】プラズマ生成部67の開口部82の両側に
排気口71を設けることにより、試料76の成膜領域を
限定している。排気口71には気流制御を目的とした複
数の閉口部86が設けられている。これにより、気流の
制御を行ない、幅方向の膜厚分布の均一性を改善してい
る。
By providing exhaust ports 71 on both sides of the opening 82 of the plasma generating section 67, the film formation region of the sample 76 is limited. The exhaust port 71 is provided with a plurality of closing parts 86 for the purpose of airflow control. Thereby, the airflow is controlled, and the uniformity of the film thickness distribution in the width direction is improved.

【0056】第1の反応室65でCVD膜層を形成した
後、試料76を真空状態のまま、別に設けた第2の反応
室66へ移してスパッタ後、大気中に取り出すことによ
り、SiO2層が直接大気と触れる面積は、スパッタ膜
があるため小さくなり、CVD膜に吸着される水分の量
も少なくなる。したがって、(1)式に示すVfbの理
論値からのシフトは小さくなり、作製された半導体装置
のしきい値電圧は安定する効果がある。
[0056] After forming the CVD layer in the first reaction chamber 65, while the sample 76 in a vacuum state, after the sputtering transferred into the second reaction chamber 66 which is provided separately, by taking in the air, SiO 2 The area where the layer is in direct contact with the atmosphere is reduced due to the presence of the sputtered film, and the amount of moisture adsorbed on the CVD film is also reduced. Therefore, the shift from the theoretical value of Vfb shown in the equation (1) becomes small, and the threshold voltage of the manufactured semiconductor device is stabilized.

【0057】今回開示された実施の形態はすべての点で
例示であって制限的なものではないと考えられるべきで
ある。本発明の範囲は上記した説明ではなくて特許請求
の範囲によって示され、特許請求の範囲と均等の意味お
よび範囲内でのすべての変更が含まれることが意図され
る。
The embodiments disclosed this time are to be considered in all respects as illustrative and not restrictive. The scope of the present invention is defined by the terms of the claims, rather than the description above, and is intended to include any modifications within the scope and meaning equivalent to the terms of the claims.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 実施例1に係る成膜装置の側面から見た断面
の概要図である。
FIG. 1 is a schematic diagram of a cross section as viewed from a side surface of a film forming apparatus according to a first embodiment.

【図2】 図1に記載の成膜装置の処理部を上方から見
た透過断面の概要図である。
FIG. 2 is a schematic view of a transmission section of the processing unit of the film forming apparatus shown in FIG. 1 as viewed from above.

【図3】 実施例2に係る成膜装置の側面から見た断面
の概要図である。
FIG. 3 is a schematic diagram of a cross section as viewed from a side surface of a film forming apparatus according to a second embodiment.

【図4】 実施例2に係る成膜装置の処理部を上方から
見た透過断面の概要図である。
FIG. 4 is a schematic cross-sectional view of a processing unit of a film forming apparatus according to a second embodiment, as viewed from above.

【図5】 実施例3に係る成膜装置の側面から見た断面
の概要図である。
FIG. 5 is a schematic cross-sectional view of a film forming apparatus according to a third embodiment as viewed from the side.

【図6】 実施例3に係る成膜装置の処理部を上方から
見た透過断面の概要図である。
FIG. 6 is a schematic diagram of a transmission section of a processing unit of a film forming apparatus according to a third embodiment as viewed from above.

【図7】 実施例4に係る成膜装置の側面から見た断面
の概要図である。
FIG. 7 is a schematic cross-sectional view of a film forming apparatus according to a fourth embodiment as viewed from a side.

【図8】 実施例4に係る成膜装置の処理部を上方から
見た透過断面の概要図である。
FIG. 8 is a schematic cross-sectional view of a processing section of a film forming apparatus according to a fourth embodiment as viewed from above.

【図9】 従来の成膜装置の側面から見た断面の概要図
である。
FIG. 9 is a schematic view of a cross section viewed from the side of a conventional film forming apparatus.

【図10】 SiO2層中の水分とVfbの相関曲線を
示す図である。
FIG. 10 is a diagram showing a correlation curve between water in a SiO 2 layer and Vfb.

【符号の説明】[Explanation of symbols]

1 第1反応室、2 第2反応室、3 プラズマ生成
部、4 プラズマ処理部、7 排気口、18 開口部。
1 first reaction chamber, 2 second reaction chamber, 3 plasma generation section, 4 plasma processing section, 7 exhaust port, 18 opening.

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 AA06 AA14 BA44 CA04 CA06 FA04 HA03 KA08 KA30 LA15 LA18 4M104 DD39 DD44 5F045 AA08 AA16 AA19 AB32 AB40 AC01 AC11 AF03 AF07 BB02 BB16 CA15 DQ15 EB08 EE20 EF20 EH14 EH18 HA25 5F103 AA06 AA08 BB09 BB36 BB47 BB49 DD27 HH03 HH04 LL13 RR06  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 4K030 AA06 AA14 BA44 CA04 CA06 FA04 HA03 KA08 KA30 LA15 LA18 4M104 DD39 DD44 5F045 AA08 AA16 AA19 AB32 AB40 AC01 AC11 AF03 AF07 BB02 BB16 CA15 DQ15 EB08 EE20 A06 E06 A06 E06 A25 E08 BB09 BB36 BB47 BB49 DD27 HH03 HH04 LL13 RR06

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 プラズマ生成部とプラズマ処理部を含
む、CVD膜を形成するための第1反応室と、 前記第1反応室に連結され、スパッタ膜を形成するため
の第2反応室と、 前記プラズマ生成部と前記プラズマ処理部を結ぶ開口部
と、 前記第1反応室に設けられ、該第1の反応室内の雰囲気
を排気するための排気口と、を備えた成膜装置。
A first reaction chamber including a plasma generation unit and a plasma processing unit for forming a CVD film, a second reaction chamber connected to the first reaction chamber and forming a sputtered film, A film forming apparatus comprising: an opening that connects the plasma generation unit and the plasma processing unit; and an exhaust port provided in the first reaction chamber and configured to exhaust an atmosphere in the first reaction chamber.
【請求項2】 前記排気口は、前記開口部を挟むよう
に、該開口部の両側に設けられている、請求項1に記載
の成膜装置。
2. The film forming apparatus according to claim 1, wherein the exhaust ports are provided on both sides of the opening so as to sandwich the opening.
【請求項3】 前記開口部には、気流を制御するための
気流制御手段が設けられている、請求項1に記載の成膜
装置。
3. The film forming apparatus according to claim 1, wherein the opening is provided with an airflow control unit for controlling an airflow.
【請求項4】 前記開口部のそれぞれに、気流を制御す
るための気流制御手段が設けられている、請求項2に記
載の成膜装置。
4. The film forming apparatus according to claim 2, wherein airflow control means for controlling an airflow is provided in each of said openings.
JP33403999A 1999-11-25 1999-11-25 Film forming apparatus Withdrawn JP2001156058A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP33403999A JP2001156058A (en) 1999-11-25 1999-11-25 Film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP33403999A JP2001156058A (en) 1999-11-25 1999-11-25 Film forming apparatus

Publications (1)

Publication Number Publication Date
JP2001156058A true JP2001156058A (en) 2001-06-08

Family

ID=18272832

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33403999A Withdrawn JP2001156058A (en) 1999-11-25 1999-11-25 Film forming apparatus

Country Status (1)

Country Link
JP (1) JP2001156058A (en)

Similar Documents

Publication Publication Date Title
JP2024016261A (en) semiconductor equipment
JPH0950992A (en) Film forming device
JPH01312851A (en) Manufacture of semiconductor device
TW201417300A (en) Display device
TW201419542A (en) Semiconductor device
TWI533378B (en) A silicon nitride film forming method, and a silicon nitride film forming apparatus
JP3161392B2 (en) Plasma CVD apparatus and its dry cleaning method
JP2004319761A (en) Vacuum treating device
KR20080009568A (en) Semiconductor apparatus having monitering system of temperature and humidity in vacuum chamber and methode of analysis the temperature and the humidity
CN108300968B (en) Film forming method and vacuum processing apparatus
JP2001156058A (en) Film forming apparatus
US20040121086A1 (en) Thin film depositing method and apparatus
JP2003100773A (en) System and method for forming base coating and thin film layer by consecutive sputter deposition
JP2889191B2 (en) Dry etching method
JPH0783011B2 (en) Decompression treatment method and device
JPH06112168A (en) Plasma apparatus
KR20070075935A (en) Vacuum pumping system of substrate processing apparatus and method of vacuum pumping transfer chamber using the same
JPH09270404A (en) Treatment of substrate
CN110777358B (en) Film forming method and film forming apparatus
JP7130548B2 (en) Film forming method and film forming apparatus
WO2022158365A1 (en) Substrate processing method and substrate processing apparatus
JP2761579B2 (en) Substrate processing equipment
JP4521607B2 (en) External cathode electrode type sputtering system
KR20060021136A (en) Plasma vacuum equipment
JP3446352B2 (en) Vacuum evacuation method for vacuum pre-chamber

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070206