JP2001022089A - Method for working insulating film - Google Patents

Method for working insulating film

Info

Publication number
JP2001022089A
JP2001022089A JP11190555A JP19055599A JP2001022089A JP 2001022089 A JP2001022089 A JP 2001022089A JP 11190555 A JP11190555 A JP 11190555A JP 19055599 A JP19055599 A JP 19055599A JP 2001022089 A JP2001022089 A JP 2001022089A
Authority
JP
Japan
Prior art keywords
mask material
film
resist
pattern
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11190555A
Other languages
Japanese (ja)
Inventor
Yasuhiko Sato
康彦 佐藤
Yoshihiko Nakano
義彦 中野
Shuji Hayase
修二 早瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP11190555A priority Critical patent/JP2001022089A/en
Publication of JP2001022089A publication Critical patent/JP2001022089A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To obtain an insulating film pattern of a good worked shape with good dimensional controllability. SOLUTION: This method includes toll owing processes. A mask material 3 containing an organosilicon compound having an Si-Si or Si-C bond in the principal chain is formed on an insulating film. The organic group of the organosilicon compound is degraded. A resist film is formed on the mask material. The formed resist film is patternwise exposed and developed to form a resist pattern 5. The resist pattern is transferred to the mask material to form a mask material pattern. The mask material pattern is transferred to the insulating film.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、絶縁膜の加工方法
に係り、特に、半導体装置の製造における絶縁膜の加工
方法に関する。
The present invention relates to a method for processing an insulating film, and more particularly, to a method for processing an insulating film in manufacturing a semiconductor device.

【0002】[0002]

【従来の技術】半導体装置の製造方法においては、多く
の絶縁膜の加工工程が存在する。通常、これらの加工工
程では、絶縁膜上にレジスト膜と呼ばれる感光性樹脂膜
を形成し、これにパターン露光を行なった後、現像工程
を経てレジストパターンを形成し、さらにこのレジスト
パターンをエッチングマスクとして用いて絶縁膜をドラ
イエッチングすることがなされる。
2. Description of the Related Art In a method of manufacturing a semiconductor device, there are many processing steps of an insulating film. Usually, in these processing steps, a photosensitive resin film called a resist film is formed on an insulating film, pattern exposure is performed on the photosensitive resin film, a resist pattern is formed through a developing step, and the resist pattern is further etched with an etching mask. Is used to dry-etch the insulating film.

【0003】しかしながら、パターン露光時に必要な解
像性、露光量裕度、或はフォーカス裕度をもたせるため
に、レジスト膜の膜厚を薄くする必要が生じており、そ
のため、絶縁膜のエッチングに必要な膜厚を確保できな
くなってきている。
However, it is necessary to reduce the thickness of the resist film in order to provide the necessary resolution, exposure latitude, or focus latitude during pattern exposure. The required film thickness cannot be secured.

【0004】この問題を解決するために、絶縁膜上にレ
ジスト膜よりもエッチング耐性があるマスク材を形成
し、レジストパターンをマスク材に、次いで被加工膜に
と、順次パターン転写する方法がとられている。
In order to solve this problem, there is a method of forming a mask material on an insulating film which is more resistant to etching than a resist film, and sequentially transferring the pattern from the resist pattern to the mask material and then to the film to be processed. Have been.

【0005】この方法に用いるマスク材として、従来か
ら、1)ポリシラン、2)ノボラック系樹脂などの有機
樹脂、3)カーボン、4)アルミニウム、タングステン
などのメタルが用いられてきた。
Conventionally, as a mask material used in this method, 1) polysilane, 2) organic resin such as novolak resin, 3) carbon, 4) metal such as aluminum and tungsten have been used.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、上記
1)、2)のマスク材は、絶縁膜のエッチング途中で側
壁荒れが発生し、この側壁荒れが絶縁膜に転写されてし
まい、良好な加工形状が得られないという問題がある。
However, in the mask materials 1) and 2) described above, the roughened side walls occur during the etching of the insulating film, and the roughened side walls are transferred to the insulating film. There is a problem that can not be obtained.

【0007】また、上記3)、4)のマスク材は、CV
D法やスパッター法で成膜がなされるため、塗布法で成
膜可能な1)、2)のマスク材と比べ、成膜工程が複雑
であり、プロセスコストが増大してしまうという問題が
ある。
Further, the mask material of the above 3) and 4) is CV
Since the film is formed by the method D or the sputtering method, there is a problem that the film forming process is complicated and the process cost is increased as compared with the mask materials 1) and 2) which can be formed by the coating method. .

【0008】本発明は、以上の問題点に鑑みてなされ、
塗布法で成膜可能であり、被加工膜をエッチングする際
に表面荒れが発生しないマスク材を用いて、加工形状が
良好な絶縁膜パターンを寸法制御性良く得ることを可能
とする絶縁膜の加工方法を提供することを目的とする。
[0008] The present invention has been made in view of the above problems,
Using a mask material that can be formed by a coating method and does not cause surface roughness when etching a film to be processed, it is possible to obtain an insulating film pattern with a good processing shape with good dimensional controllability. It is intended to provide a processing method.

【0009】[0009]

【課題を解決するための手段】上記課題を解決するた
め、本発明は、絶縁膜上に主鎖にシリコンとシリコン、
或はシリコンと炭素の結合を有する有機シリコン化合物
を含有するマスク材を形成する工程、前記有機シリコン
化合物の有機基を分解する工程、前記マスク材上にレジ
スト膜を形成する工程、前記レジスト膜に対してパター
ン露光および現像を行ってレジストパターンを形成する
工程、前記レジストパターンを前記マスク材に転写して
マスク材パターンを形成する工程、および前記マスク材
パターンを前記絶縁膜に転写する工程を具備することを
特徴とする絶縁膜の加工方法を提供する。
In order to solve the above-mentioned problems, the present invention provides a semiconductor device comprising:
Or a step of forming a mask material containing an organic silicon compound having a bond between silicon and carbon, a step of decomposing organic groups of the organic silicon compound, a step of forming a resist film on the mask material, Pattern exposure and development to form a resist pattern, transferring the resist pattern to the mask material to form a mask material pattern, and transferring the mask material pattern to the insulating film. And a method for processing an insulating film.

【0010】かかる絶縁膜の加工方法において、有機シ
リコン化合物の有機基の分解を、マスク材を300〜1
200℃の温度でアニールすること、またはマスク材に
電子線を照射することによって行うことが出来る。この
場合、アニールは、酸素濃度1%以下の雰囲気中でなさ
れることが望ましい。また、アニールは、1×10-1
orr以下の減圧雰囲気下でなされることが望ましい。
In the method of processing an insulating film, the decomposition of the organic group of the organic silicon compound is performed by using a mask material of 300 to 1
Annealing can be performed at a temperature of 200 ° C. or by irradiating the mask material with an electron beam. In this case, the annealing is desirably performed in an atmosphere having an oxygen concentration of 1% or less. Annealing is performed at 1 × 10 −1 T
It is desirable to be performed under a reduced pressure atmosphere of orr or lower.

【0011】以上のように構成される本発明の絶縁膜の
加工方法によると、主鎖にシリコンとシリコン、或はシ
リコンと炭素の結合を有する有機シリコン化合物中の有
機基を分解して無機的に改質することで、絶縁膜エッチ
ング時にマスク材に生じる側壁荒れを防ぐことができ、
その結果、側壁荒れのない絶縁膜パターンを得ることが
可能になる。
According to the insulating film processing method of the present invention configured as described above, an organic group in an organic silicon compound having a silicon-silicon or silicon-carbon bond in its main chain is decomposed to form an inorganic film. By modifying to, it is possible to prevent the side wall roughness generated in the mask material during the etching of the insulating film,
As a result, it is possible to obtain an insulating film pattern without side wall roughness.

【0012】[0012]

【発明の実施の形態】以下、本発明の実施の形態に係る
絶縁膜の加工方法について、図面を参照して具体的に説
明する。図1および図2は、本発明の一実施形態に係る
絶縁膜の加工方法を示す断面図である。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A method for processing an insulating film according to an embodiment of the present invention will be specifically described below with reference to the drawings. 1 and 2 are cross-sectional views illustrating a method for processing an insulating film according to an embodiment of the present invention.

【0013】まず、図1(a)に示すように、ウェハー
基板1上に被加工膜2を形成する。被加工膜2は絶縁膜
であれば特に限定されることはないが、例えば、酸化シ
リコン膜、窒化シリコン膜、酸窒化シリコン膜、或はス
ピンオングラス、マスク製造の際に用いられるブランク
材などを挙げることができる。
First, as shown in FIG. 1A, a film to be processed 2 is formed on a wafer substrate 1. The processing target film 2 is not particularly limited as long as it is an insulating film. For example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a spin-on glass, a blank material used in manufacturing a mask, or the like is used. Can be mentioned.

【0014】次いで、図1(b)に示すように、ウェハ
ー基板1上に形成された被加工膜2上に、シリコンとシ
リコンとの結合を主鎖に有する有機シリコン化合物、或
は主鎖にシリコンとカーボンとの結合を有する有機シリ
コン化合物を含有するマスク材3を形成する。
Next, as shown in FIG. 1 (b), an organic silicon compound having a bond between silicon and silicon in the main chain, or an organic silicon compound in the main chain, is formed on the film 2 to be processed formed on the wafer substrate 1. A mask material 3 containing an organic silicon compound having a bond between silicon and carbon is formed.

【0015】マスク材3の膜厚は、50〜5000nm
の範囲にあることが好ましい。その理由は、膜厚が50
nm以下では、光露光でパターン形成を行う場合、下地
基板からの反射光を十分に抑えることができず、500
0nmより厚いとレジストパターンをドライエッチング
法でマスク材にパターン転写する際に寸法変換差が顕著
に発生するためである。
The thickness of the mask material 3 is 50 to 5000 nm.
Is preferably within the range. The reason is that the film thickness is 50
If it is less than 500 nm, when forming a pattern by light exposure, the reflected light from the underlying substrate cannot be sufficiently suppressed, and
If the thickness is larger than 0 nm, a significant difference in dimensional conversion occurs when the resist pattern is transferred to the mask material by the dry etching method.

【0016】また、マスク材中のシリコン含有率は、マ
スク材100重量部に対して5〜65重量部が好まし
い。その理由は、5重量部以下ではレジストパターンを
マスク材に転写する際にマスク材がエッチングされにく
くなり、寸法変換差の増大を招くためである。一方、6
5重量部以上では、有機シリコン化合物が溶媒に溶解し
にくくなり、塗布法で塗膜を得ることが困難になる。
The silicon content in the mask material is preferably 5 to 65 parts by weight based on 100 parts by weight of the mask material. The reason is that when the amount is less than 5 parts by weight, the mask material is hardly etched when the resist pattern is transferred to the mask material, which causes an increase in a dimensional conversion difference. On the other hand, 6
If the amount is 5 parts by weight or more, the organic silicon compound becomes difficult to dissolve in the solvent, and it becomes difficult to obtain a coating film by a coating method.

【0017】マスク材の形成方法は、溶液を塗布する方
法と、CVD法(化学的気相蒸着法)のような気相法に
より成膜する方法のどちらでもよいが、塗布法でマスク
材を形成することが好ましい。その理由は、CVDと比
べると塗布法はプロセスが簡易で、プロセスコストを抑
えることができるからである。
The method of forming the mask material may be either a method of applying a solution or a method of forming a film by a gas phase method such as a CVD method (chemical vapor deposition method). Preferably, it is formed. The reason is that the coating method has a simpler process than the CVD method and can reduce the process cost.

【0018】ここで、塗布法でのマスク材形成方法につ
いて詳述する。まず、シリコンとシリコンの結合を主鎖
に有する有機シリコン化合物を有機溶剤に溶解して溶液
材料を作成する。
Here, a method of forming a mask material by a coating method will be described in detail. First, an organic silicon compound having a bond between silicon and silicon in its main chain is dissolved in an organic solvent to prepare a solution material.

【0019】シリコンとシリコンの結合を主鎖に有する
有機シリコン化合物としては、例えば一般式(SiR11
12)で表わすことができるポリシランが挙げられる
(ここで、R11およびR12は、水素原子または炭素数1
〜20の置換もしくは非置換の脂肪族炭化水素または芳
香族炭化水素などを示す。)。
Examples of the organic silicon compound having a bond between silicon and silicon in its main chain include, for example, a compound represented by the general formula (SiR 11)
R 12 ), wherein R 11 and R 12 are a hydrogen atom or a carbon atom
And 20 to 20 substituted or unsubstituted aliphatic hydrocarbons or aromatic hydrocarbons. ).

【0020】ポリシランは単独重合体でも共重合体でも
よく、2種以上のポリシランが酸素原子、窒素原子、脂
肪族基、芳香族基を介して互いに結合した構造を有する
ものでもよい。以下、有機シリコン化合物の具体例を示
す。
The polysilane may be a homopolymer or a copolymer, and may have a structure in which two or more polysilanes are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group, or an aromatic group. Hereinafter, specific examples of the organic silicon compound will be described.

【0021】[0021]

【化1】 Embedded image

【0022】[0022]

【化2】 Embedded image

【0023】[0023]

【化3】 Embedded image

【0024】[0024]

【化4】 Embedded image

【0025】[0025]

【化5】 Embedded image

【0026】[0026]

【化6】 Embedded image

【0027】[0027]

【化7】 Embedded image

【0028】[0028]

【化8】 Embedded image

【0029】[0029]

【化9】 Embedded image

【0030】[0030]

【化10】 Embedded image

【0031】[0031]

【化11】 Embedded image

【0032】[0032]

【化12】 Embedded image

【0033】[0033]

【化13】 Embedded image

【0034】[0034]

【化14】 Embedded image

【0035】[0035]

【化15】 Embedded image

【0036】[0036]

【化16】 Embedded image

【0037】上記式中、m、nは、正の整数を表わす。
これらの化合物の分子量は特に限定されることはない
が、200〜100,000が好ましい。その理由は、
分子量が200未満では、レジストの溶剤にマスク材が
溶解してしまい、一方、100,000を超えると、有
機溶剤が溶解しにくく、溶液材料を作成しにくくなるた
めである。
In the above formula, m and n represent positive integers.
The molecular weight of these compounds is not particularly limited, but is preferably from 200 to 100,000. The reason is,
If the molecular weight is less than 200, the mask material will be dissolved in the solvent of the resist, while if it exceeds 100,000, the organic solvent will not be easily dissolved and it will be difficult to prepare a solution material.

【0038】有機シリコン化合物は、一種類に限ること
はなく、数種類の化合物を混合してもよい。また、必要
に応じて貯蔵安定性をはかるために熱重合防止剤、シリ
コン系絶縁膜への密着性を向上させるための密着性向上
剤、シリコン系絶縁膜からレジスト膜中へ反射する光を
防ぐために紫外光を吸収する染料、ポリサルフォン、ポ
リベンズイミダゾールなどの紫外光を吸収するポリマ
ー、導電性物質、光、熱で導電性が生じる物質、或は有
機シリコン化合物を架橋させ得る架橋剤を添加してもよ
い。
The organic silicon compound is not limited to one kind, and several kinds of compounds may be mixed. In addition, a thermal polymerization inhibitor for improving storage stability as needed, an adhesion enhancer for improving adhesion to the silicon-based insulating film, and preventing light reflected from the silicon-based insulating film into the resist film. Dyes that absorb ultraviolet light, polymers that absorb ultraviolet light such as polysulfone and polybenzimidazole, conductive substances, substances that generate conductivity when exposed to light or heat, or cross-linking agents that can crosslink organosilicon compounds. You may.

【0039】導電性物質としては、例えば、有機スルフ
ォン酸、有機カルボン酸、多価アルコール、多価チオー
ル(例えばヨウ素、臭素)、SbF5、PF5、BF5、S
nF5などが挙げられる。
Examples of the conductive substance include organic sulfonic acids, organic carboxylic acids, polyhydric alcohols, polyhydric thiols (eg, iodine and bromine), SbF 5 , PF 5 , BF 5 , and S
nF 5 and the like.

【0040】光、熱などのエネルギーで導電性が生じる
物質としては、炭素クラスタ(C60,C70)、シアノア
ントラセン、ジシアノアントラセン、トリフェニルピリ
ウム、テトラフルオロボレート、テトラシアノキノジメ
タン、テトラシアノエチレン、フタルイミドトリフレー
ト、パークロロペンタシクロドデカン、ジシアノベンゼ
ン、ベンゾニトリル、トリクロロメチルトリアジン、ベ
ンゾイルペルオキシド、ベンゾフェノンテトラカルボン
酸、t−ブチルペルオキシドなどが挙げられる。
Examples of the substance that becomes conductive by energy such as light or heat include carbon clusters (C 60 , C 70 ), cyanoanthracene, dicyananothracene, triphenylpyrium, tetrafluoroborate, tetracyanoquinodimethane, and tetracyanoquinodimethane. Examples include cyanoethylene, phthalimide triflate, perchloropentacyclododecane, dicyanobenzene, benzonitrile, trichloromethyltriazine, benzoyl peroxide, benzophenonetetracarboxylic acid, and t-butyl peroxide.

【0041】より具体的には下記式[2−1]〜[2−1
06]に示す化合物を挙げることができる。
More specifically, the following formulas [2-1] to [2-1]
06].

【0042】[0042]

【化17】 Embedded image

【0043】[0043]

【化18】 Embedded image

【0044】[0044]

【化19】 Embedded image

【0045】[0045]

【化20】 Embedded image

【0046】[0046]

【化21】 Embedded image

【0047】[0047]

【化22】 Embedded image

【0048】[0048]

【化23】 Embedded image

【0049】[0049]

【化24】 Embedded image

【0050】[0050]

【化25】 Embedded image

【0051】[0051]

【化26】 Embedded image

【0052】架橋剤は、有機シリコン化合物を架橋させ
て、レジストと有機シリコン化合物とのミキシングを防
止するとともに、耐熱性を向上させるために加えられ
る。
The crosslinking agent is added to crosslink the organosilicon compound to prevent mixing of the resist and the organosilicon compound, and to improve heat resistance.

【0053】架橋剤としては、多重結合を有する有機物
を用いることが出来る。多重結合を有する有機物とは、
二重結合または三重結合を有する化合物、より具体的に
は、ビニル基、アクリル基、アリール基、イミド基、ア
セチレニル基などを有する化合物である。このような多
重結合を有する有機物は、モノマー、オリゴマー、ポリ
マーのいずれでもよい。
As the crosslinking agent, an organic substance having a multiple bond can be used. The organic substance having a multiple bond is
A compound having a double bond or a triple bond, more specifically, a compound having a vinyl group, an acrylic group, an aryl group, an imide group, an acetylenyl group, or the like. The organic substance having such a multiple bond may be any of a monomer, an oligomer, and a polymer.

【0054】このような多重結合を有する有機物は、熱
または光により有機シリコン化合物のSi−H結合との
間で付加反応を起こし、有機シリコン化合物を架橋させ
る。なお、多重結合を有する有機物は、自己重合してい
てもよい。多重結合を有する有機物の具体例を以下に示
す。
The organic substance having such a multiple bond causes an addition reaction with the Si—H bond of the organic silicon compound by heat or light to crosslink the organic silicon compound. Note that the organic substance having a multiple bond may be self-polymerized. Specific examples of the organic substance having a multiple bond are shown below.

【0055】[0055]

【化27】 Embedded image

【0056】[0056]

【化28】 Embedded image

【0057】[0057]

【化29】 Embedded image

【0058】[0058]

【化30】 Embedded image

【0059】[0059]

【化31】 Embedded image

【0060】[0060]

【化32】 Embedded image

【0061】[0061]

【化33】 Embedded image

【0062】[0062]

【化34】 Embedded image

【0063】[0063]

【化35】 Embedded image

【0064】[0064]

【化36】 Embedded image

【0065】有機溶剤は特に限定されることはないが、
例えばアセトン、メチルエチルケトン、メチルイソブチ
ルケトン、シクロヘキサノン等のケトン系溶剤、メチル
セロソルブ、メチルセロソルブアセテート、エチルセロ
ソルアセテート等のセロソルブ系溶剤、乳酸エチル、酢
酸エチル、酢酸ブチル、酢酸イソアミル等のエステル系
溶剤、メタノール、エタノール、イソプロパノール等の
アルコール系溶剤、その他アニソール、トルエン、キシ
レン、ナフサなどを挙げることができる。
The organic solvent is not particularly limited.
For example, acetone, methyl ethyl ketone, methyl isobutyl ketone, ketone solvents such as cyclohexanone, methyl cellosolve, methyl cellosolve acetate, cellosolve solvents such as ethyl cellosolve acetate, ethyl lactate, ethyl acetate, butyl acetate, ester solvents such as isoamyl acetate, Examples thereof include alcohol solvents such as methanol, ethanol, and isopropanol, and anisole, toluene, xylene, and naphtha.

【0066】以上の方法で塗布材料を作成し、被加工膜
2上に、例えばスピンコーテング法などで溶液材料を塗
布した後、加熱して溶剤を気化することにより、マスク
材3を形成する。そして、ホットプレート、電気炉、熱
輻射炉、赤外線照射などを用いてアニールを行うことに
より、有機シリコン化合物中の有機基を分解する。
A coating material is prepared by the above method, and a solution material is applied on the film to be processed 2 by, for example, a spin coating method, and then heated to evaporate the solvent, thereby forming the mask material 3. Then, an organic group in the organic silicon compound is decomposed by performing annealing using a hot plate, an electric furnace, a thermal radiation furnace, infrared irradiation, or the like.

【0067】有機基の分解度は、有機基の赤外吸収スペ
クトルの面積吸収強度を1として、少なくとも0.98
以下になるように行うことが好ましい。その理由は、
0.98を越えると、有機基の分解が不十分で、被加工
膜のエッチング時にマスク材が不均一にエッチングされ
てしまい、マスク材の表面が荒れてしまうからである。
The degree of decomposition of the organic group is at least 0.98 with the area absorption intensity of the infrared absorption spectrum of the organic group as 1.
It is preferable to perform the following. The reason is,
If it exceeds 0.98, the decomposition of the organic group is insufficient, and the mask material is etched non-uniformly at the time of etching the film to be processed, and the surface of the mask material is roughened.

【0068】なお、膜厚方向の有機基の分解状態の分布
については、限定されることはない。
The distribution of the decomposition state of the organic group in the film thickness direction is not limited.

【0069】アニール温度は300〜1200℃の範囲
が好ましい。アニール温度が300℃以下では分解反応
が充分に進行せず、一方、1200℃以上では酸化が進
行し、レジストパターンをマスク材に転写する際にマス
ク材がエッチングされにくくなり、寸法変換差の増大を
招いてしまう。
The annealing temperature is preferably in the range of 300 to 1200 ° C. If the annealing temperature is lower than 300 ° C., the decomposition reaction does not proceed sufficiently. On the other hand, if the annealing temperature is higher than 1200 ° C., the mask material is hardly etched when the resist pattern is transferred to the mask material, and the dimensional conversion difference increases. Will be invited.

【0070】アニール時間は10秒〜10時間の範囲が
好ましい。アニール時間が10秒以下では分解反応が充
分に進行せず、一方、10時間以上では酸化が進行し、
レジストパターンをマスク材に転写する際にマスク材が
エッチングされにくくなり、寸法変換差の増大を招いて
しまう。
The annealing time is preferably in the range of 10 seconds to 10 hours. If the annealing time is less than 10 seconds, the decomposition reaction does not sufficiently proceed, while if the annealing time is more than 10 hours, the oxidation proceeds,
When the resist pattern is transferred to the mask material, the mask material is less likely to be etched, resulting in an increase in the dimensional conversion difference.

【0071】アニール中の雰囲気は、酸素濃度が1%、
より好ましくは1000ppm以下が望ましい。酸素濃
度が1%以上では酸化が進行し、マスク材がエッチング
されにくくなり、寸法変換差の増大を招いてしまう。
The atmosphere during the annealing has an oxygen concentration of 1%,
More preferably, the concentration is 1000 ppm or less. If the oxygen concentration is 1% or more, oxidation proceeds, making it difficult for the mask material to be etched, resulting in an increase in dimensional conversion difference.

【0072】また、アニール中の圧力は、1×10-1
orr以下が好ましい。アニール中の圧力が1×10-1
Torr以上の真空度では、真空度が低く、分解した炭
素成分がマスク材中に残留し、レジストパターンをマス
ク材に転写する際にマスク材がエッチングされにくくな
り、寸法変換差の増大を招いてしまう。
The pressure during annealing is 1 × 10 -1 T
orr or less is preferable. The pressure during annealing is 1 × 10 -1
When the degree of vacuum is equal to or higher than Torr, the degree of vacuum is low, the decomposed carbon component remains in the mask material, and the mask material is not easily etched when the resist pattern is transferred to the mask material, resulting in an increase in dimensional conversion difference. I will.

【0073】有機基の分解は、マスク材に電子線を照射
することによっても行うことが出来る。電子線の照射量
は、特に限定されないが、通常は0.01μC/cm
〜1×10mC/ cmが好ましい。電子線の照射
量が0.01μC/cm未満では、分解が起こりにく
く、一方、1×10mC/ cmを越えると、照射
量が強すぎて、酸化が起こってしまう。
The decomposition of the organic group can also be performed by irradiating the mask material with an electron beam. The irradiation amount of the electron beam is not particularly limited, but is usually 0.01 μC / cm 2.
〜1 × 10 6 mC / cm 2 is preferable. When the irradiation amount of the electron beam is less than 0.01 μC / cm 2 , decomposition hardly occurs. On the other hand, when the irradiation amount exceeds 1 × 10 6 mC / cm 2 , the irradiation amount is too strong and oxidation occurs.

【0074】なお、電子線の照射と加熱を同時に行って
よい。その場合、加熱温度は特に限定されることはな
い。また、電子線の照射雰囲気は、上述したアニール雰
囲気と同様である。
The irradiation of the electron beam and the heating may be performed simultaneously. In that case, the heating temperature is not particularly limited. The electron beam irradiation atmosphere is the same as the annealing atmosphere described above.

【0075】次に、マスク材3上にレジストパターンを
形成する。まず、図1(c)に示すように、マスク材3
上にレジスト溶液を塗布して、加熱処理を行い、レジス
ト膜4を形成する。レジスト膜4の膜厚を薄くすれば、
それだけ、露光時の露光量裕度、フォーカス裕度、或は
解像度を向上させることができる。そのため、レジスト
膜4の膜厚は、マスク材3を寸法制御性よくエッチング
できる膜厚であれば薄い方がよく、10〜10000n
mの範囲が好ましい。
Next, a resist pattern is formed on the mask material 3. First, as shown in FIG.
A resist solution is applied thereon and subjected to a heat treatment to form a resist film 4. If the thickness of the resist film 4 is reduced,
As a result, the exposure latitude, focus latitude, or resolution at the time of exposure can be improved. Therefore, the thickness of the resist film 4 is preferably as thin as possible so long as the mask material 3 can be etched with good dimensional controllability.
The range of m is preferred.

【0076】レジストの種類は、特に限定されることは
なく、目的に応じて、ポジ型またはネガ型を選択して使
用することができる。具体的には、ポジ型レジストとし
ては、例えば、ナフトキノンジアジドとノボラック樹脂
とからなるレジスト(IX−770、日本合成ゴム社
製)、t−BOCで保護したポリビニルフェノール樹脂
と酸発生剤とからなる化学増幅型レジスト(APEX−
E、シップレー社製)などが挙げられる。また、ネガ型
のレジストとしては、例えば、ポリビニルフェノールと
メラミン樹脂および光酸発生材からなる化学増幅型レジ
スト(SNR200、シップレー社製)、ポリビニルフ
ェノールとビスアジド化合物とからなるレジスト(RD
−2000N、日立化成社製)などが挙げられるが、こ
れらに限定されることはない。
The type of the resist is not particularly limited, and a positive type or a negative type can be selected and used according to the purpose. Specifically, as the positive resist, for example, a resist (IX-770, manufactured by Nippon Synthetic Rubber Co., Ltd.) composed of naphthoquinonediazide and a novolak resin, a polyvinylphenol resin protected with t-BOC and an acid generator Chemically amplified resist (APEX-
E, manufactured by Shipley Co., Ltd.). Examples of the negative resist include, for example, a chemically amplified resist (SNR200, manufactured by Shipley Co., Ltd.) comprising polyvinylphenol, a melamine resin and a photoacid generator, and a resist (RD) comprising polyvinylphenol and a bisazide compound.
-2000N, manufactured by Hitachi Chemical Co., Ltd.), but is not limited thereto.

【0077】これらのレジスト溶液をマスク材3上に、
例えばスピンコーテング法、ディップ法などで塗布した
後、加熱して溶媒を気化させることで、レジスト膜4を
作成する。
These resist solutions are put on the mask material 3.
For example, after coating by a spin coating method, a dip method, or the like, the resist film 4 is formed by heating to vaporize the solvent.

【0078】露光光源については限定されることはな
く、例えば紫外光、X線、電子ビーム、イオンビームな
どが挙げられる。紫外光としては、水銀灯のg線(43
6nm)、i線(365nm)、或はXeF(波長=3
51nm)、XeCl(波長=308nm)、KrF
(波長=248nm)、KrCl(波長=222n
m)、ArF(波長=193nm)、F2 (波長=15
1nm)等のエキシマレーザーを挙げることができる。
The exposure light source is not limited, and includes, for example, ultraviolet light, X-ray, electron beam, ion beam and the like. As the ultraviolet light, a mercury lamp g-line (43
6 nm), i-line (365 nm), or XeF (wavelength = 3
51 nm), XeCl (wavelength = 308 nm), KrF
(Wavelength = 248 nm), KrCl (wavelength = 222 n)
m), ArF (wavelength = 193 nm), F 2 (wavelength = 15
Excimer laser such as 1 nm).

【0079】そして、図1(d)に示すように、露光さ
れたレジスト膜4に対し、TMAH、コリンなどのアル
カリ現像液で現像処理を行うことにより、レジストパタ
ーン5を形成する。
Then, as shown in FIG. 1D, the exposed resist film 4 is developed with an alkali developing solution such as TMAH or choline to form a resist pattern 5.

【0080】なお、必要に応じて、光露光を行った場合
に生じるレジスト膜4中の多重反射を減少させるため
に、上層反射防止膜、或は、電子ビーム露光を行った場
合にレジスト膜4中に生じるチャージアップを防ぐため
に、上層帯電防止膜をレジスト膜4の上に形成してもよ
い。
If necessary, in order to reduce the multiple reflection in the resist film 4 that occurs when light exposure is performed, the upper antireflection film or the resist film 4 when electron beam exposure is performed. An upper antistatic film may be formed on the resist film 4 to prevent charge-up occurring therein.

【0081】次に、図2(e)に示すように、レジスト
パターン5をエッチングマスクとして用いて、マスク材
3をドライエッチングすることにより、レジストパター
ン5をマスク材3に転写することが出来、それによって
マスク材パターン6を得る。
Next, as shown in FIG. 2E, the resist material 5 can be transferred to the mask material 3 by dry etching the mask material 3 using the resist pattern 5 as an etching mask. Thereby, a mask material pattern 6 is obtained.

【0082】このときのエッチング方式としては、例え
ば反応性イオンエッチング、マグネトロン型反応性イオ
ンエッチング、電子ビームイオンエッチング、ICPエ
ッチング、またはECRイオンエッチングなど、微細加
工が可能なものであれば特に限定されることはない。
The etching method at this time is not particularly limited as long as fine processing is possible, such as reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching. Never.

【0083】レジストとの選択比を維持するため、ウェ
ハーを設置した電極に印加する電力密度は10W/cm
2 以下に抑えることが望ましい。その理由は、マスク材
3のエッチングはケミカルエッチングに近いので、スパ
ッター性が強くなることでレジストのエッチング速度が
上昇し、選択比が低下するのを防ぐためである。
In order to maintain the selectivity with the resist, the power density applied to the electrode on which the wafer is placed is 10 W / cm
It is desirable to keep it to 2 or less. The reason is that the etching of the mask material 3 is close to the chemical etching, so that the sputterability is increased, so that the etching rate of the resist is increased and the selectivity is prevented from being lowered.

【0084】また、さらにプラズマ生成とバイアス生成
が独立して行えるような装置を用いる場合は、上記理由
によりバイアスを低くするとともに、イオンの数が過剰
にならないように、プラズマ生成に用いる電力を抑える
必要がある。そのため、プラズマ生成に用いる電力は、
処理するウェハー面積に対して10W/cm2 以下に抑
えることが望ましいのである。
In the case where an apparatus capable of independently generating plasma and generating bias is used, the bias is reduced for the above-described reason, and the power used for generating plasma is suppressed so that the number of ions does not become excessive. There is a need. Therefore, the power used for plasma generation is
It is desirable that the area of the wafer to be processed be suppressed to 10 W / cm 2 or less.

【0085】基板温度は、10℃以上180℃以下が好
ましい。その理由は、10℃未満ではエッチレートが遅
く、スループットが低下し、180℃を越えるとレジス
トパターンがメルトしてしまうためである。
The substrate temperature is preferably from 10 ° C. to 180 ° C. The reason is that if the temperature is lower than 10 ° C., the etching rate is low, and the throughput is reduced. If the temperature exceeds 180 ° C., the resist pattern is melted.

【0086】ソースガスとしては、塩素系ガスすなわち
塩素原子を分子中に含むガス、或は臭素系ガスすなわち
臭素原子を分子中に含むガスを用いることが好ましく、
塩素系ガスとしては、例えば、CF3 Cl、CF2 Cl
2 、CF3 Br、CCl4 、C2 5 Cl2 、Cl2
SiCl4 、BCl3 、臭素系ガスとしてはHBrなど
のガスを挙げることができる。これら塩素系ガス、臭素
系ガスを混合して用いて良い。以上のようにしてマスク
材をエッチングすることで、レジストに対して高選択比
をとることができ、また、高寸法制御性をもった加工を
行うことが可能である。
As the source gas, it is preferable to use a chlorine-based gas, ie, a gas containing chlorine atoms in molecules, or a bromine-based gas, ie, a gas containing bromine atoms in molecules.
Examples of the chlorine-based gas include CF 3 Cl, CF 2 Cl
2 , CF 3 Br, CCl 4 , C 2 F 5 Cl 2 , Cl 2 ,
Examples of SiCl 4, BCl 3 , and bromine-based gases include gases such as HBr. These chlorine gas and bromine gas may be mixed and used. By etching the mask material as described above, a high selectivity with respect to the resist can be obtained, and processing with high dimensional controllability can be performed.

【0087】次に、図2(f)に示すように、レジスト
パターン5とマスク材パターン6をエッチングマスクと
して用いて、被加工膜2のエッチング加工を行う。エッ
チング方式としては、例えば反応性イオンエッチング、
マグネトロン型反応性イオンエッチング、電子ビームイ
オンエッチング、ICPエッチング、またはECRイオ
ンエッチングなど、微細加工可能なものであれば特に限
定されることはない。
Next, as shown in FIG. 2F, etching of the film to be processed 2 is performed using the resist pattern 5 and the mask material pattern 6 as an etching mask. As an etching method, for example, reactive ion etching,
There is no particular limitation as long as it can be finely processed, such as magnetron-type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching.

【0088】レジスト或はマスク材との選択比を維持す
るため、ウェハーに設置した電極に印加する電力密度は
10W/cm2 以下に抑えることが望ましい。その理由
は、スパッター性が強くなることでレジスト或はマスク
材のエッチング速度が上昇し、マスク耐性が低下するの
を防ぐためである。
In order to maintain the selectivity with respect to the resist or the mask material, it is desirable that the power density applied to the electrodes provided on the wafer be suppressed to 10 W / cm 2 or less. The reason is to prevent the etching rate of the resist or the mask material from increasing due to the increase in the sputterability, thereby preventing the mask resistance from decreasing.

【0089】また、さらにプラズマ生成とバイアス生成
が独立して行えるような装置を用いる場合は、上記理由
によりバイアスを低くするとともに、イオンの数が過剰
にならないように、プラズマ生成に用いる電力を抑える
必要がある。そのため、プラズマ生成に用いる電力は、
処理するウェハー面積に対して10W/cm2 以下に抑
えることが望ましい。
In the case where an apparatus capable of independently performing plasma generation and bias generation is used, the bias is reduced for the above-described reason, and the power used for plasma generation is suppressed so that the number of ions is not excessive. There is a need. Therefore, the power used for plasma generation is
It is desirable to suppress the area of the wafer to be processed to 10 W / cm 2 or less.

【0090】また、マスク材の加工後、レジストパター
ン5を除去して、マスク材パターン6のみをエッチング
マスクとして用いて、被加工膜をエッチングしてもよ
い。
After processing the mask material, the resist pattern 5 may be removed, and the film to be processed may be etched using only the mask material pattern 6 as an etching mask.

【0091】高アスペクト比をもつ超微細な被加工膜の
加工の際は、マスク材の加工後、別装置、或は同一装置
にてマスク材上のレジストを除去し、加工の際のアスペ
クト比を下げることが好ましい。この場合、エッチング
マスクとなるのは、レジストによってパターン転写され
たマスク材パターンのみであり、それによってアスペク
ト比を小さく抑えることができ、マイクロローディング
効果を抑えることができる。
When processing an ultrafine film to be processed having a high aspect ratio, after processing the mask material, the resist on the mask material is removed by another device or the same device, and the aspect ratio at the time of processing is removed. Is preferably reduced. In this case, only the mask material pattern transferred by the resist is used as the etching mask, whereby the aspect ratio can be kept small, and the microloading effect can be suppressed.

【0092】上述のようにして被加工膜2を加工するこ
とで、被加工膜2のエッチング時にマスク材に生じる側
壁荒れを防ぐことができ、側壁荒れのない被加工膜パタ
ーン7を得ることが可能になる。マスク材で発生した側
壁荒れは、マスク材中の有機成分と、無機成分とが不均
一にエッチングされることにより発生していると考えら
れ、有機シリコン化合物中の有機基を熱分解したことに
より無機成分の割合が増加し、エッチングが均一に進行
したためと考えられる。
By processing the processing target film 2 as described above, it is possible to prevent the side wall roughness from occurring in the mask material when the processing target film 2 is etched, and to obtain the processing target film pattern 7 having no side wall roughness. Will be possible. It is considered that the side wall roughness generated in the mask material is caused by uneven etching of the organic component and the inorganic component in the mask material, and is caused by thermal decomposition of the organic group in the organic silicon compound. It is considered that the ratio of the inorganic component increased and the etching proceeded uniformly.

【0093】最後に、アッシング処理を行い、レジスト
パターン5及びマスク材パターン6を除去することによ
り、図2(g)に示すように、被加工膜パターン7を得
ることが出来る。
Finally, an ashing process is performed to remove the resist pattern 5 and the mask material pattern 6, whereby a film pattern 7 to be processed can be obtained as shown in FIG.

【0094】[0094]

【実施例】以下、本発明の実施例を示し、本発明の効果
についてより具体的に説明する。
EXAMPLES Examples of the present invention will be described below, and the effects of the present invention will be described more specifically.

【0095】実施例1 まず、図1(a)に示すように、シリコンウェハー1上
にLPCVD法を用いて膜厚500nmのTEOS酸化
膜2を、被加工膜として形成した。次いで、図1(b)
に示すように、下記(S1)〜(S15)の方法でマス
ク材2を形成した。何れのマスク材も、熱硬化後の膜厚
が150nmになるように成膜した。
Example 1 First, as shown in FIG. 1A, a 500 nm-thick TEOS oxide film 2 was formed on a silicon wafer 1 by LPCVD as a film to be processed. Next, FIG.
As shown in (1), the mask material 2 was formed by the following methods (S1) to (S15). Each mask material was formed such that the film thickness after thermosetting became 150 nm.

【0096】(S1):式(1−50)により表わされ
る有機シリコン化合物10gをアニソール90gに溶解
してマスク材の溶液材料を調製し、これを被加工膜2上
にスピンコーテング法で塗布してマスク材3を形成し、
電気炉を用いて窒素雰囲気中(酸素濃度50ppm以
下、大気圧)で300℃で1時間のアニールを行った。
(S1): A solution of a mask material is prepared by dissolving 10 g of the organosilicon compound represented by the formula (1-50) in 90 g of anisole, and the solution material is applied onto the film to be processed 2 by spin coating. To form a mask material 3,
Annealing was performed at 300 ° C. for 1 hour in a nitrogen atmosphere (oxygen concentration: 50 ppm or less, atmospheric pressure) using an electric furnace.

【0097】(S2):(S1)において、500℃で
1時間のアニールを行った。
(S2): In (S1), annealing was performed at 500 ° C. for 1 hour.

【0098】(S3):(S1)において、700℃で
1時間のアニールを行った。
(S3): In (S1), annealing was performed at 700 ° C. for 1 hour.

【0099】(S4):(S1)において、900℃で
1時間のアニールを行った。
(S4): In (S1), annealing was performed at 900 ° C. for 1 hour.

【0100】(S5):(S1)において、1200℃
で1時間のアニールを行った。
(S5): 1200 ° C. in (S1)
For 1 hour.

【0101】(S6):(S1)において、1300℃
で1時間のアニールを行った。
(S6): In (S1), 1300 ° C.
For 1 hour.

【0102】(S7):(S1)において、1400℃
で1時間のアニールを行った。
(S7): In (S1), 1400 ° C.
For 1 hour.

【0103】(S8):式(1−50)により表わされ
る有機シリコン化合物10gをアニソール90gに溶解
してマスク材の溶液材料を調製し、被加工膜上にスピン
コーテング法で塗布してマスク材を形成し、電気炉を用
いて大気中で450℃で1時間のアニールを行った。
(S8): A solution of a mask material is prepared by dissolving 10 g of the organosilicon compound represented by the formula (1-50) in 90 g of anisole, and applied to a film to be processed by a spin coating method. And annealed at 450 ° C. for 1 hour in the air using an electric furnace.

【0104】(S9):(S8)において、窒素雰囲気
(酸素濃度2%)中でアニールを行った。
(S9): In (S8), annealing was performed in a nitrogen atmosphere (oxygen concentration: 2%).

【0105】(S10):(S8)において、窒素雰囲
気(酸素濃度1%)中でアニールを行った。
(S10): In (S8), annealing was performed in a nitrogen atmosphere (oxygen concentration: 1%).

【0106】(S11):式(1−50)により表わさ
れる有機シリコン化合物10gをアニソール90gに溶
解してマスク材の溶液材料を調製し、被加工膜上にスピ
ンコーテング法で塗布してマスク材を形成し、電気炉を
用いて10Torrの減圧雰囲気中で450℃で1時間
のアニールを行った。
(S11): A solution of a mask material is prepared by dissolving 10 g of the organosilicon compound represented by the formula (1-50) in 90 g of anisole, and applied to a film to be processed by a spin coating method. And annealed at 450 ° C. for 1 hour in a reduced pressure atmosphere of 10 Torr using an electric furnace.

【0107】(S12):(S11)において、1To
rrの減圧雰囲気中で450℃で1時間のアニールを行
った。
(S12): In (S11), 1 To
Annealing was performed at 450 ° C. for 1 hour in a reduced pressure atmosphere of rr.

【0108】(S13):(S11)において、1×1
-1Torrの減圧雰囲気中で450℃で1時間のアニ
ールを行った。
(S13): In (S11), 1 × 1
Annealing was performed at 450 ° C. for 1 hour in a reduced pressure atmosphere of 0 −1 Torr.

【0109】(S14):(S11)において、1×1
-4Torrの減圧雰囲気中で450℃で1時間のアニ
ールを行った。
(S14): In (S11), 1 × 1
Annealing was performed at 450 ° C. for 1 hour in a reduced pressure atmosphere of 0 -4 Torr.

【0110】(S15):(S11)において、1×1
-6Torrの減圧雰囲気中で450℃で1時間のアニ
ールを行った。
(S15): In (S11), 1 × 1
Annealing was performed at 450 ° C. for 1 hour in a reduced pressure atmosphere of 0 −6 Torr.

【0111】また、参照用サンプル(R1)として、
(S1)で調製した溶液をスピンコーテング法で膜厚が
150nmになるように被加工膜上に塗布した。この
時、塗膜に対して加熱は行なっていない。
As a reference sample (R1),
The solution prepared in (S1) was applied on the film to be processed by spin coating so that the film thickness became 150 nm. At this time, the coating film was not heated.

【0112】さらに、参照用サンプル(R2)として、
(S1)において250℃で1時間のアニールを行っ
て、膜厚150nmのマスク材を形成した。なお、以上
のサンプル(R1)、(R2)、(S1)〜(S15)
の各条件を下記表1に示す。
Further, as a reference sample (R2),
In (S1), annealing was performed at 250 ° C. for 1 hour to form a mask material having a thickness of 150 nm. The above samples (R1), (R2), (S1) to (S15)
Are shown in Table 1 below.

【0113】[0113]

【表1】 [Table 1]

【0114】(S1)〜(S15)の方法で形成したマ
スク材、及び(R1)、(R2)の方法で形成した参照
用サンプルについて、赤外吸収スペクトルを測定した。
3000cm-1付近に見られるSi−CH3 結合による
吸収ピークの面積強度を、加熱を行なっていない(R
1)の場合の強度を1として規格化した結果を下記表2
に示す。
The infrared absorption spectra of the mask material formed by the method of (S1) to (S15) and the reference sample formed by the method of (R1) or (R2) were measured.
The area intensity of the absorption peak due to the Si—CH 3 bond seen near 3000 cm −1 was determined by heating (R
Table 2 below shows the results obtained by standardizing the strength in the case of 1) as 1.
Shown in

【0115】下記表2から、250℃以下のアニール温
度では吸収ピーク強度に変化はないが、300℃以上の
温度でアニールを行うとアニール中の雰囲気によらず吸
収温度が減衰しており、有機基が分解していることが分
かる。
From Table 2 below, the absorption peak intensity does not change at an annealing temperature of 250 ° C. or less, but when annealing is performed at a temperature of 300 ° C. or more, the absorption temperature is attenuated regardless of the atmosphere during the annealing. It can be seen that the group has decomposed.

【0116】次に、各マスク材上にポジ型の化学増幅型
レジストKRF M20G(JSR社製)をスピンコー
テング法を用いて塗布した後、ホットプレートを用いて
140℃で90秒間加熱を行い、図1(c)に示すよう
に、レジスト膜3を形成した。加熱後のレジスト膜厚は
300nmである。
Next, a positive chemically amplified resist KRF M20G (manufactured by JSR) is applied on each mask material by spin coating, and then heated at 140 ° C. for 90 seconds using a hot plate. As shown in FIG. 1C, a resist film 3 was formed. The resist film thickness after heating is 300 nm.

【0117】そして、KrFエキシマレーザーを光源と
する縮小光学型ステッパー(NA=0.6、σ=0.
6)を用いてパターン露光を行った後、ホットプレート
を用いて140℃で90秒間加熱を行った。
Then, a reduction optical stepper using a KrF excimer laser as a light source (NA = 0.6, σ = 0.
After performing pattern exposure using 6), heating was performed at 140 ° C. for 90 seconds using a hot plate.

【0118】続いて、0.21規定のテトラアンモニウ
ムヒドロキシサイドを用いて30秒間のパドル現像を行
い、図1(d)に示すように、0.15μmラインアン
ドスペースパターンを形成した。
Subsequently, paddle development was performed for 30 seconds using 0.21 N tetraammonium hydroxyside to form a 0.15 μm line and space pattern as shown in FIG. 1 (d).

【0119】最適露光量28mJ/cm2 でのフォーカ
スマージンを調べたところ、0.6μmあり、レジスト
の膜厚を薄くしたので充分なフォーカスマージンを確保
することができた。
When the focus margin at the optimum exposure dose of 28 mJ / cm 2 was examined, it was 0.6 μm, and a sufficient focus margin could be secured because the thickness of the resist was reduced.

【0120】次に、レジストパターンをエッチングマス
クとして用いてマスク材2のエッチングを行い、図2
(e)に示すように、マスク材パターン6を形成した。
エッチング装置としてマグネトロン型エッチング装置を
用い、ソースガスとして流量200SCCMのCl2
用い、真空度75mTorr、励起電力密度2W/cm
2 、基板温度80℃の条件でエッチングを行った。
Next, the resist pattern is etched
The mask material 2 is etched by using as a mask, and FIG.
As shown in (e), a mask material pattern 6 was formed.
Magnetron type etching equipment as etching equipment
Used, and a flow rate of 200 SCCM Cl as a source gas.TwoTo
Used, vacuum degree 75 mTorr, excitation power density 2 W / cm
Two Etching was performed at a substrate temperature of 80 ° C.

【0121】エッチング時間の決定には、発光による終
点検出を用い、ジャスト時間に対して50%のオーバー
エッチングを行った。エッチング前のレジストパターン
の寸法を図1(c)のX、エッチング後のマスク材パタ
ーンの寸法を図1(d)のYで定義し、マスク材のエッ
チングにより生じた寸法変換差(=Y−X)を測定した
結果を下記表2に示す。
For the determination of the etching time, end point detection by light emission was used, and overetching was performed by 50% with respect to the just time. The dimension of the resist pattern before etching is defined by X in FIG. 1 (c), and the dimension of the mask material pattern after etching is defined by Y in FIG. 1 (d), and the dimensional conversion difference (= Y− Table 2 below shows the results of measuring X).

【0122】下記表2から、(S1)〜(S14)のい
ずれの場合も許容範囲内の〜7〜+7nmの範囲に収ま
っており、エッチング前のレジストパターン寸法と殆ど
ずれることなくマスク材を加工することができたことが
わかる。
As shown in Table 2 below, in each of (S1) to (S14), the mask material is processed within a permissible range of up to 7 to +7 nm, and does not substantially deviate from the resist pattern dimensions before etching. You can see that it was possible.

【0123】マスク材のエッチングを途中で止めて、マ
スク材の対レジスト選択比(=マスク材のエッチングレ
ート/レジストのエッチングレート)を調べた結果を下
記表2に示す。
The etching of the mask material was stopped halfway and the selectivity of the mask material to the resist (= etching rate of mask material / etching rate of resist) was examined. The results are shown in Table 2 below.

【0124】下記表2から、何れのマスク材も1.5倍
以上の高選択比でマスク材がエッチングされていること
がわかる。その結果、レジストパターンの肩落ちを防ぐ
ことが出来、レジストパターン寸法とずれることなくマ
スク材をエッチングすることができたものと考えられ
る。
From Table 2 below, it can be seen that all the mask materials are etched at a high selectivity of 1.5 times or more. As a result, it is considered that the shoulder of the resist pattern could be prevented from being dropped, and the mask material could be etched without deviation from the resist pattern dimensions.

【0125】(S1)〜(S10)で対レジスト選択比
を比較すると、(S6)〜(S9)で対レジスト選択比
の低下が見られるが、これは(S6)、(S7)ではア
ニール温度が高過ぎたためであり、(S8)、(S9)
ではアニール雰囲気中の酸素濃度が高過ぎたため酸化が
過度に進行し、対レジスト選択比が低下したと考えられ
る。
When comparing the resist selectivity in (S1) to (S10), a decrease in resist selectivity is observed in (S6) to (S9). This is due to the annealing temperature in (S6) and (S7). (S8), (S9)
It is considered that the oxidation was excessively advanced because the oxygen concentration in the annealing atmosphere was too high, and the selectivity to resist decreased.

【0126】また、(S11)〜(S15)で対レジス
ト選択比を比較すると、1×10-1Torr以下の真空
度で選択比が顕著に増加することが分かる。これは、減
圧にすることでマスク材中の炭素が揮発したためと考え
られる。
Further, comparing the selectivity with respect to the resist in (S11) to (S15), it can be seen that the selectivity significantly increases at a degree of vacuum of 1 × 10 −1 Torr or less. This is probably because carbon in the mask material volatilized by reducing the pressure.

【0127】さらに、式[1−1」、式[1−22]、
式[1−46]、式[1−47]、式[1−115]に
より表わされる各有機シリコン化合物を用い、実施例の
(S1)〜(S15)、(R1)、(R2)と同様のア
ニール温度、アニール雰囲気でサンプルを作成し、赤外
吸収スペクトルによる有機シリコン化合物の分解度の測
定、マスク材のエッチングを行った。
Further, the formulas [1-1], [1-22],
Using the respective organosilicon compounds represented by the formulas [1-46], [1-47] and [1-115], the same as (S1) to (S15), (R1) and (R2) in Examples. A sample was prepared at the annealing temperature and in the annealing atmosphere, and the degree of decomposition of the organic silicon compound was measured by infrared absorption spectrum, and the mask material was etched.

【0128】その結果、アニール温度、アニール雰囲気
に対する有機シリコン化合物の分解度、対レジスト選択
比については、式[1−50]に示す化合物の場合と同
様の傾向が見られた。以上の実験結果から、アニール温
度は概ね1200℃以下、アニール中の雰囲気は酸素濃
度1%以下、真空度1×10-1Torr以下が好ましい
と言える。
As a result, the same tendency as in the case of the compound represented by the formula [1-50] was observed with respect to the annealing temperature, the degree of decomposition of the organic silicon compound with respect to the annealing atmosphere, and the resist selectivity. From the above experimental results, it can be said that the annealing temperature is generally 1200 ° C. or less, the atmosphere during the annealing is preferably 1% or less in oxygen concentration, and the vacuum degree is 1 × 10 −1 Torr or less.

【0129】次に、図2(f)に示すように、レジスト
パターンとマスク材パターンをエッチングマスクとして
用いて、被加工膜をエッチングした。エッチング装置と
してはマグネトロン型反応性イオンエッチング装置を用
い、ソースガスとして流量比10/100/200SC
CMのC4 8 /CO/Arを用い、励起電力700
W、真空度40mTorr、基板温度20℃の条件でエ
ッチングを行った。
Next, as shown in FIG. 2F, the film to be processed was etched using the resist pattern and the mask material pattern as an etching mask. A magnetron-type reactive ion etching apparatus was used as an etching apparatus, and a flow rate ratio of 10/100/200 SC was used as a source gas.
Using C 4 F 8 / CO / Ar of CM, excitation power 700
The etching was performed under the conditions of W, a degree of vacuum of 40 mTorr, and a substrate temperature of 20 ° C.

【0130】エッチング時間の決定には発光による終点
検出を用い、ジャスト時間に対して50%のオーバーエ
ッチングを行った。
For the determination of the etching time, end point detection by light emission was used, and overetching was performed by 50% with respect to the just time.

【0131】続いて、エッチング後、図2(g)に示す
ように、残留するマスク材パターンをアッシング除去し
て、絶縁膜パターン7を得た。アッシングは、アッシン
グ装置としてダウンフローアッシング装置を用い、ソー
スガスとして流量比1000/140SCCMのO2
CF4 を用い、励起電力1000W、真空度40mTo
rr、基板温度250℃の条件で行った。
Subsequently, after the etching, as shown in FIG. 2G, the remaining mask material pattern was removed by ashing to obtain an insulating film pattern 7. Ashing is performed using a downflow ashing device as an ashing device and O 2 / with a flow ratio of 1000/140 SCCM as a source gas.
Using CF 4 , excitation power 1000 W, vacuum degree 40 mTo
rr, the substrate temperature was 250 ° C.

【0132】走査型電子顕微鏡を用いて断面加工形状を
観察したところ、図2(g)に示すように、異方性良く
ラインアンドスペースが加工されていることが分かる。
また、上部から観察したところ、図3(a)に示すよう
に、絶縁膜パターンの側壁に荒れは見られなかった。
Observation of the cross-section processing shape using a scanning electron microscope reveals that the line and space are processed with good anisotropy as shown in FIG. 2 (g).
When observed from above, no roughness was observed on the side wall of the insulating film pattern as shown in FIG.

【0133】さらに、絶縁膜パターンの幅(=Z)を測
長し、被加工膜のエッチングにより生じた寸法変換差
(=Z−Y)を算出した。その測定結果を下記表2に示
す。下記表2から、寸法変換差は許容範囲内の〜7〜+
7nmの範囲に収まっており、寸法制御性良く被加工膜
を加工することができたことがわかる。
Further, the width (= Z) of the insulating film pattern was measured, and a dimensional conversion difference (= Z−Y) generated by etching the film to be processed was calculated. The measurement results are shown in Table 2 below. From Table 2 below, the dimensional conversion difference is within the allowable range of ~ 7 to +
It is within the range of 7 nm, which indicates that the film to be processed could be processed with good dimensional control.

【0134】次に、シリコンウェハー上に(S1)〜
(S15)の各方法でマスク材、及び上述の方法でレジ
スト膜を形成し、各膜を上述の被加工膜をエッチングし
た条件でエッチングして、それぞれのエッチングレー
ト、膜表面の表面荒れを調べた。レジスト膜のエッチン
グレートとマスク材のエッチングレートから求めたマス
ク耐性を下記表2に示す。
Next, (S1) to (S1)
A mask material is formed by each method of (S15), and a resist film is formed by the above-mentioned method, and each film is etched under the condition of etching the above-mentioned film to be processed, and each etching rate and surface roughness of the film surface are examined. Was. Table 2 below shows the mask resistance obtained from the etching rate of the resist film and the etching rate of the mask material.

【0135】下記表2から、本発明によるマスク材はレ
ジスト膜以上の耐性があるため、マスク材パターンとレ
ジストパターンからなるエッチングマスクの肩落ちが少
ないことがわかる。その結果、異方性良く、かつ寸法制
御性良く被加工膜をエッチングできたものと考えられ
る。
From Table 2 below, it can be seen that the mask material according to the present invention has a higher resistance than the resist film, so that the etching mask composed of the mask material pattern and the resist pattern has less shoulder drop. As a result, it is considered that the processed film was etched with good anisotropy and good dimensional controllability.

【0136】[0136]

【表2】 [Table 2]

【0137】さらに、走査型電子顕微鏡を用いて5万倍
の倍率で撮影したマスク材(S1)及びレジスト膜の断
面写真を図6(a)、(b)にそれぞれに示す。
FIGS. 6A and 6B show cross-sectional photographs of the mask material (S1) and the resist film taken at a magnification of 50,000 times using a scanning electron microscope.

【0138】図6(a)、(b)から、マスク材(S
1)の表面には荒れが見られないが、レジスト膜の表面
には荒れが見られることがわかる。(S2)〜(S1
5)の方法で形成したマスク材についても表面荒れは見
られず、本発明による方法で形成したマスク材がレジス
ト膜と比べ、表面荒れの発生しにくい材料であることが
分かる。従って、被加工膜のエッチング途中でレジスト
パターン側壁荒れが発生しても、側壁荒れがしにくいマ
スク材を用いているため、レジストパターンに発生した
側壁荒れが被加工膜に転写されなかったと考えられる。
As shown in FIGS. 6A and 6B, the mask material (S
It can be seen that although the surface of 1) is not rough, the surface of the resist film is rough. (S2)-(S1
No surface roughness was observed for the mask material formed by the method 5), and it was found that the mask material formed by the method of the present invention was less likely to have surface roughness than the resist film. Therefore, even if the resist pattern side wall is roughened during the etching of the film to be processed, it is considered that the side wall roughness generated in the resist pattern was not transferred to the film to be processed because the mask material which is hard to be roughened is used. .

【0139】実施例2 まず、図1(a)に示すように、シリコンウェハー1上
にLPCVD法を用いて膜厚500nmのTEOS酸化
膜2を、被加工膜として形成した。次いで、図1(b)
に示すように、下記(S16)〜(S19)の方法でマ
スク材2を形成した。何れのマスク材も、硬化後の膜厚
が150nmになるように成膜した。
Example 2 First, as shown in FIG. 1A, a 500 nm-thick TEOS oxide film 2 was formed on a silicon wafer 1 by LPCVD as a film to be processed. Next, FIG.
As shown in (1), the mask material 2 was formed by the following methods (S16) to (S19). Each mask material was formed such that the film thickness after curing became 150 nm.

【0140】(S16):実施例1の(R2)の方法で
マスク材2を形成した後、電子ビーム照射装置を用い
て、照射量10μC/cmの電子ビームを照射した。
(S16): After the mask material 2 was formed by the method of (R2) in Example 1, an electron beam with an irradiation amount of 10 μC / cm 2 was irradiated using an electron beam irradiation device.

【0141】(S17):(S16)において、電子ビ
ームの照射量を100μC/cmとした。
(S17): In (S16), the irradiation amount of the electron beam was set to 100 μC / cm 2 .

【0142】(S18):(S16)において、電子ビ
ームの照射量を1000μC/cm とした。
(S18): In (S16), the electronic
Irradiation dose of 1000 μC / cm 2And

【0143】(S19):(S16)において、電子ビ
ームの照射量を10000μC/cmとした。
(S19): In (S16), the irradiation amount of the electron beam was set to 10000 μC / cm 2 .

【0144】なお、以上のサンプル(R1)、(R
2)、(S6)〜(S19)の各条件を下記表3に示
す。
The samples (R1) and (R1)
2) and Table 3 below show the conditions of (S6) to (S19).

【0145】[0145]

【表3】 [Table 3]

【0146】(S16)〜(S19)の方法で形成した
マスク材について、赤外吸収スペクトルを測定した。3
000cm-1付近に見られるSi−CH3 結合による吸
収ピークの面積強度を、電子ビーム照射を行なっていな
い(R1)の場合の強度を1として規格化した結果を下
記表4に示す。
The infrared absorption spectrum of the mask material formed by the methods (S16) to (S19) was measured. Three
Table 4 below shows the results obtained by standardizing the area intensity of the absorption peak due to the Si—CH 3 bond observed near 000 cm −1 with the intensity in the case of no electron beam irradiation (R1) as 1.

【0147】下記表4から、電子ビーム照射を行なうこ
とにより、電子ビーム照射を行わなかった(R1)の方
法で形成した場合と比べて、有機基の吸収ピークが減少
しており、有機基が分解されていることがわかる。
From Table 4 below, it can be seen that the absorption peak of the organic group was reduced by performing the electron beam irradiation as compared with the case of forming by the method (R1) without performing the electron beam irradiation. It can be seen that it has been decomposed.

【0148】次に、実施例1と同様にして、被加工膜の
加工を行い、その評価結果を下記表4にまとめた。下記
表4から、実施例1と同様に、寸法制御性良く、被加工
膜の加工が行われていることがわかる。
Next, the film to be processed was processed in the same manner as in Example 1, and the evaluation results are summarized in Table 4 below. From Table 4 below, it can be seen that, similarly to Example 1, the film to be processed is processed with good dimensional controllability.

【0149】シリコンウェハー上に(S16)〜(S1
9)の各方法でマスク材、及び上述の方法でレジスト膜
を形成し、各膜を上述の被加工膜をエッチングした条件
でエッチングして、それぞれのエッチングレート、膜表
面の表面荒れを調べた。レジスト膜のエッチングレート
とマスク材のエッチングレートから求めたマスク耐性を
下記表4に示す。
(S16)-(S1)
9) A mask material was formed by each method, and a resist film was formed by the above-mentioned method, and each film was etched under the conditions for etching the above-mentioned film to be processed. . Table 4 below shows the mask resistance obtained from the etching rate of the resist film and the etching rate of the mask material.

【0150】下記表4から、本発明によるマスク材は、
レジスト膜以上の耐性があるため、マスク材パターンと
レジストパターンからなるエッチングマスクの肩落ちが
少ないことがわかる。その結果、異方性良く、かつ寸法
制御性良くエッチングできたものと考えられる。
From Table 4 below, it can be seen that the mask material according to the present invention is:
It can be seen that there is little shoulder drop of the etching mask composed of the mask material pattern and the resist pattern because the resistance is higher than the resist film. As a result, it is considered that etching was performed with good anisotropy and good dimensional control.

【0151】さらに、走査型電子顕微鏡を用いて5万倍
の倍率で撮影したマスク材の表面写真を観察したとこ
ろ、実施例(S1)〜(S15)の方法で形成したマス
ク材と同様、表面には荒れが見られないことがわかる。
従って、被加工膜のエッチング途中でレジストパターン
側壁荒れが発生しても、側壁荒れがしにくいマスク材を
用いているため、レジストパターンに発生した側壁荒れ
が被加工膜に転写されなかったと考えられる。
Further, when a surface photograph of the mask material taken at a magnification of 50,000 times was observed using a scanning electron microscope, it was found that the surface material was the same as that of the mask material formed by the method of Examples (S1) to (S15). It can be seen that there is no roughening in.
Therefore, even if the resist pattern side wall roughness occurs during the etching of the film to be processed, it is considered that the side wall roughness generated in the resist pattern was not transferred to the film to be processed because the mask material that does not easily cause the side wall roughness was used. .

【0152】[0152]

【表4】 [Table 4]

【0153】比較例 まず、図4(a)に示すように、実施例と同様にしてシ
リコンウェハー11上にTEOS酸化膜12を形成し
た。次いで、図4(b)に示すように、実施例の(R
1)、(R2)の方法でマスク材13を形成した。
Comparative Example First, as shown in FIG. 4A, a TEOS oxide film 12 was formed on a silicon wafer 11 in the same manner as in the example. Next, as shown in FIG.
The mask material 13 was formed by the methods 1) and (R2).

【0154】次に、図4(c)、(d)に示すように、
実施例と同様にして各マスク材13上にレジスト膜14
を形成し、レジストパターン15を形成した。その後、
図5(e)に示すように、実施例と同様にして、レジス
トパターン15をエッチングマスクとして用いて、マス
ク材13をエッチングし、マスク材パターン16を形成
した。
Next, as shown in FIGS. 4C and 4D,
A resist film 14 is formed on each mask material 13 in the same manner as in the embodiment.
Was formed, and a resist pattern 15 was formed. afterwards,
As shown in FIG. 5E, the mask material 13 was etched using the resist pattern 15 as an etching mask to form a mask material pattern 16 in the same manner as in the example.

【0155】次に、図5(f)に示すように、実施例と
同様にして、レジストパターン15及びマスク材パター
ン16をエッチングマスクとして用いて、被加工膜12
をエッチングした。
Next, as shown in FIG. 5 (f), in the same manner as in the embodiment, using the resist pattern 15 and the mask material pattern 16 as an etching mask,
Was etched.

【0156】次に、図5(g)に示すように、実施例と
同様にして、レジストパターン15及びマスク材パター
ン16をアッシング除去し、絶縁膜パターン17を得
た。アッシングは、実施例と同様の条件で行った。
Next, as shown in FIG. 5G, the resist pattern 15 and the mask material pattern 16 were removed by ashing in the same manner as in the example, and an insulating film pattern 17 was obtained. Ashing was performed under the same conditions as in the example.

【0157】加工終了後の絶縁膜パターン17を走査型
電子顕微鏡を用いて上面から観察したところ、図3
(b)に示すように、側壁荒れが見られ、良好な加工形
状が得られなかった。
When the insulating film pattern 17 after the processing was observed from above using a scanning electron microscope,
As shown in (b), roughness of the side wall was observed, and a favorable processed shape was not obtained.

【0158】実施例と同様にして、マスク材ブランケッ
トを被加工膜のエッチングに用いた条件でエッチングを
行ったところ、(R1)および(R2)の何れもが、図
6(b)に示すような表面荒れが見られた。従って、絶
縁膜エッチング中にマスク材側壁に発生した荒れが、被
加工膜に転写されたものと考えられる。
When etching was performed in the same manner as in the example using the mask blanket for etching the film to be processed, both (R1) and (R2) were as shown in FIG. 6 (b). Surface roughness was observed. Therefore, it is considered that the roughness generated on the mask material side wall during the etching of the insulating film was transferred to the film to be processed.

【0159】本比較例と実施例との比較から明らかなよ
うに、マスク材中の有機基を熱分解することで、マスク
材の表面荒れがなくなり、良好な加工形状で絶縁膜を加
工することが可能になった。
As is clear from the comparison between this comparative example and the example, the thermal decomposition of the organic group in the mask material eliminates the surface roughness of the mask material, and allows the insulating film to be processed in a good processed shape. Is now possible.

【0160】[0160]

【発明の効果】以上、詳細に説明したように、本発明に
よると、マスク材を構成する、主鎖にシリコンとシリコ
ン、或はシリコンと炭素の結合を有する有機シリコン化
合物中の有機基を分解して無機的に改質することで、絶
縁膜エッチング時にマスク材に生じる側壁荒れを防ぐこ
とができ、その結果、側壁荒れのない絶縁膜パターンを
得ることが可能である。
As described above in detail, according to the present invention, an organic group in an organic silicon compound having a silicon-silicon or silicon-carbon bond in a main chain constituting a mask material is decomposed. By inorganic modification, it is possible to prevent side wall roughness from occurring in the mask material during the etching of the insulating film, and as a result, it is possible to obtain an insulating film pattern without side wall roughness.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の1実施形態に係る絶縁膜の加工プロセ
スを工程順に示す断面図。
FIG. 1 is a sectional view showing a processing sequence of an insulating film according to an embodiment of the present invention in the order of steps.

【図2】本発明の1実施形態に係る絶縁膜の加工プロセ
スを工程順に示す断面図。
FIG. 2 is a sectional view showing a process of processing an insulating film according to an embodiment of the present invention in the order of steps.

【図3】本発明に係る加工方法と比較例に係る加工方法
で加工した絶縁膜の上面図。
FIG. 3 is a top view of an insulating film processed by a processing method according to the present invention and a processing method according to a comparative example.

【図4】比較例に係る絶縁膜の加工プロセスを工程順に
示す断面図。
FIG. 4 is a cross-sectional view illustrating a process of processing an insulating film according to a comparative example in the order of steps.

【図5】比較例に係る絶縁膜の加工プロセスを工程順に
示す断面図。
FIG. 5 is a cross-sectional view illustrating a process of processing an insulating film according to a comparative example in the order of steps.

【図6】絶縁膜のエッチング条件で本発明によるマスク
材と比較例によるマスク材をエッチングした場合の表面
の電子顕微鏡写真図。
FIG. 6 is an electron micrograph of a surface when a mask material according to the present invention and a mask material according to a comparative example are etched under the etching conditions of an insulating film.

【符号の説明】[Explanation of symbols]

1,11…ウェハー基板 2,12…被加工膜 3,13…マスク材 4,14…レジスト 5,15…レジストパターン 6,16…マスク材パターン 7,17…絶縁膜パターン 1, 11 wafer substrate 2, 12 film to be processed 3, 13 mask material 4, 14 resist 5, 15 resist pattern 6, 16 mask material pattern 7, 17 insulating film pattern

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/312 H01L 21/30 573 5F058 // G03F 7/40 521 21/302 F C08L 83:16 (72)発明者 早瀬 修二 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 Fターム(参考) 2H096 AA25 CA02 HA23 4F006 AA42 AB39 AB76 BA01 BA06 BA08 CA03 CA08 DA03 EA03 4J035 HA02 HA05 JA01 JA02 JB01 JB02 LB16 5F004 AA02 BA11 BA13 BA14 BA17 BA20 CA03 CA04 DA04 DA05 DA06 DA07 DA10 DA11 DA13 DB03 DB24 EB07 5F046 NA12 5F058 AC03 AF04 AG01 AH01 BD04 BF07 BF25 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/312 H01L 21/30 573 5F058 // G03F 7/40 521 21/302 F C08L 83:16 (72 ) Inventor Shuji Hayase 1 Toshiba-cho, Komukai-Toshiba-cho, Kawasaki-shi, Kanagawa F-term in the Toshiba R & D Center (reference) 2H096 AA25 CA02 HA23 4F006 AA42 AB39 AB76 BA01 BA06 BA08 CA03 CA08 DA03 EA03 4J035 HA02 HA05 JA01 JA02 JB01 JB02 LB16 5F004 AA02 BA11 BA13 BA14 BA17 BA20 CA03 CA04 DA04 DA05 DA06 DA07 DA10 DA11 DA13 DB03 DB24 EB07 5F046 NA12 5F058 AC03 AF04 AG01 AH01 BD04 BF07 BF25

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】絶縁膜上に主鎖にシリコンとシリコン、或
はシリコンと炭素の結合を有する有機シリコン化合物を
含有するマスク材を形成する工程、 前記有機シリコン化合物の有機基を分解する工程、 前記マスク材上にレジスト膜を形成する工程、 前記レジスト膜に対してパターン露光および現像を行っ
てレジストパターンを形成する工程、 前記レジストパターンを前記マスク材に転写してマスク
材パターンを形成する工程、および前記マスク材パター
ンを前記絶縁膜に転写する工程を具備することを特徴と
する絶縁膜の加工方法。
A step of forming a mask material containing an organic silicon compound having a bond of silicon and silicon or silicon and carbon in a main chain on an insulating film; a step of decomposing an organic group of the organic silicon compound; Forming a resist film on the mask material; forming a resist pattern by performing pattern exposure and development on the resist film; transferring the resist pattern to the mask material to form a mask material pattern And a step of transferring the mask material pattern to the insulating film.
【請求項2】前記有機シリコン化合物の有機基の分解
が、前記マスク材を300〜1200℃の温度でアニー
ルすること、または前記マスク材に電子線を照射するに
よってなされることを特徴とする請求項1に記載の絶縁
膜の加工方法。
2. The method according to claim 1, wherein the decomposition of the organic group of the organosilicon compound is performed by annealing the mask material at a temperature of 300 to 1200 ° C. or irradiating the mask material with an electron beam. Item 4. The method for processing an insulating film according to Item 1.
【請求項3】前記有機シリコン化合物の有機基の分解
は、分解度が、有機基の赤外吸収スペクトルの面積吸収
強度を1として、少なくとも0.98以下となるように
行われることを特徴とする請求項1に記載の絶縁膜の加
工方法。
3. The method according to claim 1, wherein the decomposition of the organic group of the organic silicon compound is performed so that the degree of decomposition is at least 0.98 or less, where the area absorption intensity of the infrared absorption spectrum of the organic group is 1. The method for processing an insulating film according to claim 1.
【請求項4】前記アニールが酸素濃度1%以下の雰囲気
中でなされることを特徴とする請求項2に記載の絶縁膜
加工方法。
4. The method according to claim 2, wherein the annealing is performed in an atmosphere having an oxygen concentration of 1% or less.
【請求項5】前記アニールが1×10-1Torr以下の
減圧雰囲気下でなされることを特徴とする請求項2に記
載の絶縁膜の加工方法。
5. The method according to claim 2, wherein the annealing is performed in a reduced pressure atmosphere of 1 × 10 −1 Torr or less.
JP11190555A 1999-07-05 1999-07-05 Method for working insulating film Pending JP2001022089A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11190555A JP2001022089A (en) 1999-07-05 1999-07-05 Method for working insulating film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11190555A JP2001022089A (en) 1999-07-05 1999-07-05 Method for working insulating film

Publications (1)

Publication Number Publication Date
JP2001022089A true JP2001022089A (en) 2001-01-26

Family

ID=16260031

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11190555A Pending JP2001022089A (en) 1999-07-05 1999-07-05 Method for working insulating film

Country Status (1)

Country Link
JP (1) JP2001022089A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002252222A (en) * 2001-02-22 2002-09-06 Nec Corp Method for manufacturing semiconductor device, and the semiconductor device
WO2003037783A1 (en) * 2001-10-29 2003-05-08 Sumitomo Electric Industries, Ltd. Method for manufacturing metal microstructure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002252222A (en) * 2001-02-22 2002-09-06 Nec Corp Method for manufacturing semiconductor device, and the semiconductor device
WO2003037783A1 (en) * 2001-10-29 2003-05-08 Sumitomo Electric Industries, Ltd. Method for manufacturing metal microstructure
US7105281B2 (en) 2001-10-29 2006-09-12 Sumitomo Electric Industries, Ltd. Method for manufacturing metal microstructure
US7338753B2 (en) 2001-10-29 2008-03-04 Sumitomo Electric Industries, Ltd. Method for manufacturing metal microstructure

Similar Documents

Publication Publication Date Title
JP3971088B2 (en) Pattern formation method
US6753129B2 (en) Method and apparatus for modification of chemically amplified photoresist by electron beam exposure
US4738916A (en) Intermediate layer material of three-layer resist system
JP4467857B2 (en) Modification of 193nm photosensitive photoresist material by electron beam exposure
US6358670B1 (en) Enhancement of photoresist plasma etch resistance via electron beam surface cure
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
US6569595B1 (en) Method of forming a pattern
US7198886B2 (en) Method for forming pattern
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
US6258514B1 (en) Top surface imaging technique using a topcoat delivery system
US5250395A (en) Process for imaging of photoresist including treatment of the photoresist with an organometallic compound
US6420271B2 (en) Method of forming a pattern
JP2001066767A (en) Resist composition and use thereof
US6207353B1 (en) Resist formulation which minimizes blistering during etching
JP2002198283A (en) Resist pattern formation method
JP2000310863A (en) Pattern forming method
JP2000100700A (en) Pattern formation method and hybrid exposure method
JP3198848B2 (en) Positive resist material
JP2001022089A (en) Method for working insulating film
JP2000031118A (en) Formation of pattern
JP2002296791A (en) Method for forming pattern
JP2001272788A (en) Solution material for underlayer film and pattern forming method using the same
JP2002198295A (en) Pattern formation method
JPH11174684A (en) Pattern forming method
JP3354901B2 (en) Method of forming fine pattern, semiconductor device and method of manufacturing semiconductor device