EP3238006A1 - Adjustment of voltage regulator based on power state - Google Patents

Adjustment of voltage regulator based on power state

Info

Publication number
EP3238006A1
EP3238006A1 EP15874157.9A EP15874157A EP3238006A1 EP 3238006 A1 EP3238006 A1 EP 3238006A1 EP 15874157 A EP15874157 A EP 15874157A EP 3238006 A1 EP3238006 A1 EP 3238006A1
Authority
EP
European Patent Office
Prior art keywords
power state
voltage regulator
processor
core
ivr
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP15874157.9A
Other languages
German (de)
French (fr)
Other versions
EP3238006A4 (en
Inventor
Phani Kumar KANDULA
Narayanan Natarajan
Tessil Thomas
Srikrishnan Venkataraman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of EP3238006A1 publication Critical patent/EP3238006A1/en
Publication of EP3238006A4 publication Critical patent/EP3238006A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3243Power saving in microcontroller unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • Embodiments relate generally to power management of a system. More particularly, some embodiments are related to power management of a multicore processor.
  • FIG. 1 A is a block diagram of a system in accordance with one or more embodiments.
  • FIG. 1 B is a block diagram of an example voltage regulator in accordance with one or more embodiments.
  • FIG. 2A is a sequence in accordance with one or more embodiments.
  • FIG. 2B is a sequence in accordance with one or more embodiments.
  • FIG. 3A is a block diagram of a portion of a system in accordance with one or more embodiments.
  • FIG. 3B is a block diagram of a multi-domain processor in accordance with one or more embodiments.
  • FIG. 3C is a block diagram of a processor in accordance with one or more embodiments.
  • FIG. 4 is a block diagram of a processor including multiple cores in accordance with one or more embodiments.
  • FIG. 5 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
  • FIG. 6 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
  • FIG. 7 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
  • FIG. 8 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
  • FIG. 9 is a block diagram of a processor in accordance with one or more embodiments.
  • FIG. 10 is a block diagram of a representative SoC in accordance with one or more embodiments.
  • FIG. 1 1 is a block diagram of another example SoC in accordance with one or more embodiments.
  • FIG. 12 is a block diagram of an example system with which one or more embodiments can be used.
  • FIG. 13 is a block diagram of another example system with which one or more embodiments may be used.
  • FIG. 14 is a block diagram of a computer system in accordance with one or more embodiments.
  • FIG. 15 is a block diagram of a system in accordance with one or more embodiments. Detailed Description
  • Some electronic devices include one or more domains, where each domain includes a group of components that share a particular operating characteristic (e.g., a power state, a clock frequency, a voltage level, etc.).
  • a multi-core processor can include multiple cores, with each core being capable of operating in a different power state than the other cores.
  • Each domain may include a dedicated power supply component.
  • a domain may include a dedicated voltage regulator to independently control the electrical power supplied to the domain.
  • a change in the power state of the domain may cause the efficiency of the voltage regulator to drop. For example, when a domain transitions to a lower power state, the
  • associated voltage regulator may incur losses due to operating at a lower current level.
  • the settings of a voltage regulator may be adjusted based on the power state of an associated domain or system.
  • the switching frequency of the voltage regulator in response to a transition to a lower power state, the switching frequency of the voltage regulator may be increased, and/or the bridge activation level of the voltage regulator may be decreased. Further, in some embodiment, in response to a transition to a higher power state, the switching frequency of the voltage regulator may be decreased, and/or the bridge activation level of the voltage regulator may be increased. In some embodiments, one or more of these
  • handheld devices can also be used in other devices, such as handheld devices, systems on chip (SoCs), and embedded applications.
  • Some examples of handheld devices include cellular phones such as smartphones, Internet protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs.
  • Embedded applications may typically include a microcontroller, a digital signal processor (DSP), network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, wearable devices, or any other system that can perform the functions and operations taught below.
  • DSP digital signal processor
  • NetPC network computers
  • set-top boxes such as network hubs, wide area network (WAN) switches, wearable devices, or any other system that can perform the functions and operations taught below.
  • WAN wide area network
  • embodiments may be implemented in mobile terminals having standard voice functionality such as mobile phones, smartphones and phablets, and/or in non-mobile terminals without a standard wireless voice function communication capability, such as many wearables, tablets, notebooks, desktops, micro-servers, servers and so forth.
  • the apparatuses, methods, and systems described herein are not limited to physical computing devices, but may also relate to software optimizations for energy conservation and efficiency.
  • the embodiments of methods, apparatuses, and systems described herein are vital to a 'green technology' future, such as for power conservation and energy efficiency in products that encompass a large portion of the US economy.
  • the power management techniques described herein may be independent of and complementary to an operating system (OS)-based mechanism, such as the Advanced Configuration and Platform Interface (ACPI) standard (e.g., Rev. 3.0b, published October 10, 2006).
  • OS operating system
  • ACPI Advanced Configuration and Platform Interface
  • a processor can operate at various performance states or levels, so-called P-states, namely from P0 to PN.
  • P1 performance state may correspond to the highest guaranteed performance state that can be requested by an OS.
  • the OS can further request a higher performance state, namely a P0 state.
  • This P0 state may thus be an opportunistic or turbo mode state in which, when power and/or thermal budget is available, processor hardware can configure the processor or at least portions thereof to operate at a higher than guaranteed frequency.
  • processor hardware can include multiple so-called bin frequencies above the P1 guaranteed maximum frequency, exceeding to a maximum peak frequency of the particular processor, as fused or otherwise written into the processor during manufacture.
  • a processor can operate at various power states or levels. With regard to power states, ACPI specifies different power consumption states, generally referred to as C-states, CO, C1 to Cn states.
  • a core When a core is active, it runs at a CO state, and when the core is idle it may be placed in a core low power state, also called a core non-zero C-state (e.g., C1 -C6 states), with each C-state being at a lower power consumption level (such that C6 is a deeper low power state than C1 , and so forth).
  • a core low power state also called a core non-zero C-state (e.g., C1 -C6 states)
  • each C-state being at a lower power consumption level (such that C6 is a deeper low power state than C1 , and so forth).
  • a power controller may control the processor to be power managed by some form of dynamic voltage frequency scaling (DVFS) in which an operating voltage and/or operating frequency of one or more cores or other processor logic may be dynamically controlled to reduce power consumption in certain situations.
  • DVFS may be performed using Enhanced Intel SpeedStepTM technology available from Intel Corporation, Santa Clara, CA, to provide optimal performance at a lowest power consumption level.
  • DVFS may be performed using Intel TurboBoostTM technology to enable one or more cores or other compute engines to operate at a higher than guaranteed operating frequency based on conditions (e.g., workload and availability).
  • Another power management technique that may be used in certain examples is dynamic swapping of workloads between different compute engines.
  • the processor may include asymmetric cores or other processing engines that operate at different power consumption levels, such that in a power constrained situation, one or more workloads can be dynamically switched to execute on a lower power core or other compute engine.
  • Another exemplary power management technique is hardware duty cycling (HDC), which may cause cores and/or other compute engines to be periodically enabled and disabled according to a duty cycle, such that one or more cores may be made inactive during an inactive period of the duty cycle and made active during an active period of the duty cycle.
  • HDC hardware duty cycling
  • the system 100 may be all or a portion of an electronic device or component.
  • the system 100 may be a cellular telephone, a computer, a server, a network device, a processor, a system on a chip (SoC), a controller, a wireless transceiver, a power supply unit, etc.
  • SoC system on a chip
  • the system 100 may be any grouping of related or interconnected devices, such as a datacenter, a computing cluster, a peer-to-peer (P2P) network, a local area network (LAN), a wide area network (WAN), a wireless ad hoc network, etc.
  • P2P peer-to-peer
  • LAN local area network
  • WAN wide area network
  • wireless ad hoc network etc.
  • the system 100 may include a system voltage regulator (VR) 140 and any number of domains 120A-120N (referred to generically as "domains 120").
  • each domain 120 includes one or more domain components 125 configured to perform a specified function (or functions).
  • the domains 120A-120N may represent intellectual property (IP) blocks included in a System on a Chip (SoC), hardware modules included in a computer, processing cores included in a processor, radio interface modules included in a wireless communication device, plug-in cards included in a chassis, etc.
  • the domain components 125 may include logic units, memory/storage components, interface units, power components, processing cores, graphic engines, etc.
  • each domain 120 may also include a domain VR 130.
  • Each domain VR 130 controls the power supplied to the corresponding domain components 125.
  • the system VR 140 may control the electrical power supplied to the system 100 as a whole.
  • the system VR 140 and/or a domain VR 130 can use dynamic voltage frequency scaling (DVFS), in which an operating voltage and/or operating frequency of a domain 120 or the system 100 is dynamically controlled to reduce power consumption in certain situations.
  • DVFS dynamic voltage frequency scaling
  • the domain VR 130 may be a fully integrated voltage regulator (FIVR) uniquely associated with the processor core.
  • FIVR fully integrated voltage regulator
  • the system VR 140 may be located in an uncore region of the processor, or may be located externally to the processor.
  • the settings of a VR can be adjusted based on the power state of the corresponding domain or system.
  • the settings of the VR can include the switching frequency and the bridge activation level. These settings can be adjusted to reduce the VR losses at different current loads.
  • the switching frequency may be a Pulse Width Modulator (PWM) frequency of the VR.
  • PWM Pulse Width Modulator
  • the bridge activation level may be adjusted by controlling the number of activated bridge transistors in the VR.
  • the switching frequency of a VR may be increased in response to a transition to a lower power state (i.e., a power state associated with relatively lower energy consumption).
  • the bridge activation level of a VR may be reduced in response to a transition to a lower power state. For example, assume that domain 120A transitions from a CO state to a C1 state. As explained above, under the ACPI standard, the C1 state is a lower power state than the CO state. Thus, in some embodiments, the domain VR 130 may reduce the bridge activation level and/or increase the switching frequency.
  • the domain VR 130 may respond to the transition from CO to C1 by reducing the bridge activation level by some amount or proportion (e.g., 25%, 75%, etc.), and increasing the switching frequency by some amount or proportion (e.g., 25%, 50%, double, triple, etc.).
  • the switching frequency of an IVR may be decreased in response to a transition to a higher power state (i.e., a power state associated with relatively higher energy consumption).
  • the bridge activation level of a VR may be increased in response to a transition to a higher power state.
  • the domain VR 130 may increase the bridge activation level and/or decrease the switching frequency.
  • the VR 150 may include VR logic 170, a pulse width modulator 180, and any number of bridge transistors 1660A-160N (referred to generically as "bridge transistors 160").
  • the VR logic 170 may receive power state information 175.
  • the power state information 175 may include information about a power state of a domain or system associated with the VR 150.
  • the VR logic 170 may adjust control settings of the VR 150 based on the power state information 175.
  • the control settings may include the switching frequency and the bridge activation level of the VR 150.
  • the VR logic 170 may adjust the bridge activation level of the VR 150 by activating or deactivating any number or proportion of the bridge transistors 160.
  • the VR logic 170 may adjust the switching frequency of the VR 150 by adjusting the frequency of the pulse width modulator 180.
  • the VR logic 170 is implemented at least in part in hardware. Note that, while FIG. 1 B shows the VR logic 170 as included in the VR 160, embodiments are not limited in this regard. For example, it is contemplated that the VR logic 170 may be located in an uncore region of a processor, in a core, in a chipset, etc.
  • the sequence 200 may be part of the VR logic 170 shown in FIG. 1 B.
  • the sequence 200 may be implemented in hardware, software, and/or firmware. In firmware and software embodiments it may be implemented by computer executed instructions stored in a non-transitory machine readable medium, such as an optical, semiconductor, or magnetic storage device.
  • the machine readable medium may store data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform a method.
  • FIGS. 1 A-1 B show examples in accordance with some embodiments.
  • information about a power state may be monitored.
  • the VR logic 170 may receive information 175 about the current power state of an associated domain or system.
  • a new power state e.g., from a CO state to a C1 state.
  • an adjustment of at least one of the VR bridge activation level and the VR switching frequency may be performed. For example, in the event that the domain 120A has transitioned from a CO state to a C1 state, the switching frequency of the domain VR 130A may be increased, and/or the bridge activation level of the domain VR 130A may be decreased. These changes may reduce the losses in the domain VR 130A due to the lower current load of the C1 state. In another example, in the event that the domain 120A has transitioned from a C1 state to a CO state, the switching frequency of the domain VR 130A may be decreased, and/or the bridge activation level of the domain VR 130A may be increased. These changes may reduce the losses in the domain VR 130A due to the higher current load of the C1 state.
  • the process returns to block 210 to continue monitoring information about the power state.
  • the sequence 240 may be part of the VR logic 170 shown in FIG. 1 B.
  • the sequence 240 may be implemented in hardware, software, and/or firmware. In firmware and software embodiments it may be implemented by computer executed instructions stored in a non-transitory machine readable medium, such as an optical, semiconductor, or magnetic storage device.
  • the machine readable medium may store data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform a method.
  • FIGS. 1 A-1 B show examples in accordance with some embodiments.
  • the scope of the various embodiments discussed herein is not limited in this regard.
  • information about a power state may be monitored.
  • the VR logic 170 may receive the power state information 175 about the power state of a domain or system associated with the VR
  • the switching frequency of the IVR is increased. For example, referring to Fig. 1 B, the VR logic 170 may increase the switching frequency of the VR 150 by increasing the PWM frequency of PWM 180. After block 275, the process returns to block 250 to continue monitoring information about the power state.
  • the process returns to block 250 to continue monitoring information about the power state.
  • the bridge activation level of the IVR is increased.
  • the VR logic 170 may increase the bridge activation level by increasing the number of activated bridge transistors 160 in the VR 150.
  • the switching frequency of the IVR may be reduced.
  • the VR logic 170 may reduce the switching frequency of the VR 150 by reducing the PWM frequency of PWM 180.
  • FIGS. 1 A-1 B and 2A-2B are provided for the sake of illustration, and are not intended to limit any embodiments. It is contemplated that specifics in the examples shown in FIGS. 1A-1 B and 2A-2B may be used anywhere in one or more embodiments.
  • system 300 may include various components, including a processor 303 which as shown is a multicore processor.
  • processor 303 may be coupled to a power supply 317 via an external voltage regulator 316, which may perform a first voltage conversion to provide a primary regulated voltage to processor 303.
  • processor 303 may be a single die processor including multiple cores 304a - 304n.
  • each core 304 may be associated with an integrated voltage regulator (IVR) 308a - 308n which receives the primary regulated voltage and generates an operating voltage to be provided to one or more agents of the processor associated with the IVR 308.
  • IVR integrated voltage regulator
  • an IVR implementation may be provided to allow for fine-grained control of voltage and thus power and performance of each individual core 304.
  • each core 304 can operate at an independent voltage and frequency, enabling great flexibility and affording wide opportunities for balancing power consumption with performance.
  • the use of multiple IVRs 308 enables the grouping of components into separate power planes, such that power is regulated and supplied by the IVR 308 to only those components in the group.
  • a given power plane of one IVR 308 may be powered down or off when the processor is placed into a certain low power state, while another power plane of another IVR 308 remains active, or fully powered.
  • processor 303 may include VR logic 318.
  • the VR logic 318 may include some or all of the functionality described above with reference to VR logic 170 (shown in FIG. 1 B).
  • the VR logic 318 may adjust the bridge activation level and/or the switching frequency of the external VR 316 based on the power state of the processor 303.
  • the VR logic 318 may adjust the bridge activation level and/or the switching frequency of an IVR 308 based on the power state of a corresponding core 304.
  • interface 313 may be in accordance with the Intel® Quick Path Interconnect (QPI) protocol, which provides for point-to-point (PtP) links in a cache coherent protocol that includes multiple layers including a physical layer, a link layer and a protocol layer.
  • QPI Quick Path Interconnect
  • PtP point-to-point
  • interface 314 may be in accordance with a Peripheral Component
  • PCIeTM Interconnect Express
  • PCU power control unit
  • PCU 312 provides control information to external voltage regulator 316 via a digital interface to cause the external voltage regulator 316 to generate the appropriate regulated voltage.
  • PCU 312 also provides control information to IVRs 308 via another digital interface to control the operating voltage generated (or to cause a corresponding IVR 308 to be disabled in a low power mode).
  • the control information provided to IVRs 308 may include a power state of a corresponding core 304.
  • PCU 312 may include a variety of power
  • the PCU 312 may include some or all of the functionality described above with reference to the VR logic 170 (shown in FIG. 1 B). For example, in some embodiments, the PCU 312 may adjust the settings of the external voltage regulator 316 and/or the IVRs 308 based on power state information of the processor 303 and/or cores 304.
  • processor 303 While not shown for ease of illustration, understand that additional components may be present within processor 303 such as uncore logic, and other components such as internal memories, e.g., one or more levels of a cache memory hierarchy and so forth. Furthermore, while shown in the implementation of FIG. 3A with an external voltage regulator, embodiments are not so limited.
  • Embodiments can be implemented in processors for various markets including server processors, desktop processors, mobile processors and so forth.
  • FIG. 3B shown is a block diagram of a multi-domain processor 301 in accordance with one or more embodiments.
  • processor 301 includes multiple domains.
  • a core domain 321 can include a plurality of cores 3200-320n
  • a graphics domain 324 can include one or more graphics engines
  • a system agent domain 330 may further be present.
  • system agent domain 330 may execute at an independent frequency than the core domain and may remain powered on at all times to handle power control events and power management such that domains 321 and 324 can be controlled to dynamically enter into and exit high power and low power states.
  • Each of domains 321 and 324 may operate at different voltage and/or power. Note that while only shown with three domains, understand the scope of the present invention is not limited in this regard and additional domains can be present in other embodiments. For example, multiple core domains may be present, with each core domain including at least one core.
  • each core 320 may further include low level caches in addition to various execution units and additional processing elements.
  • the various cores may be coupled to each other and to a shared cache memory formed of a plurality of units of a last level cache (LLC) 3220 - 322n.
  • LLC 322 may be shared amongst the cores and the graphics engine, as well as various media processing circuitry.
  • a ring interconnect 323 thus couples the cores together, and provides interconnection between the cores 320, graphics domain 324 and system agent domain 330.
  • interconnect 323 can be part of the core domain 321 .
  • the ring interconnect 323 can be of its own domain.
  • system agent domain 330 may include display controller 332 which may provide control of and an interface to an associated display.
  • system agent domain 330 may include a power control unit 335 to perform power management.
  • processor 301 can further include an integrated memory controller (IMC) 342 that can provide for an interface to a system memory, such as a dynamic random access memory (DRAM).
  • IMC integrated memory controller
  • DRAM dynamic random access memory
  • Multiple interfaces 3400 - 340n may be present to enable interconnection between the processor and other circuitry.
  • DMI direct media interface
  • PCIeTM PCIeTM interfaces
  • processors - 340n may be present to enable interconnection between the processor and other circuitry.
  • DMI direct media interface
  • PCIeTM interfaces may be provided as well as one or more PCIeTM interfaces.
  • QPI Quick Path Interconnect
  • processor 301 , cores 320, graphics domain 324, system agent domain 330, and/or the core domain 321 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • processor 302 may be a multicore processor including a plurality of cores 370a - 370n.
  • each such core may be of an independent power domain and can be configured to enter and exit active states and/or maximum performance states based on workload.
  • the various cores may be coupled via an interconnect 375 to a system agent or uncore 380 that includes various components.
  • the uncore 380 may include a shared cache 382 which may be a last level cache.
  • the uncore 380 may include an integrated memory controller 384 to communicate with a system memory (not shown in FIG. 3C), e.g., via a memory bus.
  • Uncore 380 also includes various interfaces 386a-386n and a power control unit 388, which may include logic to perform the power management techniques described herein.
  • interfaces 386a-386n connection can be made to various off-chip components such as peripheral devices, mass storage and so forth. While shown with this particular implementation in the embodiment of FIG. 3C, the scope of the present invention is not limited in this regard.
  • processor 302 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • Processor 400 includes any processor or processing device, such as a microprocessor, an embedded processor, a digital signal processor (DSP), a network processor, a handheld processor, an application processor, a co-processor, a system on a chip (SoC), or other device to execute code.
  • processor 400 in one embodiment, includes at least two cores— cores 401 and 402, which may include asymmetric cores or symmetric cores (the illustrated embodiment). However, processor 400 may include any number of processing elements that may be symmetric or asymmetric.
  • a processing element refers to hardware or logic to support a software thread.
  • hardware processing elements include: a thread unit, a thread slot, a thread, a process unit, a context, a context unit, a logical processor, a hardware thread, a core, and/or any other element, which is capable of holding a state for a processor, such as an execution state or architectural state.
  • a processing element in one embodiment, refers to any hardware capable of being independently associated with code, such as a software thread, operating system, application, or other code.
  • a physical processor typically refers to an integrated circuit, which potentially includes any number of other processing elements, such as cores or hardware threads.
  • a core often refers to logic located on an integrated circuit capable of maintaining an independent architectural state, wherein each independently maintained architectural state is associated with at least some dedicated execution resources.
  • a hardware thread typically refers to any logic located on an integrated circuit capable of maintaining an independent architectural state, wherein the independently maintained architectural states share access to execution resources.
  • the line between the nomenclature of a hardware thread and core overlaps.
  • a core and a hardware thread are viewed by an operating system as individual logical processors, where the operating system is able to individually schedule operations on each logical processor.
  • Physical processor 400 includes two cores, cores 401 and 402.
  • cores 401 and 402 are considered symmetric cores, i.e., cores with the same configurations, functional units, and/or logic.
  • core 401 includes an out-of-order processor core
  • core 402 includes an in- order processor core.
  • cores 401 and 402 may be individually selected from any type of core, such as a native core, a software managed core, a core adapted to execute a native instruction set architecture (ISA), a core adapted to execute a translated ISA, a co-designed core, or other known core.
  • ISA native instruction set architecture
  • the functional units illustrated in core 401 are described in further detail below, as the units in core 402 operate in a similar manner.
  • core 401 includes two hardware threads 401 a and 401 b, which may also be referred to as hardware thread slots 401 a and 401 b. Therefore, software entities, such as an operating system, in one embodiment potentially view processor 400 as four separate processors, i.e., four logical processors or processing elements capable of executing four software threads concurrently. As alluded to above, a first thread is associated with architecture state registers 401 a, a second thread is associated with architecture state registers 401 b, a third thread may be associated with architecture state registers 402a, and a fourth thread may be associated with architecture state registers 402b.
  • each of the architecture state registers may be referred to as processing elements, thread slots, or thread units, as described above.
  • architecture state registers 401 a are replicated in architecture state registers 401 b, so individual architecture states/contexts are capable of being stored for logical processor 401 a and logical processor 401 b.
  • core 401 other smaller resources, such as instruction pointers and renaming logic in allocator and renamer block 430 may also be replicated for threads 401 a and 401 b.
  • Some resources such as reorder buffers in reorder/retirement unit 435, ILTB 420, load/store buffers, and queues may be shared through partitioning.
  • Other resources such as general purpose internal registers, page-table base register(s), low-level data-cache and data-TLB 415, execution unit(s) 440, and portions of out-of-order unit 435 are potentially fully shared.
  • Processor 400 often includes other resources, which may be fully shared, shared through partitioning, or dedicated by/to processing elements.
  • FIG. 4 an embodiment of a purely exemplary processor with illustrative logical units/resources of a processor is illustrated. Note that a processor may include, or omit, any of these functional units, as well as include any other known functional units, logic, or firmware not depicted.
  • core 401 includes a simplified, representative out-of-order (OOO) processor core. But an in-order processor may be utilized in different embodiments.
  • the OOO core includes a branch target buffer 420 to predict branches to be executed/taken and an instruction-translation buffer (l-TLB) 420 to store address translation entries for instructions.
  • l-TLB instruction-translation buffer
  • Core 401 further includes decode module 425 coupled to fetch unit 420 to decode fetched elements.
  • Fetch logic in one embodiment, includes individual sequencers associated with thread slots 401 a, 401 b, respectively.
  • core 401 is associated with a first ISA, which defines/specifies instructions executable on processor 400.
  • machine code instructions that are part of the first ISA include a portion of the instruction (referred to as an opcode), which references/specifies an instruction or operation to be performed.
  • Decode logic 425 includes circuitry that recognizes these instructions from their opcodes and passes the decoded
  • decoders 425 include logic designed or adapted to recognize specific instructions, such as transactional instruction.
  • the architecture or core 401 takes specific, predefined actions to perform tasks associated with the appropriate instruction. It is important to note that any of the tasks, blocks, operations, and methods described herein may be performed in response to a single or multiple instructions; some of which may be new or old instructions.
  • allocator and renamer block 430 includes an allocator to reserve resources, such as register files to store instruction processing results.
  • threads 401 a and 401 b are potentially capable of out-of-order execution, where allocator and renamer block 430 also reserves other resources, such as reorder buffers to track instruction results.
  • Unit 430 may also include a register renamer to rename program/instruction reference registers to other registers internal to processor 400.
  • Reorder/retirement unit 435 includes components, such as the reorder buffers mentioned above, load buffers, and store buffers, to support out-of- order execution and later in-order retirement of instructions executed out-of-order.
  • Scheduler and execution unit(s) block 440 includes a scheduler unit to schedule instructions/operation on execution units. For example, a floating point instruction is scheduled on a port of an execution unit that has an available floating point execution unit. Register files associated with the execution units are also included to store information instruction processing results. Exemplary execution units include a floating point execution unit, an integer execution unit, a jump execution unit, a load execution unit, a store execution unit, and other known execution units.
  • Lower level data cache and data translation buffer (D-TLB) 450 are coupled to execution unit(s) 440.
  • the data cache is to store recently used/operated on elements, such as data operands, which are potentially held in memory coherency states.
  • the D-TLB is to store recent virtual/linear to physical address translations.
  • a processor may include a page table structure to break physical memory into a plurality of virtual pages.
  • higher-level cache 410 is a last-level data cache— last cache in the memory hierarchy on processor 400— such as a second or third level data cache.
  • higher level cache 410 is not so limited, as it may be associated with or includes an instruction cache.
  • processor 400 also includes bus interface module 405 and a power controller 460, which may perform power management in accordance with an embodiment of the present invention.
  • bus interface 405 is to communicate with devices external to processor 400, such as system memory and other components.
  • a memory controller 470 may interface with other devices such as one or many memories.
  • bus interface 405 includes a ring interconnect with a memory controller for interfacing with a memory and a graphics controller for interfacing with a graphics processor.
  • devices such as a network interface, coprocessors, memory, graphics processor, and any other known computer devices/interface may be integrated on a single die or integrated circuit to provide small form factor with high functionality and low power consumption.
  • processor 400 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • processor core 500 may be a multi-stage pipelined out-of-order processor. Core 500 may operate at various voltages based on a received operating voltage, which may be received from an integrated voltage regulator or external voltage regulator.
  • core 500 includes front end units 510, which may be used to fetch instructions to be executed and prepare them for use later in the processor pipeline.
  • front end units 510 may include a fetch unit 501 , an instruction cache 503, and an instruction decoder 505.
  • front end units 510 may further include a trace cache, along with microcode storage as well as a micro-operation storage.
  • Fetch unit 501 may fetch macro-instructions, e.g., from memory or instruction cache 503, and feed them to instruction decoder 505 to decode them into primitives, i.e., micro-operations for execution by the processor.
  • OOO engine 515 Coupled between front end units 510 and execution units 520 is an out-of- order (OOO) engine 515 that may be used to receive the micro-instructions and prepare them for execution. More specifically OOO engine 515 may include various buffers to re-order micro-instruction flow and allocate various resources needed for execution, as well as to provide renaming of logical registers onto storage locations within various register files such as register file 530 and extended register file 535. Register file 530 may include separate register files for integer and floating point operations. Extended register file 535 may provide storage for vector-sized units, e.g., 256 or 512 bits per register.
  • Various resources may be present in execution units 520, including, for example, various integer, floating point, and single instruction multiple data (SIMD) logic units, among other specialized hardware.
  • execution units may include one or more arithmetic logic units (ALUs) 522 and one or more vector execution units 524, among other such execution units.
  • ALUs arithmetic logic units
  • Results from the execution units may be provided to retirement logic, namely a reorder buffer (ROB) 540. More specifically, ROB 540 may include various arrays and logic to receive information associated with instructions that are
  • ROB 540 may handle other operations associated with retirement.
  • ROB 540 is coupled to a cache 550 which, in one embodiment may be a low level cache (e.g., an L1 cache) although the scope of the present invention is not limited in this regard.
  • execution units 520 can be directly coupled to cache 550. From cache 550, data communication may occur with higher level caches, system memory and so forth. While shown with this high level in the embodiment of FIG. 5, understand the scope of the present invention is not limited in this regard.
  • FIG. 5 is with regard to an out-of-order machine such as of an Intel® x86 instruction set architecture (ISA), the scope of the present invention is not limited in this regard.
  • ISA Intel® x86 instruction set architecture
  • embodiments may be implemented in an in-order processor, a reduced instruction set computing (RISC) processor such as an ARM-based processor, or a processor of another type of ISA that can emulate instructions and operations of a different ISA via an emulation engine and associated logic circuitry.
  • RISC reduced instruction set computing
  • the core 500 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • core 600 may be a low power core of a different micro-architecture, such as an Intel® AtomTM-based processor having a relatively limited pipeline depth designed to reduce power consumption.
  • core 600 includes an instruction cache 610 coupled to provide instructions to an instruction decoder 615.
  • a branch predictor 605 may be coupled to instruction cache 610.
  • instruction cache 610 may further be coupled to another level of a cache memory, such as an L2 cache (not shown for ease of illustration in FIG. 6).
  • instruction decoder 615 provides decoded instructions to an issue queue 620 for storage and delivery to a given execution pipeline.
  • a microcode ROM 618 is coupled to instruction decoder 615.
  • a floating point pipeline 630 includes a floating point register file 632 which may include a plurality of architectural registers of a given bit with such as 128, 256 or 512 bits.
  • Pipeline 630 includes a floating point scheduler 634 to schedule instructions for execution on one of multiple execution units of the pipeline.
  • execution units include an ALU 635, a shuffle unit 636, and a floating point adder 638.
  • results generated in these execution units may be provided back to buffers and/or registers of register file 632.
  • additional or different floating point execution units may be present in another embodiment.
  • An integer pipeline 640 also may be provided.
  • pipeline 640 includes an integer register file 642 which may include a plurality of architectural registers of a given bit with such as 128 or 256 bits.
  • Pipeline 640 includes an integer scheduler 644 to schedule instructions for execution on one of multiple execution units of the pipeline.
  • execution units include an ALU 645, a shifter unit 646, and a jump execution unit 648.
  • results generated in these execution units may be provided back to buffers and/or registers of register file 642.
  • additional or different integer execution units may be present in another embodiment.
  • a memory execution scheduler 650 may schedule memory operations for execution in an address generation unit 652, which is also coupled to a TLB 654. As seen, these structures may couple to a data cache 660, which may be a L0 and/or L1 data cache that in turn couples to additional levels of a cache memory hierarchy, including an L2 cache memory.
  • an allocator/renamer 670 may be provided, in addition to a reorder buffer 680, which is configured to reorder instructions executed out of order for retirement in order.
  • a reorder buffer 680 which is configured to reorder instructions executed out of order for retirement in order.
  • workloads may be dynamically swapped between the cores for power management reasons, as these cores, although having different pipeline designs and depths, may be of the same or related ISA.
  • Such dynamic core swapping may be performed in a manner transparent to a user application (and possibly kernel also).
  • the core 600 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • a core 700 may include a multi-staged in-order pipeline to execute at very low power consumption levels.
  • processor 700 may have a microarchitecture in accordance with an ARM Cortex A53 design available from ARM Holdings, LTD., Sunnyvale, CA.
  • an 8-stage pipeline may be provided that is configured to execute both 32-bit and 64-bit code.
  • Core 700 includes a fetch unit 710 that is configured to fetch instructions and provide them to a decode unit 715, which may decode the instructions, e.g., macro-instructions of a given ISA such as an ARMv8 ISA. Note further that a queue 730 may couple to decode unit 715 to store decoded instructions. Decoded instructions are provided to an issue logic 725, where the decoded instructions may be issued to a given one of multiple execution units.
  • issue logic 725 may issue instructions to one of multiple execution units.
  • these execution units include an integer unit 735, a multiply unit 740, a floating point/vector unit 750, a dual issue unit 760, and a load/store unit 770.
  • the results of these different execution units may be provided to a writeback unit 780. Understand that while a single writeback unit is shown for ease of illustration, in some implementations separate writeback units may be associated with each of the execution units.
  • each of the units and logic shown in FIG. 7 is represented at a high level, a particular implementation may include more or different structures.
  • a processor designed using one or more cores having a pipeline as in FIG. 7 may be implemented in many different end products, extending from mobile devices to server systems.
  • the core 700 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • a core 800 may include a multi-stage multi-issue out-of-order pipeline to execute at very high performance levels (which may occur at higher power consumption levels than core 700 of FIG. 7).
  • processor 800 may have a microarchitecture in accordance with an ARM Cortex A57 design.
  • a 15 (or greater)-stage pipeline may be provided that is configured to execute both 32-bit and 64-bit code.
  • the pipeline may provide for 3 (or greater)-wide and 3 (or greater)-issue operation.
  • Core 800 includes a fetch unit 810 that is configured to fetch instructions and provide them to a
  • decoder/renamer/dispatcher 815 which may decode the instructions, e.g., macro- instructions of an ARMv8 instruction set architecture, rename register references within the instructions, and dispatch the instructions (eventually) to a selected execution unit.
  • Decoded instructions may be stored in a queue 825. Note that while a single queue structure is shown for ease of illustration in FIG 8, understand that separate queues may be provided for each of the multiple different types of execution units.
  • issue logic 830 from which decoded instructions stored in queue 825 may be issued to a selected execution unit. Issue logic 830 also may be implemented in a particular embodiment with a separate issue logic for each of the multiple different types of execution units to which issue logic 830 couples.
  • Decoded instructions may be issued to a given one of multiple execution units.
  • these execution units include one or more integer units 835, a multiply unit 840, a floating point/vector unit 850, a branch unit 860, and a load/store unit 870.
  • floating point/vector unit 850 may be configured to handle SIMD or vector data of 128 or 256 bits.
  • floating point/vector execution unit 850 may perform IEEE-754 double precision floating-point operations.
  • the results of these different execution units may be provided to a writeback unit 880. Note that in some implementations separate writeback units may be associated with each of the execution units.
  • each of the units and logic shown in FIG. 8 is represented at a high level, a particular implementation may include more or different structures.
  • workloads may be dynamically swapped for power management reasons, as these cores, although having different pipeline designs and depths, may be of the same or related ISA.
  • Such dynamic core swapping may be performed in a manner transparent to a user application (and possibly kernel also).
  • the core 800 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • processor 900 may be a SoC including multiple domains, each of which may be controlled to operate at an independent operating voltage and operating frequency.
  • processor 900 may be an Intel® Architecture CoreTM-based processor such as an i3, i5, i7 or another such processor available from Intel Corporation.
  • Intel® Architecture CoreTM-based processor such as an i3, i5, i7 or another such processor available from Intel Corporation.
  • other low power processors such as available from Advanced Micro Devices, Inc.
  • AMD Advanced Driver Assistance Device
  • MIPS Technologies, Inc. of Sunnyvale, CA
  • MIPS Technologies, Inc. of Sunnyvale, CA
  • their licensees or adopters may instead be present in other embodiments such as an Apple A7 processor, a Qualcomm Snapdragon processor, or Texas Instruments OMAP processor.
  • SoC may be used in a low power system such as a smartphone, tablet computer, phablet computer, UltrabookTM computer or other portable computing device.
  • processor 900 includes a plurality of core units 9100-91 On.
  • Each core unit may include one or more processor cores, one or more cache memories and other circuitry.
  • Each core unit 910 may support one or more instructions sets (e.g., an x86 instruction set (with some extensions that have been added with newer versions); a MIPS instruction set; an ARM instruction set (with optional additional extensions such as NEON)) or other instruction set or combinations thereof.
  • some of the core units may be heterogeneous resources (e.g., of a different design).
  • each such core may be coupled to a cache memory (not shown) which in an embodiment may be a shared level (L2) cache memory.
  • a non-volatile storage 930 may be used to store various program and other data. For example, this storage may be used to store at least portions of microcode, boot information such as a BIOS, other system software or so forth.
  • Each core unit 910 may also include an interface such as a bus interface unit to enable interconnection to additional circuitry of the processor.
  • each core unit 910 couples to a coherent fabric that may act as a primary cache coherent on-die interconnect that in turn couples to a memory controller 935.
  • memory controller 935 controls communications with a memory such as a DRAM (not shown for ease of illustration in FIG. 9).
  • additional processing engines are present within the processor, including at least one graphics unit 920 which may include one or more graphics processing units (GPUs) to perform graphics processing as well as to possibly execute general purpose operations on the graphics processor (so-called GPGPU operation).
  • at least one image signal processor 925 may be present. Signal processor 925 may be configured to process incoming image data received from one or more capture devices, either internal to the SoC or off-chip.
  • a video coder 950 may perform coding operations including encoding and decoding for video information, e.g., providing hardware acceleration support for high definition video content.
  • a display controller 955 further may be provided to accelerate display operations including providing support for internal and external displays of a system.
  • a security processor 945 may be present to perform security operations such as secure boot operations, various cryptography operations and so forth.
  • Each of the units may have its power consumption controlled via a power manager 940, which may include control logic to perform the various power management techniques described herein.
  • SoC 900 may further include a non-coherent fabric coupled to the coherent fabric to which various peripheral devices may couple.
  • One or more interfaces 960a-960d enable communication with one or more off-chip devices.
  • Such communications may be according to a variety of communication protocols such as PCIeTM, GPIO, USB, I2C, UART, MIPI, SDIO, DDR, SPI, HDMI, among other types of communication protocols.
  • the SoC 900 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 900 (e.g., core units 9100-91 On, graphics unit 920, image signal processor 925, video coder 950, display controller 955, security processor 945, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A. [0109] Referring now to FIG. 10, shown is a block diagram of a representative SoC.
  • SoC 1000 may be a multi-core SoC configured for low power operation to be optimized for incorporation into a smartphone or other low power device such as a tablet computer or other portable computing device.
  • SoC 1000 may be implemented using asymmetric or different types of cores, such as combinations of higher power and/or low power cores, e.g., out-of- order cores and in-order cores.
  • these cores may be based on an Intel® ArchitectureTM core design or an ARM architecture design.
  • a mix of Intel and ARM cores may be implemented in a given SoC.
  • SoC 1000 includes a first core domain 1010 having a plurality of first cores 10120 - 10123.
  • these cores may be low power cores such as in-order cores.
  • these first cores may be
  • SoC 1000 includes a second core domain 1020.
  • second core domain 1020 has a plurality of second cores 10220 - 10223.
  • these cores may be higher power- consuming cores than first cores 1012.
  • the second cores may be out-of-order cores, which may be implemented as ARM Cortex A57 cores.
  • these cores couple to a cache memory 1025 of core domain 1020. Note that while the example shown in FIG. 10 includes 4 cores in each domain, understand that more or fewer cores may be present in a given domain in other examples.
  • a graphics domain 1030 also is provided, which may include one or more graphics processing units (GPUs) configured to independently execute graphics workloads, e.g., provided by one or more cores of core domains 1010 and 1020.
  • GPUs graphics processing units
  • GPU domain 1030 may be used to provide display support for a variety of screen sizes, in addition to providing graphics and display rendering operations.
  • coherent interconnect 1040 which in an embodiment may be a cache coherent interconnect fabric that in turn couples to an integrated memory controller 1050.
  • Coherent interconnect 1040 may include a shared cache memory, such as an L3 cache, some examples.
  • memory controller 1050 may be a direct memory controller to provide for multiple channels of communication with an off-chip memory, such as multiple channels of a DRAM (not shown for ease of illustration in FIG. 10).
  • the number of the core domains may vary. For example, for a low power SoC suitable for incorporation into a mobile computing device, a limited number of core domains such as shown in FIG. 10 may be present. Still further, in such low power SoCs, core domain 1020 including higher power cores may have fewer numbers of such cores. For example, in one implementation two cores 1022 may be provided to enable operation at reduced power consumption levels. In addition, the different core domains may also be coupled to an interrupt controller to enable dynamic swapping of workloads between the different domains.
  • a greater number of core domains, as well as additional optional IP logic may be present, in that an SoC can be scaled to higher performance (and power) levels for incorporation into other computing devices, such as desktops, servers, high performance computing systems, base stations forth.
  • 4 core domains each having a given number of out-of-order cores may be provided.
  • one or more accelerators to provide optimized hardware support for particular functions e.g. web serving, network processing, switching or so forth
  • an input/output interface may be present to couple such accelerators to off-chip components.
  • the SoC 1000 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 1000 (e.g., first core domain 1010, second core domain 1020, graphics domain 1030, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A.
  • SoC 1 100 may include various circuitry to enable high performance for multimedia applications, communications and other functions. As such, SoC 1 100 is suitable for incorporation into a wide variety of portable and other devices, such as smartphones, tablet computers, smart TVs and so forth.
  • SoC 1 100 includes a central processor unit (CPU) domain 1 1 10.
  • CPU central processor unit
  • a plurality of individual processor cores may be present in CPU domain 1 1 10.
  • CPU domain 1 1 10 may be a quad core processor having 4 multithreaded cores.
  • Such processors may be
  • homogeneous or heterogeneous processors e.g., a mix of low power and high power processor cores.
  • a GPU domain 1 120 is provided to perform advanced graphics processing in one or more GPUs to handle graphics and compute APIs.
  • a DSP unit 1 130 may provide one or more low power DSPs for handling low-power multimedia applications such as music playback, audio/video and so forth, in addition to advanced calculations that may occur during execution of multimedia instructions.
  • a communication unit 1 140 may include various components to provide connectivity via various wireless protocols, such as cellular communications
  • a multimedia processor 1 150 may be used to perform capture and playback of high definition video and audio content, including processing of user gestures.
  • a sensor unit 1 160 may include a plurality of sensors and/or a sensor controller to interface to various off-chip sensors present in a given platform.
  • An image signal processor 1 170 may be provided with one or more separate ISPs to perform image processing with regard to captured content from one or more cameras of a platform, including still and video cameras.
  • a display processor 1 180 may provide support for connection to a high definition display of a given pixel density, including the ability to wirelessly
  • a location unit 1 190 may include a GPS receiver with support for multiple GPS constellations to provide applications highly accurate positioning information obtained using as such GPS receiver. Understand that while shown with this particular set of components in the example of FIG. 1 1 , many variations and alternatives are possible.
  • the SoC 1 100 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 1 100 (e.g., CPU domain 1 1 10, GPU domain 1 120, DSP unit 1 130, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A.
  • various domains of SoC 1 100 e.g., CPU domain 1 1 10, GPU domain 1 120, DSP unit 1 130, etc.
  • system 1200 may be a smartphone or other wireless communicator.
  • a baseband processor 1205 is configured to perform various signal processing with regard to communication signals to be transmitted from or received by the system.
  • baseband processor 1205 is coupled to an application processor 1210, which may be a main CPU of the system to execute an OS and other system software, in addition to user applications such as many well-known social media and multimedia apps.
  • Application processor 1210 may further be configured to perform a variety of other computing operations for the device.
  • application processor 1210 can couple to a user interface/display 1220, e.g., a touch screen display.
  • application processor 1210 may couple to a memory system including a non-volatile memory, namely a flash memory 1230 and a system memory, namely a dynamic random access memory (DRAM) 1235.
  • application processor 1210 further couples to a capture device 1240 such as one or more image capture devices that can record video and/or still images.
  • a universal integrated circuit card (UICC) 1240 comprising a subscriber identity module and possibly a secure storage and cryptoprocessor is also coupled to application processor 1210.
  • System 1200 may further include a security processor 1250 that may couple to application processor 1210.
  • a plurality of sensors 1225 may couple to application processor 1210 to enable input of a variety of sensed information such as accelerometer and other environmental information.
  • An audio output device 1295 may provide an interface to output sound, e.g., in the form of voice communications, played or streaming audio data and so forth.
  • a near field communication (NFC) contactless interface 1260 is provided that communicates in a NFC near field via an NFC antenna 1265. While separate antennae are shown in FIG. 12, understand that in some implementations one antenna or a different set of antennae may be provided to enable various wireless functionality.
  • NFC near field communication
  • a power management integrated circuit (PMIC) 1215 couples to application processor 1210 to perform platform level power management. To this end, PMIC 1215 may issue power management requests to application processor 1210 to enter certain low power states as desired. Furthermore, based on platform constraints, PMIC 1215 may also control the power level of other components of system 1200.
  • PMIC power management integrated circuit
  • various circuitry may be coupled between baseband processor 1205 and an antenna 1290.
  • a radio frequency (RF) transceiver 1270 and a wireless local area network (WLAN) transceiver 1275 may be present.
  • RF transceiver 1270 may be used to receive and transmit wireless data and calls according to a given wireless communication protocol such as 3G or 4G wireless communication protocol such as in accordance with a code division multiple access (CDMA), global system for mobile communication (GSM), long term evolution (LTE) or other protocol.
  • CDMA code division multiple access
  • GSM global system for mobile communication
  • LTE long term evolution
  • GPS sensor 1280 may be present.
  • Other wireless communications such as receipt or transmission of radio signals, e.g., AM/FM and other signals may also be provided.
  • WLAN transceiver 1275 local wireless
  • BluetoothTM such as BluetoothTM
  • IEEE 802.1 1 such as IEEE 802.1 1 a/b/g/n
  • system 1200 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • system 1300 may be mobile low-power system such as a tablet computer, 2: 1 tablet, phablet or other convertible or standalone tablet system.
  • SoC 1310 is present and may be configured to operate as an application processor for the device.
  • SoC 1310 A variety of devices may couple to SoC 1310.
  • a memory subsystem includes a flash memory 1340 and a DRAM 1345 coupled to SoC 1310.
  • a touch panel 1320 is coupled to the SoC 1310 to provide display capability and user input via touch, including provision of a virtual keyboard on a display of touch panel 1320.
  • SoC 1310 couples to an Ethernet interface 1330.
  • a peripheral hub 1325 is coupled to SoC 1310 to enable interfacing with various peripheral devices, such as may be coupled to system 1300 by any of various ports or other connectors.
  • a PMIC 1380 is coupled to SoC 1310 to provide platform-based power management, e.g., based on whether the system is powered by a battery 1390 or AC power via an AC adapter 1395.
  • PMIC 1380 may further perform platform power management activities based on environmental and usage conditions.
  • PMIC 1380 may communicate control and status information to SoC 1310 to cause various power management actions within SoC 1310.
  • a WLAN unit 1350 is coupled to SoC 1310 and in turn to an antenna 1355.
  • WLAN unit 1350 may provide for communication according to one or more wireless protocols, including an IEEE 802.1 1 protocol, a BluetoothTM protocol or any other wireless protocol.
  • a plurality of sensors 1360 may couple to SoC 1310. These sensors may include various accelerometer, environmental and other sensors, including user gesture sensors. Finally, an audio codec 1365 is coupled to SoC 1310 to provide an interface to an audio output device 1370.
  • SoC 1310 may include various accelerometer, environmental and other sensors, including user gesture sensors.
  • an audio codec 1365 is coupled to SoC 1310 to provide an interface to an audio output device 1370.
  • system 1300 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • a processor 1410 includes a microprocessor, multi-core
  • processor 1410 acts as a main processing unit and central hub for communication with many of the various components of the system 1400.
  • processor 1410 is implemented as a SoC.
  • Processor 1410 communicates with a system memory 1415.
  • system memory 1415 is implemented via multiple memory devices or modules to provide for a given amount of system memory.
  • a mass storage 1420 may also couple to processor 1410.
  • this mass storage may be implemented via a SSD or the mass storage may primarily be implemented using a hard disk drive (HDD) with a smaller amount of SSD storage to act as a SSD cache to enable non-volatile storage of context state and other such information during power down events so that a fast power up can occur on re-initiation of system activities.
  • a flash device 1422 may be coupled to processor 1410, e.g., via a serial peripheral interface (SPI). This flash device may provide for non-volatile storage of system software, including a basic input/output software (BIOS) as well as other firmware of the system.
  • BIOS basic input/output software
  • a display 1424 which may be a high definition LCD or LED panel that further provides for a touch screen 1425.
  • display 1424 may be coupled to processor 1410 via a display interconnect that can be implemented as a high performance graphics interconnect.
  • Touch screen 1425 may be coupled to processor 1410 via another interconnect, which in an embodiment can be an I2C interconnect.
  • I2C interconnect an interconnect that can be an I2C interconnect.
  • touch pad 1430 may be configured within the chassis and may also be coupled to the same I2C interconnect as touch screen 1425.
  • various sensors may be present within the system and may be coupled to processor 1410 in different manners.
  • Certain inertial and environmental sensors may couple to processor 1410 through a sensor hub 1440, e.g., via an I2C interconnect.
  • these sensors may include an accelerometer 1441 , an ambient light sensor (ALS) 1442, a compass 1443 and a gyroscope 1444.
  • Other environmental sensors may include one or more thermal sensors 1446 which in some embodiments couple to processor 1410 via a system management bus (SMBus) bus.
  • SMBus system management bus
  • various peripheral devices may couple to processor 1410 via a low pin count (LPC) interconnect.
  • LPC low pin count
  • various components can be coupled through an embedded controller 1435.
  • keyboard 1436 e.g., coupled via a PS2 interface
  • fan 1437 e.g., coupled via a PS2 interface
  • thermal sensor 1439 e.g., a thermal sensor 1439
  • touch pad 1430 may also couple to EC 1435 via a PS2 interface.
  • a security processor such as a trusted platform module (TPM) 1438 in accordance with the Trusted Computing Group (TCG) TPM Specification Version 1 .2, dated Oct. 2, 2003, may also couple to processor 1410 via this LPC interconnect.
  • TPM trusted platform module
  • System 1400 can communicate with external devices in a variety of manners, including wirelessly.
  • various wireless modules each of which can correspond to a radio configured for a particular wireless communication protocol, are present.
  • NFC unit 1445 may communicate, in one embodiment with processor 1410 via an SMBus. Note that via this NFC unit 1445, devices in close proximity to each other can communicate.
  • additional wireless units can include other short range wireless engines including a WLAN unit 1450 and a Bluetooth unit 1452.
  • Wi-FiTM communications in accordance with a given IEEE 802.1 1 standard can be realized, while via Bluetooth unit 1452, short range communications via a Bluetooth protocol can occur.
  • These units may communicate with processor 1410 via, e.g., a USB link or a universal asynchronous receiver transmitter (UART) link. Or these units may couple to processor 1410 via an interconnect according to a PCIeTM protocol or another such protocol such as a serial data input/output (SDIO) standard.
  • SDIO serial data input/output
  • wireless wide area communications can occur via a WWAN unit 1456 which in turn may couple to a subscriber identity module (SIM) 1457.
  • SIM subscriber identity module
  • a GPS module 1455 may also be present. Note that in the embodiment shown in FIG. 14, WWAN unit 1456 and an integrated capture device such as a camera module 1454 may communicate via a given USB protocol such as a USB 2.0 or 3.0 link, or a UART or I2C protocol.
  • An integrated camera module 1454 can be incorporated in the lid.
  • an audio processor can be implemented via a digital signal processor (DSP) 1460, which may couple to processor 1410 via a high definition audio (HDA) link.
  • DSP 1460 may communicate with an integrated coder/decoder (CODEC) and amplifier 1462 that in turn may couple to output speakers 1463 which may be implemented within the chassis.
  • CODEC 1462 can be coupled to receive audio inputs from a
  • microphone 1465 which in an embodiment can be implemented via dual array microphones (such as a digital microphone array) to provide for high quality audio inputs to enable voice-activated control of various operations within the system.
  • audio outputs can be provided from amplifier/CODEC 1462 to a headphone jack 1464. Although shown with these particular components in the embodiment of FIG. 14, understand the scope of the present invention is not limited in this regard.
  • system 1400 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • Embodiments may be implemented in many different system types.
  • multiprocessor system 1500 is a point-to-point interconnect system, and includes a first processor 1570 and a second processor 1580 coupled via a point-to-point interconnect 1550.
  • processors 1570 and 1580 may be multicore
  • processors including first and second processor cores (i.e., processor cores 1574a and 1574b and processor cores 1584a and 1584b), although potentially many more cores may be present in the processors.
  • processors can include a PCU or other power management logic to perform processor-based power management as described herein.
  • first processor 1570 further includes a memory controller hub (MCH) 1572 and point-to-point (P-P) interfaces 1576 and 1578.
  • MCH memory controller hub
  • P-P point-to-point
  • second processor 1580 includes a MCH 1582 and P-P interfaces 1586 and 1588.
  • MCH's 1572 and 1582 couple the processors to respective memories, namely a memory 1532 and a memory 1534, which may be portions of system memory (e.g., DRAM) locally attached to the respective
  • First processor 1570 and second processor 1580 may be coupled to a chipset 1590 via P-P interconnects 1562 and 1564, respectively. As shown in FIG. 15, chipset 1590 includes P-P interfaces 1594 and 1598.
  • chipset 1590 includes an interface 1592 to couple chipset 1590 with a high performance graphics engine 1538, by a P-P interconnect 1539.
  • chipset 1590 may be coupled to a first bus 1516 via an interface 1596.
  • various input/output (I/O) devices 1514 may be coupled to first bus 1516, along with a bus bridge 1518 which couples first bus 1516 to a second bus 1520.
  • Various devices may be coupled to second bus 1520 including, for example, a keyboard/mouse 1522, communication devices 1526 and a data storage unit 1528 such as a disk drive or other mass storage device which may include code 1530, in one embodiment.
  • an audio I/O 1524 may be coupled to second bus 1520.
  • Embodiments can be incorporated into other types of systems including mobile devices such as a smart cellular telephone, tablet computer, netbook, UltrabookTM, or so forth.
  • system 1500 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
  • Embodiments may be implemented in code and may be stored on a non- transitory storage medium having stored thereon instructions which can be used to program a system to perform the instructions.
  • the storage medium may include, but is not limited to, any type of disk including floppy disks, optical disks, solid state drives (SSDs), compact disk read-only memories (CD-ROMs), compact disk rewritables (CD-RWs), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.
  • ROMs read-only memories
  • RAMs random access memories
  • DRAMs dynamic random access memories
  • SRAMs static random access memories
  • EPROMs erasable
  • a processor for performing voltage regulation comprises: a plurality of processing cores; a plurality of integrated voltage regulators (IVRs), each IVR uniquely associated with one of the plurality of processing cores and comprising a plurality of bridge transistors; and voltage regulator logic.
  • the voltage regulator logic may be to: monitor power state information of the core; determine, based on the power state information, whether the core has transitioned from a first power state to a second power state; and in response to a determination that the core has transitioned from the first power state to the second power state, adjust at least one of a bridge activation level of the IVR and a switching frequency of the IVR based at least on the second power state.
  • the second power state is lower than the first power state
  • the voltage regulator logic is to reduce the bridge activation level of the IVR.
  • the voltage regulator logic is to reduce the bridge activation level of the IVR by decreasing a number of activated bridge transistors in the IVR.
  • the second power state is lower than the first power state
  • the voltage regulator logic is to increase the switching frequency of the IVR.
  • the voltage regulator logic is to increase the switching frequency of the IVR by increasing a pulse width modulator (PWM) frequency in the IVR.
  • PWM pulse width modulator
  • the second power state is higher than the first power state
  • the voltage regulator logic is to increase the bridge activation level of the IVR.
  • the second power state is higher than the first power state
  • the voltage regulator logic is to decrease the switching frequency of the IVR.
  • each IVR is to supply electrical power to an associated processing core.
  • a method for performing voltage regulation includes determining, by hardware voltage regulator logic, whether a power domain of a computing device is changing from a first power state to a second power state. The method also includes, in response to a determination that the power domain is changing from the first power state to the second power state: adjusting, by the hardware voltage regulator logic, a bridge activation level of a voltage regulator based on the second power state; and adjusting, by the hardware voltage regulator logic, a switching frequency of the voltage regulator based on the second power state, wherein the voltage regulator is to supply power to the power domain.
  • the second power state is lower than the first power state
  • adjusting the bridge activation level of the voltage regulator comprises reducing the bridge activation level of the voltage regulator
  • adjusting the switching frequency of the voltage regulator comprises increasing the switching frequency of the voltage regulator
  • the second power state is higher than the first power state
  • adjusting the bridge activation level of the voltage regulator comprises increasing the bridge activation level of the voltage regulator
  • adjusting the switching frequency of the voltage regulator comprises reducing the switching frequency of the voltage regulator
  • the method also includes receiving power state information for the power domain at the hardware voltage regulator logic.
  • the method also includes supplying electrical power to power domain using the voltage regulator.
  • a machine readable medium has stored thereon data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform the method of any of the above examples.
  • an apparatus for processing instructions is configured to perform the method of any of the above examples.
  • a system for performing voltage regulation includes a multicore processor and a dynamic random access memory (DRAM) coupled to the multicore processor.
  • the multicore processor includes an uncore domain; a core domain comprising a plurality of cores; a plurality of core integrated voltage regulators (IVRs), each core IVR uniquely associated with a core of the plurality of cores and comprising a plurality of bridge transistors, each core IVR to provide an independent power supply to the associated core; and voltage regulator logic.
  • IVRs integrated voltage regulators
  • the voltage regulator logic is to: monitor a power state of the plurality of cores; and in response to a determination that the power state of a first core has transitioned from a first power state to a second power state, adjust at least one of a bridge activation level and a switching frequency of a first core IVR associated with the first core.
  • the second power state is lower than the first power state
  • the voltage regulator logic is to reduce the bridge activation level of the first core IVR.
  • the second power state is lower than the first power state
  • the voltage regulator logic is to increase the switching frequency of the first core IVR.
  • the second power state is higher than the first power state
  • the voltage regulator logic is to increase the bridge activation level of the first core IVR.
  • the second power state is higher than the first power state
  • the voltage regulator logic is to reduce the switching frequency of the first core IVR.
  • the uncore domain comprises an uncore IVR to provide a power supply to the processor.
  • the voltage regulator logic is to, in response to a
  • the voltage regulator logic is to, in response to a
  • the voltage regulator logic is to increase the bridge activation level of the uncore IVR by increasing a number of activated bridge transistors in the uncore IVR.
  • the voltage regulator logic is to decrease the switching frequency of the uncore IVR by decreasing a pulse width modulator (PWM) frequency of the uncore IVR.
  • PWM pulse width modulator
  • Embodiments may be used in many different types of systems.
  • a communication device can be arranged to perform the various methods and techniques described herein.
  • the scope of the present invention is not limited to a communication device, and instead other embodiments can be directed to other types of apparatus for processing instructions, or one or more machine readable media including instructions that in response to being executed on a computing device, cause the device to carry out one or more of the methods and techniques described herein.

Abstract

In an embodiment, a processor includes a plurality of processing cores; a plurality of integrated voltage regulators (IVRs), and voltage regulator logic. Each IVR may be uniquely associated with one of the plurality of processing cores and comprising a plurality of bridge transistors. The voltage regulator logic may be to: monitor power state information of the core; determine, based on the power state information, whether the core has transitioned from a first power state to a second power state; and in response to a determination that the core has transitioned from the first power state to the second power state, adjust at least one of a bridge activation level of the IVR and a switching frequency of the IVR based at least on the second power state. Other embodiments are described and claimed.

Description

ADJUSTMENT OF VOLTAGE REGULATOR BASED ON POWER STATE Field of Invention
[0001 ] Embodiments relate generally to power management of a system. More particularly, some embodiments are related to power management of a multicore processor.
Background
[0002] Advances in semiconductor processing and logic design have permitted an increase in the amount of logic that may be present on integrated circuit devices. As a result, computer system configurations have evolved from a single or multiple integrated circuits in a system to multiple hardware threads, multiple cores, multiple devices, and/or complete systems on individual integrated circuits. Additionally, as the density of integrated circuits has grown, the power requirements for computing systems (from embedded systems to servers) have also escalated. Furthermore, software inefficiencies, and its requirements of hardware, have also caused an increase in computing device energy consumption. In fact, some studies indicate that computing devices consume a sizeable percentage of the entire electricity supply for a country, such as the United States of America. As a result, there is a vital need for energy efficiency and conservation associated with integrated circuits. These needs will increase as servers, desktop computers, notebooks, Ultrabooks™, tablets, mobile phones, processors, embedded systems, etc. become even more prevalent (from inclusion in the typical computer, automobiles, and televisions to biotechnology).
Brief Description of the Drawings
[0003] FIG. 1 A is a block diagram of a system in accordance with one or more embodiments.
[0004] FIG. 1 B is a block diagram of an example voltage regulator in accordance with one or more embodiments.
[0005] FIG. 2A is a sequence in accordance with one or more embodiments.
[0006] FIG. 2B is a sequence in accordance with one or more embodiments. [0007] FIG. 3A is a block diagram of a portion of a system in accordance with one or more embodiments.
[0008] FIG. 3B is a block diagram of a multi-domain processor in accordance with one or more embodiments.
[0009] FIG. 3C is a block diagram of a processor in accordance with one or more embodiments.
[0010] FIG. 4 is a block diagram of a processor including multiple cores in accordance with one or more embodiments.
[001 1 ] FIG. 5 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
[0012] FIG. 6 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
[0013] FIG. 7 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
[0014] FIG. 8 is a block diagram of a micro-architecture of a processor core in accordance with one or more embodiments.
[0015] FIG. 9 is a block diagram of a processor in accordance with one or more embodiments.
[0016] FIG. 10 is a block diagram of a representative SoC in accordance with one or more embodiments.
[0017] FIG. 1 1 is a block diagram of another example SoC in accordance with one or more embodiments.
[0018] FIG. 12 is a block diagram of an example system with which one or more embodiments can be used.
[0019] FIG. 13 is a block diagram of another example system with which one or more embodiments may be used.
[0020] FIG. 14 is a block diagram of a computer system in accordance with one or more embodiments.
[0021 ] FIG. 15 is a block diagram of a system in accordance with one or more embodiments. Detailed Description
[0022] Some electronic devices include one or more domains, where each domain includes a group of components that share a particular operating characteristic (e.g., a power state, a clock frequency, a voltage level, etc.). For example, a multi-core processor can include multiple cores, with each core being capable of operating in a different power state than the other cores.
[0023] Each domain may include a dedicated power supply component. For example, a domain may include a dedicated voltage regulator to independently control the electrical power supplied to the domain. In some situations, a change in the power state of the domain may cause the efficiency of the voltage regulator to drop. For example, when a domain transitions to a lower power state, the
associated voltage regulator may incur losses due to operating at a lower current level.
[0024] In accordance with some embodiments, the settings of a voltage regulator may be adjusted based on the power state of an associated domain or system. In some embodiments, in response to a transition to a lower power state, the switching frequency of the voltage regulator may be increased, and/or the bridge activation level of the voltage regulator may be decreased. Further, in some embodiment, in response to a transition to a higher power state, the switching frequency of the voltage regulator may be decreased, and/or the bridge activation level of the voltage regulator may be increased. In some embodiments, one or more of these
adjustments may be used to reduce the voltage regulator losses at different current loads.
[0025] Although the following embodiments are described with reference to energy conservation and energy efficiency in specific integrated circuits, such as in computing platforms or processors, other embodiments are applicable to other types of integrated circuits and logic devices. Similar techniques and teachings of embodiments described herein may be applied to other types of circuits or semiconductor devices that may also benefit from better energy efficiency and energy conservation. For example, the disclosed embodiments are not limited to any particular type of computer systems. That is, disclosed embodiments can be used in many different system types, ranging from server computers (e.g., tower, rack, blade, micro-server and so forth), communications systems, storage systems, desktop computers of any configuration, laptop, notebook, and tablet computers (including 2: 1 tablets, phablets and so forth).
[0026] In addition, disclosed embodiments can also be used in other devices, such as handheld devices, systems on chip (SoCs), and embedded applications. Some examples of handheld devices include cellular phones such as smartphones, Internet protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs. Embedded applications may typically include a microcontroller, a digital signal processor (DSP), network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, wearable devices, or any other system that can perform the functions and operations taught below. Further, embodiments may be implemented in mobile terminals having standard voice functionality such as mobile phones, smartphones and phablets, and/or in non-mobile terminals without a standard wireless voice function communication capability, such as many wearables, tablets, notebooks, desktops, micro-servers, servers and so forth.
[0027] Moreover, the apparatuses, methods, and systems described herein are not limited to physical computing devices, but may also relate to software optimizations for energy conservation and efficiency. As will become readily apparent in the description below, the embodiments of methods, apparatuses, and systems described herein (whether in reference to hardware, firmware, software, or a combination thereof) are vital to a 'green technology' future, such as for power conservation and energy efficiency in products that encompass a large portion of the US economy.
[0028] Note that the power management techniques described herein may be independent of and complementary to an operating system (OS)-based mechanism, such as the Advanced Configuration and Platform Interface (ACPI) standard (e.g., Rev. 3.0b, published October 10, 2006). According to ACPI, a processor can operate at various performance states or levels, so-called P-states, namely from P0 to PN. In general, the P1 performance state may correspond to the highest guaranteed performance state that can be requested by an OS. In addition to this P1 state, the OS can further request a higher performance state, namely a P0 state. This P0 state may thus be an opportunistic or turbo mode state in which, when power and/or thermal budget is available, processor hardware can configure the processor or at least portions thereof to operate at a higher than guaranteed frequency. In many implementations a processor can include multiple so-called bin frequencies above the P1 guaranteed maximum frequency, exceeding to a maximum peak frequency of the particular processor, as fused or otherwise written into the processor during manufacture. In addition, according to ACPI, a processor can operate at various power states or levels. With regard to power states, ACPI specifies different power consumption states, generally referred to as C-states, CO, C1 to Cn states. When a core is active, it runs at a CO state, and when the core is idle it may be placed in a core low power state, also called a core non-zero C-state (e.g., C1 -C6 states), with each C-state being at a lower power consumption level (such that C6 is a deeper low power state than C1 , and so forth).
[0029] Understand that many different types of power management techniques may be used individually or in combination in different embodiments. As
representative examples, a power controller may control the processor to be power managed by some form of dynamic voltage frequency scaling (DVFS) in which an operating voltage and/or operating frequency of one or more cores or other processor logic may be dynamically controlled to reduce power consumption in certain situations. In an example, DVFS may be performed using Enhanced Intel SpeedStepTM technology available from Intel Corporation, Santa Clara, CA, to provide optimal performance at a lowest power consumption level. In another example, DVFS may be performed using Intel TurboBoostTM technology to enable one or more cores or other compute engines to operate at a higher than guaranteed operating frequency based on conditions (e.g., workload and availability).
[0030] Another power management technique that may be used in certain examples is dynamic swapping of workloads between different compute engines. For example, the processor may include asymmetric cores or other processing engines that operate at different power consumption levels, such that in a power constrained situation, one or more workloads can be dynamically switched to execute on a lower power core or other compute engine. Another exemplary power management technique is hardware duty cycling (HDC), which may cause cores and/or other compute engines to be periodically enabled and disabled according to a duty cycle, such that one or more cores may be made inactive during an inactive period of the duty cycle and made active during an active period of the duty cycle. Although described with these particular examples, understand that many other power management techniques may be used in particular embodiments.
[0031 ] Referring now to FIG. 1A, shown is a block diagram of a system 100 in accordance with one or more embodiments. In some embodiments, the system 100 may be all or a portion of an electronic device or component. For example, the system 100 may be a cellular telephone, a computer, a server, a network device, a processor, a system on a chip (SoC), a controller, a wireless transceiver, a power supply unit, etc. Furthermore, in some embodiments, the system 100 may be any grouping of related or interconnected devices, such as a datacenter, a computing cluster, a peer-to-peer (P2P) network, a local area network (LAN), a wide area network (WAN), a wireless ad hoc network, etc.
[0032] As shown in FIG. 1A, the system 100 may include a system voltage regulator (VR) 140 and any number of domains 120A-120N (referred to generically as "domains 120"). In embodiments in which the system 100 is all or a portion of a device, each domain 120 includes one or more domain components 125 configured to perform a specified function (or functions). For example, the domains 120A-120N may represent intellectual property (IP) blocks included in a System on a Chip (SoC), hardware modules included in a computer, processing cores included in a processor, radio interface modules included in a wireless communication device, plug-in cards included in a chassis, etc. Further, the domain components 125 may include logic units, memory/storage components, interface units, power components, processing cores, graphic engines, etc.
[0033] In some embodiments, each domain 120 may also include a domain VR 130. Each domain VR 130 controls the power supplied to the corresponding domain components 125. Further, the system VR 140 may control the electrical power supplied to the system 100 as a whole. For example, the system VR 140 and/or a domain VR 130 can use dynamic voltage frequency scaling (DVFS), in which an operating voltage and/or operating frequency of a domain 120 or the system 100 is dynamically controlled to reduce power consumption in certain situations. In embodiments in which the domain 120 corresponds to a processor core, the domain VR 130 may be a fully integrated voltage regulator (FIVR) uniquely associated with the processor core. Further, in embodiments in which the system 100 is a multicore processor, the system VR 140 may be located in an uncore region of the processor, or may be located externally to the processor.
[0034] In some embodiments, the settings of a VR can be adjusted based on the power state of the corresponding domain or system. The settings of the VR can include the switching frequency and the bridge activation level. These settings can be adjusted to reduce the VR losses at different current loads. In some
embodiments, the switching frequency may be a Pulse Width Modulator (PWM) frequency of the VR. Further, in some embodiments, the bridge activation level may be adjusted by controlling the number of activated bridge transistors in the VR.
[0035] In some embodiments, the switching frequency of a VR may be increased in response to a transition to a lower power state (i.e., a power state associated with relatively lower energy consumption). Further, in some embodiments, the bridge activation level of a VR may be reduced in response to a transition to a lower power state. For example, assume that domain 120A transitions from a CO state to a C1 state. As explained above, under the ACPI standard, the C1 state is a lower power state than the CO state. Thus, in some embodiments, the domain VR 130 may reduce the bridge activation level and/or increase the switching frequency. For example, the domain VR 130 may respond to the transition from CO to C1 by reducing the bridge activation level by some amount or proportion (e.g., 25%, 75%, etc.), and increasing the switching frequency by some amount or proportion (e.g., 25%, 50%, double, triple, etc.).
[0036] In some embodiments, the switching frequency of an IVR may be decreased in response to a transition to a higher power state (i.e., a power state associated with relatively higher energy consumption). Further, in some embodiments, the bridge activation level of a VR may be increased in response to a transition to a higher power state. For example, assume that domain 120A transitions from a C1 state to a CO state. In this example, the domain VR 130 may increase the bridge activation level and/or decrease the switching frequency. [0037] Referring now to FIG. 1 B, shown is an example VR 150 in accordance with one or more embodiments. More specifically, the VR 150 may generally correspond to the system VR 140 and/or a domain VR 130 shown in FIG. 1A.
[0038] As shown, the VR 150 may include VR logic 170, a pulse width modulator 180, and any number of bridge transistors 1660A-160N (referred to generically as "bridge transistors 160"). In one or more embodiments, the VR logic 170 may receive power state information 175. For example, the power state information 175 may include information about a power state of a domain or system associated with the VR 150.
[0039] In some embodiments, the VR logic 170 may adjust control settings of the VR 150 based on the power state information 175. The control settings may include the switching frequency and the bridge activation level of the VR 150. For example, the VR logic 170 may adjust the bridge activation level of the VR 150 by activating or deactivating any number or proportion of the bridge transistors 160. In another example, the VR logic 170 may adjust the switching frequency of the VR 150 by adjusting the frequency of the pulse width modulator 180. The VR logic 170 is implemented at least in part in hardware. Note that, while FIG. 1 B shows the VR logic 170 as included in the VR 160, embodiments are not limited in this regard. For example, it is contemplated that the VR logic 170 may be located in an uncore region of a processor, in a core, in a chipset, etc.
[0040] Referring now to FIG. 2A, shown is a sequence 200 in accordance with one or more embodiments. In some embodiments, the sequence 200 may be part of the VR logic 170 shown in FIG. 1 B. The sequence 200 may be implemented in hardware, software, and/or firmware. In firmware and software embodiments it may be implemented by computer executed instructions stored in a non-transitory machine readable medium, such as an optical, semiconductor, or magnetic storage device. The machine readable medium may store data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform a method. For the sake of illustration, the steps involved in the sequence 200 may be described below with reference to FIGS. 1 A-1 B, which show examples in accordance with some embodiments. However, the scope of the various embodiments discussed herein is not limited in this regard. [0041 ] At block 210, information about a power state may be monitored. For example, referring to FIG. 1 B, the VR logic 170 may receive information 175 about the current power state of an associated domain or system.
[0042] At block 220, a determination is made about whether a transition in power state has occurred. For example, referring to FIG. 1 B, the VR logic 170 may determine whether the associated domain or system has transitioned to a new power state (e.g., from a CO state to a C1 state). If not, then the process returns to block 210 to continue monitoring information about the power state. However, if it is determined that a transition in power state has occurred, the process continues at block 230.
[0043] At block 230, an adjustment of at least one of the VR bridge activation level and the VR switching frequency may be performed. For example, in the event that the domain 120A has transitioned from a CO state to a C1 state, the switching frequency of the domain VR 130A may be increased, and/or the bridge activation level of the domain VR 130A may be decreased. These changes may reduce the losses in the domain VR 130A due to the lower current load of the C1 state. In another example, in the event that the domain 120A has transitioned from a C1 state to a CO state, the switching frequency of the domain VR 130A may be decreased, and/or the bridge activation level of the domain VR 130A may be increased. These changes may reduce the losses in the domain VR 130A due to the higher current load of the C1 state. After block 230, the process returns to block 210 to continue monitoring information about the power state.
[0044] Referring now to FIG. 2B, shown is a sequence 240 in accordance with one or more embodiments. In some embodiments, the sequence 240 may be part of the VR logic 170 shown in FIG. 1 B. The sequence 240 may be implemented in hardware, software, and/or firmware. In firmware and software embodiments it may be implemented by computer executed instructions stored in a non-transitory machine readable medium, such as an optical, semiconductor, or magnetic storage device. The machine readable medium may store data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform a method. For the sake of illustration, the steps involved in the sequence 240 may be described below with reference to FIGS. 1 A-1 B, which show examples in accordance with some embodiments. However, the scope of the various embodiments discussed herein is not limited in this regard.
[0045] At block 250, information about a power state may be monitored. For example, referring to FIG. 1 B, the VR logic 170 may receive the power state information 175 about the power state of a domain or system associated with the VR
150.
[0046] At block 260, a determination is made about whether a transition to a lower power state has occurred. For example, referring to FIG. 1 B, the VR logic 170 may determine whether a domain has transitioned to a lower power state (e.g., from a CO state to a C1 state). If it is determined that a transition to a lower power state has occurred, then at block 270, the bridge activation level of the VR is reduced. For example, the VR logic 170 may reduce the bridge activation level by decreasing the number of activated bridge transistors 160 in the VR 150. At block 275, the switching frequency of the IVR is increased. For example, referring to Fig. 1 B, the VR logic 170 may increase the switching frequency of the VR 150 by increasing the PWM frequency of PWM 180. After block 275, the process returns to block 250 to continue monitoring information about the power state.
[0047] However, if it is determined at block 260 that a transition to a lower power state has not occurred, then at block 280, a determination is made about whether a transition to a higher power state has occurred. For example, referring to FIG. 1 B, the VR logic 170 may determine whether a domain has transitioned to a higher power state (e.g., from a C1 state to a CO state).
[0048] If it is determined at block 280 that a transition to a higher power state has not occurred, the process returns to block 250 to continue monitoring information about the power state. However, if it is determined at block 280 that a transition to a higher power state has occurred, then at block 290, the bridge activation level of the IVR is increased. For example, referring to Fig. 1 B, the VR logic 170 may increase the bridge activation level by increasing the number of activated bridge transistors 160 in the VR 150. At block 295, the switching frequency of the IVR may be reduced. For example, referring to Fig. 1 B, the VR logic 170 may reduce the switching frequency of the VR 150 by reducing the PWM frequency of PWM 180. After block 295, the process returns to block 250 to continue monitoring information about the power state.
[0049] Note that the examples shown in FIGS. 1 A-1 B and 2A-2B are provided for the sake of illustration, and are not intended to limit any embodiments. It is contemplated that specifics in the examples shown in FIGS. 1A-1 B and 2A-2B may be used anywhere in one or more embodiments.
[0050] Referring now to FIG. 3A, shown is a block diagram of a system 300 in accordance with an embodiment of the present invention. As shown in FIG. 3A, system 300 may include various components, including a processor 303 which as shown is a multicore processor. Processor 303 may be coupled to a power supply 317 via an external voltage regulator 316, which may perform a first voltage conversion to provide a primary regulated voltage to processor 303.
[0051 ] As seen, processor 303 may be a single die processor including multiple cores 304a - 304n. In addition, each core 304 may be associated with an integrated voltage regulator (IVR) 308a - 308n which receives the primary regulated voltage and generates an operating voltage to be provided to one or more agents of the processor associated with the IVR 308. Accordingly, an IVR implementation may be provided to allow for fine-grained control of voltage and thus power and performance of each individual core 304. As such, each core 304 can operate at an independent voltage and frequency, enabling great flexibility and affording wide opportunities for balancing power consumption with performance. In some embodiments, the use of multiple IVRs 308 enables the grouping of components into separate power planes, such that power is regulated and supplied by the IVR 308 to only those components in the group. During power management, a given power plane of one IVR 308 may be powered down or off when the processor is placed into a certain low power state, while another power plane of another IVR 308 remains active, or fully powered.
[0052] In some embodiments, processor 303 may include VR logic 318. The VR logic 318 may include some or all of the functionality described above with reference to VR logic 170 (shown in FIG. 1 B). For example, the VR logic 318 may adjust the bridge activation level and/or the switching frequency of the external VR 316 based on the power state of the processor 303. In another example, the VR logic 318 may adjust the bridge activation level and/or the switching frequency of an IVR 308 based on the power state of a corresponding core 304.
[0053] Still referring to FIG. 3A, additional components may be present within the processor including an input/output interface 313, another interface 314, and an integrated memory controller 315. As seen, each of these components may be powered by another integrated voltage regulator 308x. In one embodiment, interface 313 may be in accordance with the Intel® Quick Path Interconnect (QPI) protocol, which provides for point-to-point (PtP) links in a cache coherent protocol that includes multiple layers including a physical layer, a link layer and a protocol layer. In turn, interface 314 may be in accordance with a Peripheral Component
Interconnect Express (PCIeTM) specification, e.g., the PCI ExpressTM Specification Base Specification version 2.0 (published January 17, 2007).
[0054] Also shown is a power control unit (PCU) 312, which may include hardware, software and/or firmware to perform power management operations with regard to processor 303. As seen, PCU 312 provides control information to external voltage regulator 316 via a digital interface to cause the external voltage regulator 316 to generate the appropriate regulated voltage. PCU 312 also provides control information to IVRs 308 via another digital interface to control the operating voltage generated (or to cause a corresponding IVR 308 to be disabled in a low power mode). In some embodiments, the control information provided to IVRs 308 may include a power state of a corresponding core 304.
[0055] In various embodiments, PCU 312 may include a variety of power
management logic units to perform hardware-based power management. Such power management may be wholly processor controlled (e.g., by various processor hardware, and which may be triggered by workload and/or power, thermal or other processor constraints) and/or the power management may be performed responsive to external sources (such as a platform or management power management source or system software). In some embodiments, the PCU 312 may include some or all of the functionality described above with reference to the VR logic 170 (shown in FIG. 1 B). For example, in some embodiments, the PCU 312 may adjust the settings of the external voltage regulator 316 and/or the IVRs 308 based on power state information of the processor 303 and/or cores 304. [0056] While not shown for ease of illustration, understand that additional components may be present within processor 303 such as uncore logic, and other components such as internal memories, e.g., one or more levels of a cache memory hierarchy and so forth. Furthermore, while shown in the implementation of FIG. 3A with an external voltage regulator, embodiments are not so limited.
[0057] Embodiments can be implemented in processors for various markets including server processors, desktop processors, mobile processors and so forth. Referring now to FIG. 3B, shown is a block diagram of a multi-domain processor 301 in accordance with one or more embodiments. As shown in the embodiment of FIG. 3B, processor 301 includes multiple domains. Specifically, a core domain 321 can include a plurality of cores 3200-320n, a graphics domain 324 can include one or more graphics engines, and a system agent domain 330 may further be present. In some embodiments, system agent domain 330 may execute at an independent frequency than the core domain and may remain powered on at all times to handle power control events and power management such that domains 321 and 324 can be controlled to dynamically enter into and exit high power and low power states. Each of domains 321 and 324 may operate at different voltage and/or power. Note that while only shown with three domains, understand the scope of the present invention is not limited in this regard and additional domains can be present in other embodiments. For example, multiple core domains may be present, with each core domain including at least one core.
[0058] In general, each core 320 may further include low level caches in addition to various execution units and additional processing elements. In turn, the various cores may be coupled to each other and to a shared cache memory formed of a plurality of units of a last level cache (LLC) 3220 - 322n. In various embodiments, LLC 322 may be shared amongst the cores and the graphics engine, as well as various media processing circuitry. As seen, a ring interconnect 323 thus couples the cores together, and provides interconnection between the cores 320, graphics domain 324 and system agent domain 330. In one embodiment, interconnect 323 can be part of the core domain 321 . However, in other embodiments, the ring interconnect 323 can be of its own domain. [0059] As further seen, system agent domain 330 may include display controller 332 which may provide control of and an interface to an associated display. In addition, system agent domain 330 may include a power control unit 335 to perform power management.
[0060] As further seen in FIG. 3B, processor 301 can further include an integrated memory controller (IMC) 342 that can provide for an interface to a system memory, such as a dynamic random access memory (DRAM). Multiple interfaces 3400 - 340n may be present to enable interconnection between the processor and other circuitry. For example, in one embodiment at least one direct media interface (DMI) interface may be provided as well as one or more PCIe™ interfaces. Still further, to provide for communications between other agents such as additional processors or other circuitry, one or more interfaces in accordance with an Intel® Quick Path Interconnect (QPI) protocol may also be provided. Although shown at this high level in the embodiment of FIG. 3B, understand the scope of the present invention is not limited in this regard.
[0061 ] Although not shown for ease of illustration in FIG. 3B, in some
embodiments, processor 301 , cores 320, graphics domain 324, system agent domain 330, and/or the core domain 321 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0062] Referring now to FIG. 3C, shown is a block diagram of a processor 302 in accordance with an embodiment of the present invention. As shown in FIG. 3C, processor 302 may be a multicore processor including a plurality of cores 370a - 370n. In one embodiment, each such core may be of an independent power domain and can be configured to enter and exit active states and/or maximum performance states based on workload. The various cores may be coupled via an interconnect 375 to a system agent or uncore 380 that includes various components. As seen, the uncore 380 may include a shared cache 382 which may be a last level cache. In addition, the uncore 380 may include an integrated memory controller 384 to communicate with a system memory (not shown in FIG. 3C), e.g., via a memory bus. Uncore 380 also includes various interfaces 386a-386n and a power control unit 388, which may include logic to perform the power management techniques described herein.
[0063] In addition, by interfaces 386a-386n, connection can be made to various off- chip components such as peripheral devices, mass storage and so forth. While shown with this particular implementation in the embodiment of FIG. 3C, the scope of the present invention is not limited in this regard.
[0064] Although not shown for ease of illustration in FIG. 3C, in some
embodiments, processor 302 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0065] Referring to FIG. 4, an embodiment of a processor including multiple cores is illustrated. Processor 400 includes any processor or processing device, such as a microprocessor, an embedded processor, a digital signal processor (DSP), a network processor, a handheld processor, an application processor, a co-processor, a system on a chip (SoC), or other device to execute code. Processor 400, in one embodiment, includes at least two cores— cores 401 and 402, which may include asymmetric cores or symmetric cores (the illustrated embodiment). However, processor 400 may include any number of processing elements that may be symmetric or asymmetric.
[0066] In one embodiment, a processing element refers to hardware or logic to support a software thread. Examples of hardware processing elements include: a thread unit, a thread slot, a thread, a process unit, a context, a context unit, a logical processor, a hardware thread, a core, and/or any other element, which is capable of holding a state for a processor, such as an execution state or architectural state. In other words, a processing element, in one embodiment, refers to any hardware capable of being independently associated with code, such as a software thread, operating system, application, or other code. A physical processor typically refers to an integrated circuit, which potentially includes any number of other processing elements, such as cores or hardware threads.
[0067] A core often refers to logic located on an integrated circuit capable of maintaining an independent architectural state, wherein each independently maintained architectural state is associated with at least some dedicated execution resources. In contrast to cores, a hardware thread typically refers to any logic located on an integrated circuit capable of maintaining an independent architectural state, wherein the independently maintained architectural states share access to execution resources. As can be seen, when certain resources are shared and others are dedicated to an architectural state, the line between the nomenclature of a hardware thread and core overlaps. Yet often, a core and a hardware thread are viewed by an operating system as individual logical processors, where the operating system is able to individually schedule operations on each logical processor.
[0068] Physical processor 400, as illustrated in FIG. 4, includes two cores, cores 401 and 402. Here, cores 401 and 402 are considered symmetric cores, i.e., cores with the same configurations, functional units, and/or logic. In another embodiment, core 401 includes an out-of-order processor core, while core 402 includes an in- order processor core. However, cores 401 and 402 may be individually selected from any type of core, such as a native core, a software managed core, a core adapted to execute a native instruction set architecture (ISA), a core adapted to execute a translated ISA, a co-designed core, or other known core. Yet to further the discussion, the functional units illustrated in core 401 are described in further detail below, as the units in core 402 operate in a similar manner.
[0069] As depicted, core 401 includes two hardware threads 401 a and 401 b, which may also be referred to as hardware thread slots 401 a and 401 b. Therefore, software entities, such as an operating system, in one embodiment potentially view processor 400 as four separate processors, i.e., four logical processors or processing elements capable of executing four software threads concurrently. As alluded to above, a first thread is associated with architecture state registers 401 a, a second thread is associated with architecture state registers 401 b, a third thread may be associated with architecture state registers 402a, and a fourth thread may be associated with architecture state registers 402b. Here, each of the architecture state registers (401 a, 401 b, 402a, and 402b) may be referred to as processing elements, thread slots, or thread units, as described above. As illustrated, architecture state registers 401 a are replicated in architecture state registers 401 b, so individual architecture states/contexts are capable of being stored for logical processor 401 a and logical processor 401 b. In core 401 , other smaller resources, such as instruction pointers and renaming logic in allocator and renamer block 430 may also be replicated for threads 401 a and 401 b. Some resources, such as reorder buffers in reorder/retirement unit 435, ILTB 420, load/store buffers, and queues may be shared through partitioning. Other resources, such as general purpose internal registers, page-table base register(s), low-level data-cache and data-TLB 415, execution unit(s) 440, and portions of out-of-order unit 435 are potentially fully shared.
[0070] Processor 400 often includes other resources, which may be fully shared, shared through partitioning, or dedicated by/to processing elements. In FIG. 4, an embodiment of a purely exemplary processor with illustrative logical units/resources of a processor is illustrated. Note that a processor may include, or omit, any of these functional units, as well as include any other known functional units, logic, or firmware not depicted. As illustrated, core 401 includes a simplified, representative out-of-order (OOO) processor core. But an in-order processor may be utilized in different embodiments. The OOO core includes a branch target buffer 420 to predict branches to be executed/taken and an instruction-translation buffer (l-TLB) 420 to store address translation entries for instructions.
[0071 ] Core 401 further includes decode module 425 coupled to fetch unit 420 to decode fetched elements. Fetch logic, in one embodiment, includes individual sequencers associated with thread slots 401 a, 401 b, respectively. Usually core 401 is associated with a first ISA, which defines/specifies instructions executable on processor 400. Often machine code instructions that are part of the first ISA include a portion of the instruction (referred to as an opcode), which references/specifies an instruction or operation to be performed. Decode logic 425 includes circuitry that recognizes these instructions from their opcodes and passes the decoded
instructions on in the pipeline for processing as defined by the first ISA. For example, decoders 425, in one embodiment, include logic designed or adapted to recognize specific instructions, such as transactional instruction. As a result of the recognition by decoders 425, the architecture or core 401 takes specific, predefined actions to perform tasks associated with the appropriate instruction. It is important to note that any of the tasks, blocks, operations, and methods described herein may be performed in response to a single or multiple instructions; some of which may be new or old instructions. [0072] In one example, allocator and renamer block 430 includes an allocator to reserve resources, such as register files to store instruction processing results.
However, threads 401 a and 401 b are potentially capable of out-of-order execution, where allocator and renamer block 430 also reserves other resources, such as reorder buffers to track instruction results. Unit 430 may also include a register renamer to rename program/instruction reference registers to other registers internal to processor 400. Reorder/retirement unit 435 includes components, such as the reorder buffers mentioned above, load buffers, and store buffers, to support out-of- order execution and later in-order retirement of instructions executed out-of-order.
[0073] Scheduler and execution unit(s) block 440, in one embodiment, includes a scheduler unit to schedule instructions/operation on execution units. For example, a floating point instruction is scheduled on a port of an execution unit that has an available floating point execution unit. Register files associated with the execution units are also included to store information instruction processing results. Exemplary execution units include a floating point execution unit, an integer execution unit, a jump execution unit, a load execution unit, a store execution unit, and other known execution units.
[0074] Lower level data cache and data translation buffer (D-TLB) 450 are coupled to execution unit(s) 440. The data cache is to store recently used/operated on elements, such as data operands, which are potentially held in memory coherency states. The D-TLB is to store recent virtual/linear to physical address translations. As a specific example, a processor may include a page table structure to break physical memory into a plurality of virtual pages.
[0075] Here, cores 401 and 402 share access to higher-level or further-out cache 410, which is to cache recently fetched elements. Note that higher-level or further- out refers to cache levels increasing or getting further away from the execution unit(s). In one embodiment, higher-level cache 410 is a last-level data cache— last cache in the memory hierarchy on processor 400— such as a second or third level data cache. However, higher level cache 410 is not so limited, as it may be associated with or includes an instruction cache. A trace cache— a type of instruction cache— instead may be coupled after decoder 425 to store recently decoded traces. [0076] In the depicted configuration, processor 400 also includes bus interface module 405 and a power controller 460, which may perform power management in accordance with an embodiment of the present invention. In this scenario, bus interface 405 is to communicate with devices external to processor 400, such as system memory and other components.
[0077] A memory controller 470 may interface with other devices such as one or many memories. In an example, bus interface 405 includes a ring interconnect with a memory controller for interfacing with a memory and a graphics controller for interfacing with a graphics processor. In an SoC environment, even more devices, such as a network interface, coprocessors, memory, graphics processor, and any other known computer devices/interface may be integrated on a single die or integrated circuit to provide small form factor with high functionality and low power consumption.
[0078] Although not shown for ease of illustration in FIG. 4, in some embodiments, processor 400 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0079] Referring now to FIG. 5, shown is a block diagram of a micro-architecture of a processor core in accordance with one embodiment of the present invention. As shown in FIG. 5, processor core 500 may be a multi-stage pipelined out-of-order processor. Core 500 may operate at various voltages based on a received operating voltage, which may be received from an integrated voltage regulator or external voltage regulator.
[0080] As seen in FIG. 5, core 500 includes front end units 510, which may be used to fetch instructions to be executed and prepare them for use later in the processor pipeline. For example, front end units 510 may include a fetch unit 501 , an instruction cache 503, and an instruction decoder 505. In some implementations, front end units 510 may further include a trace cache, along with microcode storage as well as a micro-operation storage. Fetch unit 501 may fetch macro-instructions, e.g., from memory or instruction cache 503, and feed them to instruction decoder 505 to decode them into primitives, i.e., micro-operations for execution by the processor. [0081 ] Coupled between front end units 510 and execution units 520 is an out-of- order (OOO) engine 515 that may be used to receive the micro-instructions and prepare them for execution. More specifically OOO engine 515 may include various buffers to re-order micro-instruction flow and allocate various resources needed for execution, as well as to provide renaming of logical registers onto storage locations within various register files such as register file 530 and extended register file 535. Register file 530 may include separate register files for integer and floating point operations. Extended register file 535 may provide storage for vector-sized units, e.g., 256 or 512 bits per register.
[0082] Various resources may be present in execution units 520, including, for example, various integer, floating point, and single instruction multiple data (SIMD) logic units, among other specialized hardware. For example, such execution units may include one or more arithmetic logic units (ALUs) 522 and one or more vector execution units 524, among other such execution units.
[0083] Results from the execution units may be provided to retirement logic, namely a reorder buffer (ROB) 540. More specifically, ROB 540 may include various arrays and logic to receive information associated with instructions that are
executed. This information is then examined by ROB 540 to determine whether the instructions can be validly retired and result data committed to the architectural state of the processor, or whether one or more exceptions occurred that prevent a proper retirement of the instructions. Of course, ROB 540 may handle other operations associated with retirement.
[0084] As shown in FIG. 5, ROB 540 is coupled to a cache 550 which, in one embodiment may be a low level cache (e.g., an L1 cache) although the scope of the present invention is not limited in this regard. Also, execution units 520 can be directly coupled to cache 550. From cache 550, data communication may occur with higher level caches, system memory and so forth. While shown with this high level in the embodiment of FIG. 5, understand the scope of the present invention is not limited in this regard. For example, while the implementation of FIG. 5 is with regard to an out-of-order machine such as of an Intel® x86 instruction set architecture (ISA), the scope of the present invention is not limited in this regard. That is, other embodiments may be implemented in an in-order processor, a reduced instruction set computing (RISC) processor such as an ARM-based processor, or a processor of another type of ISA that can emulate instructions and operations of a different ISA via an emulation engine and associated logic circuitry.
[0085] Although not shown for ease of illustration in FIG. 5, in some embodiments, the core 500 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0086] Referring now to FIG. 6, shown is a block diagram of a micro-architecture of a processor core in accordance with another embodiment. In the embodiment of FIG. 6, core 600 may be a low power core of a different micro-architecture, such as an Intel® AtomTM-based processor having a relatively limited pipeline depth designed to reduce power consumption. As seen, core 600 includes an instruction cache 610 coupled to provide instructions to an instruction decoder 615. A branch predictor 605 may be coupled to instruction cache 610. Note that instruction cache 610 may further be coupled to another level of a cache memory, such as an L2 cache (not shown for ease of illustration in FIG. 6). In turn, instruction decoder 615 provides decoded instructions to an issue queue 620 for storage and delivery to a given execution pipeline. A microcode ROM 618 is coupled to instruction decoder 615.
[0087] A floating point pipeline 630 includes a floating point register file 632 which may include a plurality of architectural registers of a given bit with such as 128, 256 or 512 bits. Pipeline 630 includes a floating point scheduler 634 to schedule instructions for execution on one of multiple execution units of the pipeline. In the embodiment shown, such execution units include an ALU 635, a shuffle unit 636, and a floating point adder 638. In turn, results generated in these execution units may be provided back to buffers and/or registers of register file 632. Of course understand while shown with these few example execution units, additional or different floating point execution units may be present in another embodiment.
[0088] An integer pipeline 640 also may be provided. In the embodiment shown, pipeline 640 includes an integer register file 642 which may include a plurality of architectural registers of a given bit with such as 128 or 256 bits. Pipeline 640 includes an integer scheduler 644 to schedule instructions for execution on one of multiple execution units of the pipeline. In the embodiment shown, such execution units include an ALU 645, a shifter unit 646, and a jump execution unit 648. In turn, results generated in these execution units may be provided back to buffers and/or registers of register file 642. Of course understand while shown with these few example execution units, additional or different integer execution units may be present in another embodiment.
[0089] A memory execution scheduler 650 may schedule memory operations for execution in an address generation unit 652, which is also coupled to a TLB 654. As seen, these structures may couple to a data cache 660, which may be a L0 and/or L1 data cache that in turn couples to additional levels of a cache memory hierarchy, including an L2 cache memory.
[0090] To provide support for out-of-order execution, an allocator/renamer 670 may be provided, in addition to a reorder buffer 680, which is configured to reorder instructions executed out of order for retirement in order. Although shown with this particular pipeline architecture in the illustration of FIG. 6, understand that many variations and alternatives are possible.
[0091 ] Note that in a processor having asymmetric cores, such as in accordance with the micro-architectures of FIGs. 5 and 6, workloads may be dynamically swapped between the cores for power management reasons, as these cores, although having different pipeline designs and depths, may be of the same or related ISA. Such dynamic core swapping may be performed in a manner transparent to a user application (and possibly kernel also).
[0092] Although not shown for ease of illustration in FIG. 6, in some embodiments, the core 600 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0093] Referring to FIG. 7, shown is a block diagram of a micro-architecture of a processor core in accordance with yet another embodiment. As illustrated in FIG. 7, a core 700 may include a multi-staged in-order pipeline to execute at very low power consumption levels. As one such example, processor 700 may have a microarchitecture in accordance with an ARM Cortex A53 design available from ARM Holdings, LTD., Sunnyvale, CA. In an implementation, an 8-stage pipeline may be provided that is configured to execute both 32-bit and 64-bit code. Core 700 includes a fetch unit 710 that is configured to fetch instructions and provide them to a decode unit 715, which may decode the instructions, e.g., macro-instructions of a given ISA such as an ARMv8 ISA. Note further that a queue 730 may couple to decode unit 715 to store decoded instructions. Decoded instructions are provided to an issue logic 725, where the decoded instructions may be issued to a given one of multiple execution units.
[0094] With further reference to FIG. 7, issue logic 725 may issue instructions to one of multiple execution units. In the embodiment shown, these execution units include an integer unit 735, a multiply unit 740, a floating point/vector unit 750, a dual issue unit 760, and a load/store unit 770. The results of these different execution units may be provided to a writeback unit 780. Understand that while a single writeback unit is shown for ease of illustration, in some implementations separate writeback units may be associated with each of the execution units. Furthermore, understand that while each of the units and logic shown in FIG. 7 is represented at a high level, a particular implementation may include more or different structures. A processor designed using one or more cores having a pipeline as in FIG. 7 may be implemented in many different end products, extending from mobile devices to server systems.
[0095] Although not shown for ease of illustration in FIG. 7, in some embodiments, the core 700 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0096] Referring now to FIG. 8, shown is a block diagram of a micro-architecture of a processor core in accordance with a still further embodiment. As illustrated in FIG. 8, a core 800 may include a multi-stage multi-issue out-of-order pipeline to execute at very high performance levels (which may occur at higher power consumption levels than core 700 of FIG. 7). As one such example, processor 800 may have a microarchitecture in accordance with an ARM Cortex A57 design. In an
implementation, a 15 (or greater)-stage pipeline may be provided that is configured to execute both 32-bit and 64-bit code. In addition, the pipeline may provide for 3 (or greater)-wide and 3 (or greater)-issue operation. Core 800 includes a fetch unit 810 that is configured to fetch instructions and provide them to a
decoder/renamer/dispatcher 815, which may decode the instructions, e.g., macro- instructions of an ARMv8 instruction set architecture, rename register references within the instructions, and dispatch the instructions (eventually) to a selected execution unit. Decoded instructions may be stored in a queue 825. Note that while a single queue structure is shown for ease of illustration in FIG 8, understand that separate queues may be provided for each of the multiple different types of execution units.
[0097] Also shown in FIG. 8 is an issue logic 830 from which decoded instructions stored in queue 825 may be issued to a selected execution unit. Issue logic 830 also may be implemented in a particular embodiment with a separate issue logic for each of the multiple different types of execution units to which issue logic 830 couples.
[0098] Decoded instructions may be issued to a given one of multiple execution units. In the embodiment shown, these execution units include one or more integer units 835, a multiply unit 840, a floating point/vector unit 850, a branch unit 860, and a load/store unit 870. In an embodiment, floating point/vector unit 850 may be configured to handle SIMD or vector data of 128 or 256 bits. Still further, floating point/vector execution unit 850 may perform IEEE-754 double precision floating-point operations. The results of these different execution units may be provided to a writeback unit 880. Note that in some implementations separate writeback units may be associated with each of the execution units. Furthermore, understand that while each of the units and logic shown in FIG. 8 is represented at a high level, a particular implementation may include more or different structures.
[0099] Note that in a processor having asymmetric cores, such as in accordance with the micro-architectures of FIGs. 7 and 8, workloads may be dynamically swapped for power management reasons, as these cores, although having different pipeline designs and depths, may be of the same or related ISA. Such dynamic core swapping may be performed in a manner transparent to a user application (and possibly kernel also).
[0100] Although not shown for ease of illustration in FIG. 8, in some embodiments, the core 800 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0101 ] A processor designed using one or more cores having pipelines as in any one or more of FIGs. 5-8 may be implemented in many different end products, extending from mobile devices to server systems. Referring now to FIG. 9, shown is a block diagram of a processor in accordance with another embodiment of the present invention. In the embodiment of FIG. 9, processor 900 may be a SoC including multiple domains, each of which may be controlled to operate at an independent operating voltage and operating frequency. As a specific illustrative example, processor 900 may be an Intel® Architecture Core™-based processor such as an i3, i5, i7 or another such processor available from Intel Corporation. However, other low power processors such as available from Advanced Micro Devices, Inc. (AMD) of Sunnyvale, CA, an ARM-based design from ARM Holdings, Ltd. or licensee thereof or a MlPS-based design from MIPS Technologies, Inc. of Sunnyvale, CA, or their licensees or adopters may instead be present in other embodiments such as an Apple A7 processor, a Qualcomm Snapdragon processor, or Texas Instruments OMAP processor. Such SoC may be used in a low power system such as a smartphone, tablet computer, phablet computer, Ultrabook™ computer or other portable computing device.
[0102] In the high level view shown in FIG. 9, processor 900 includes a plurality of core units 9100-91 On. Each core unit may include one or more processor cores, one or more cache memories and other circuitry. Each core unit 910 may support one or more instructions sets (e.g., an x86 instruction set (with some extensions that have been added with newer versions); a MIPS instruction set; an ARM instruction set (with optional additional extensions such as NEON)) or other instruction set or combinations thereof. Note that some of the core units may be heterogeneous resources (e.g., of a different design). In addition, each such core may be coupled to a cache memory (not shown) which in an embodiment may be a shared level (L2) cache memory. A non-volatile storage 930 may be used to store various program and other data. For example, this storage may be used to store at least portions of microcode, boot information such as a BIOS, other system software or so forth.
[0103] Each core unit 910 may also include an interface such as a bus interface unit to enable interconnection to additional circuitry of the processor. In an embodiment, each core unit 910 couples to a coherent fabric that may act as a primary cache coherent on-die interconnect that in turn couples to a memory controller 935. In turn, memory controller 935 controls communications with a memory such as a DRAM (not shown for ease of illustration in FIG. 9). [0104] In addition to core units, additional processing engines are present within the processor, including at least one graphics unit 920 which may include one or more graphics processing units (GPUs) to perform graphics processing as well as to possibly execute general purpose operations on the graphics processor (so-called GPGPU operation). In addition, at least one image signal processor 925 may be present. Signal processor 925 may be configured to process incoming image data received from one or more capture devices, either internal to the SoC or off-chip.
[0105] Other accelerators also may be present. In the illustration of FIG. 9, a video coder 950 may perform coding operations including encoding and decoding for video information, e.g., providing hardware acceleration support for high definition video content. A display controller 955 further may be provided to accelerate display operations including providing support for internal and external displays of a system. In addition, a security processor 945 may be present to perform security operations such as secure boot operations, various cryptography operations and so forth.
[0106] Each of the units may have its power consumption controlled via a power manager 940, which may include control logic to perform the various power management techniques described herein.
[0107] In some embodiments, SoC 900 may further include a non-coherent fabric coupled to the coherent fabric to which various peripheral devices may couple. One or more interfaces 960a-960d enable communication with one or more off-chip devices. Such communications may be according to a variety of communication protocols such as PCIe™, GPIO, USB, I2C, UART, MIPI, SDIO, DDR, SPI, HDMI, among other types of communication protocols. Although shown at this high level in the embodiment of FIG. 9, understand the scope of the present invention is not limited in this regard.
[0108] Although not shown for ease of illustration in FIG. 9, in some embodiments, the SoC 900 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 900 (e.g., core units 9100-91 On, graphics unit 920, image signal processor 925, video coder 950, display controller 955, security processor 945, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A. [0109] Referring now to FIG. 10, shown is a block diagram of a representative SoC. In the embodiment shown, SoC 1000 may be a multi-core SoC configured for low power operation to be optimized for incorporation into a smartphone or other low power device such as a tablet computer or other portable computing device. As an example, SoC 1000 may be implemented using asymmetric or different types of cores, such as combinations of higher power and/or low power cores, e.g., out-of- order cores and in-order cores. In different embodiments, these cores may be based on an Intel® ArchitectureTM core design or an ARM architecture design. In yet other embodiments, a mix of Intel and ARM cores may be implemented in a given SoC.
[01 10] As seen in FIG. 10, SoC 1000 includes a first core domain 1010 having a plurality of first cores 10120 - 10123. In an example, these cores may be low power cores such as in-order cores. In one embodiment these first cores may be
implemented as ARM Cortex A53 cores. In turn, these cores couple to a cache memory 1015 of core domain 1010. In addition, SoC 1000 includes a second core domain 1020. In the illustration of FIG. 10, second core domain 1020 has a plurality of second cores 10220 - 10223. In an example, these cores may be higher power- consuming cores than first cores 1012. In an embodiment, the second cores may be out-of-order cores, which may be implemented as ARM Cortex A57 cores. In turn, these cores couple to a cache memory 1025 of core domain 1020. Note that while the example shown in FIG. 10 includes 4 cores in each domain, understand that more or fewer cores may be present in a given domain in other examples.
[01 1 1 ] With further reference to FIG. 10, a graphics domain 1030 also is provided, which may include one or more graphics processing units (GPUs) configured to independently execute graphics workloads, e.g., provided by one or more cores of core domains 1010 and 1020. As an example, GPU domain 1030 may be used to provide display support for a variety of screen sizes, in addition to providing graphics and display rendering operations.
[01 12] As seen, the various domains couple to a coherent interconnect 1040, which in an embodiment may be a cache coherent interconnect fabric that in turn couples to an integrated memory controller 1050. Coherent interconnect 1040 may include a shared cache memory, such as an L3 cache, some examples. In an embodiment, memory controller 1050 may be a direct memory controller to provide for multiple channels of communication with an off-chip memory, such as multiple channels of a DRAM (not shown for ease of illustration in FIG. 10).
[01 13] In different examples, the number of the core domains may vary. For example, for a low power SoC suitable for incorporation into a mobile computing device, a limited number of core domains such as shown in FIG. 10 may be present. Still further, in such low power SoCs, core domain 1020 including higher power cores may have fewer numbers of such cores. For example, in one implementation two cores 1022 may be provided to enable operation at reduced power consumption levels. In addition, the different core domains may also be coupled to an interrupt controller to enable dynamic swapping of workloads between the different domains.
[01 14] In yet other embodiments, a greater number of core domains, as well as additional optional IP logic may be present, in that an SoC can be scaled to higher performance (and power) levels for incorporation into other computing devices, such as desktops, servers, high performance computing systems, base stations forth. As one such example, 4 core domains each having a given number of out-of-order cores may be provided. Still further, in addition to optional GPU support (which as an example may take the form of a GPGPU), one or more accelerators to provide optimized hardware support for particular functions (e.g. web serving, network processing, switching or so forth) also may be provided. In addition, an input/output interface may be present to couple such accelerators to off-chip components.
[01 15] Although not shown for ease of illustration in FIG. 10, in some embodiments, the SoC 1000 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 1000 (e.g., first core domain 1010, second core domain 1020, graphics domain 1030, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A.
[01 16] Referring now to FIG. 1 1 , shown is a block diagram of another example SoC. In the embodiment of FIG. 1 1 , SoC 1 100 may include various circuitry to enable high performance for multimedia applications, communications and other functions. As such, SoC 1 100 is suitable for incorporation into a wide variety of portable and other devices, such as smartphones, tablet computers, smart TVs and so forth. In the example shown, SoC 1 100 includes a central processor unit (CPU) domain 1 1 10. In an embodiment, a plurality of individual processor cores may be present in CPU domain 1 1 10. As one example, CPU domain 1 1 10 may be a quad core processor having 4 multithreaded cores. Such processors may be
homogeneous or heterogeneous processors, e.g., a mix of low power and high power processor cores.
[01 17] In turn, a GPU domain 1 120 is provided to perform advanced graphics processing in one or more GPUs to handle graphics and compute APIs. A DSP unit 1 130 may provide one or more low power DSPs for handling low-power multimedia applications such as music playback, audio/video and so forth, in addition to advanced calculations that may occur during execution of multimedia instructions. In turn, a communication unit 1 140 may include various components to provide connectivity via various wireless protocols, such as cellular communications
(including 3G/4G LTE), wireless local area techniques such as BluetoothTM, IEEE 802.1 1 , and so forth.
[01 18] Still further, a multimedia processor 1 150 may be used to perform capture and playback of high definition video and audio content, including processing of user gestures. A sensor unit 1 160 may include a plurality of sensors and/or a sensor controller to interface to various off-chip sensors present in a given platform. An image signal processor 1 170 may be provided with one or more separate ISPs to perform image processing with regard to captured content from one or more cameras of a platform, including still and video cameras.
[01 19] A display processor 1 180 may provide support for connection to a high definition display of a given pixel density, including the ability to wirelessly
communicate content for playback on such display. Still further, a location unit 1 190 may include a GPS receiver with support for multiple GPS constellations to provide applications highly accurate positioning information obtained using as such GPS receiver. Understand that while shown with this particular set of components in the example of FIG. 1 1 , many variations and alternatives are possible.
[0120] Although not shown for ease of illustration in FIG. 1 1 , in some embodiments, the SoC 1 100 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B. Further, in some embodiments, various domains of SoC 1 100 (e.g., CPU domain 1 1 10, GPU domain 1 120, DSP unit 1 130, etc.) may each include the some or all of the domain VR 130 described above with reference to FIG. 1A.
[0121 ] Referring now to FIG. 12, shown is a block diagram of an example system with which embodiments can be used. As seen, system 1200 may be a smartphone or other wireless communicator. A baseband processor 1205 is configured to perform various signal processing with regard to communication signals to be transmitted from or received by the system. In turn, baseband processor 1205 is coupled to an application processor 1210, which may be a main CPU of the system to execute an OS and other system software, in addition to user applications such as many well-known social media and multimedia apps. Application processor 1210 may further be configured to perform a variety of other computing operations for the device.
[0122] In turn, application processor 1210 can couple to a user interface/display 1220, e.g., a touch screen display. In addition, application processor 1210 may couple to a memory system including a non-volatile memory, namely a flash memory 1230 and a system memory, namely a dynamic random access memory (DRAM) 1235. As further seen, application processor 1210 further couples to a capture device 1240 such as one or more image capture devices that can record video and/or still images.
[0123] Still referring to FIG. 12, a universal integrated circuit card (UICC) 1240 comprising a subscriber identity module and possibly a secure storage and cryptoprocessor is also coupled to application processor 1210. System 1200 may further include a security processor 1250 that may couple to application processor 1210. A plurality of sensors 1225 may couple to application processor 1210 to enable input of a variety of sensed information such as accelerometer and other environmental information. An audio output device 1295 may provide an interface to output sound, e.g., in the form of voice communications, played or streaming audio data and so forth.
[0124] As further illustrated, a near field communication (NFC) contactless interface 1260 is provided that communicates in a NFC near field via an NFC antenna 1265. While separate antennae are shown in FIG. 12, understand that in some implementations one antenna or a different set of antennae may be provided to enable various wireless functionality.
[0125] A power management integrated circuit (PMIC) 1215 couples to application processor 1210 to perform platform level power management. To this end, PMIC 1215 may issue power management requests to application processor 1210 to enter certain low power states as desired. Furthermore, based on platform constraints, PMIC 1215 may also control the power level of other components of system 1200.
[0126] To enable communications to be transmitted and received, various circuitry may be coupled between baseband processor 1205 and an antenna 1290.
Specifically, a radio frequency (RF) transceiver 1270 and a wireless local area network (WLAN) transceiver 1275 may be present. In general, RF transceiver 1270 may be used to receive and transmit wireless data and calls according to a given wireless communication protocol such as 3G or 4G wireless communication protocol such as in accordance with a code division multiple access (CDMA), global system for mobile communication (GSM), long term evolution (LTE) or other protocol. In addition a GPS sensor 1280 may be present. Other wireless communications such as receipt or transmission of radio signals, e.g., AM/FM and other signals may also be provided. In addition, via WLAN transceiver 1275, local wireless
communications, such as according to a Bluetooth™ standard or an IEEE 802.1 1 standard such as IEEE 802.1 1 a/b/g/n can also be realized.
[0127] Although not shown for ease of illustration in FIG. 1 1 , in some embodiments, the system 1200 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0128] Referring now to FIG. 13, shown is a block diagram of another example system with which embodiments may be used. In the illustration of FIG 13, system 1300 may be mobile low-power system such as a tablet computer, 2: 1 tablet, phablet or other convertible or standalone tablet system. As illustrated, a SoC 1310 is present and may be configured to operate as an application processor for the device.
[0129] A variety of devices may couple to SoC 1310. In the illustration shown, a memory subsystem includes a flash memory 1340 and a DRAM 1345 coupled to SoC 1310. In addition, a touch panel 1320 is coupled to the SoC 1310 to provide display capability and user input via touch, including provision of a virtual keyboard on a display of touch panel 1320. To provide wired network connectivity, SoC 1310 couples to an Ethernet interface 1330. A peripheral hub 1325 is coupled to SoC 1310 to enable interfacing with various peripheral devices, such as may be coupled to system 1300 by any of various ports or other connectors.
[0130] In addition to internal power management circuitry and functionality within SoC 1310, a PMIC 1380 is coupled to SoC 1310 to provide platform-based power management, e.g., based on whether the system is powered by a battery 1390 or AC power via an AC adapter 1395. In addition to this power source-based power management, PMIC 1380 may further perform platform power management activities based on environmental and usage conditions. Still further, PMIC 1380 may communicate control and status information to SoC 1310 to cause various power management actions within SoC 1310.
[0131 ] Still referring to FIG. 13, to provide for wireless capabilities, a WLAN unit 1350 is coupled to SoC 1310 and in turn to an antenna 1355. In various
implementations, WLAN unit 1350 may provide for communication according to one or more wireless protocols, including an IEEE 802.1 1 protocol, a BluetoothTM protocol or any other wireless protocol.
[0132] As further illustrated, a plurality of sensors 1360 may couple to SoC 1310. These sensors may include various accelerometer, environmental and other sensors, including user gesture sensors. Finally, an audio codec 1365 is coupled to SoC 1310 to provide an interface to an audio output device 1370. Of course understand that while shown with this particular implementation in FIG. 13, many variations and alternatives are possible.
[0133] Although not shown for ease of illustration in FIG. 13, in some embodiments, the system 1300 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0134] Referring now to FIG. 14, a block diagram of a representative computer system 1400 such as notebook, UltrabookTM or other small form factor system. A processor 1410, in one embodiment, includes a microprocessor, multi-core
processor, multithreaded processor, an ultra low voltage processor, an embedded processor, or other known processing element. In the illustrated implementation, processor 1410 acts as a main processing unit and central hub for communication with many of the various components of the system 1400. As one example, processor 1410 is implemented as a SoC.
[0135] Processor 1410, in one embodiment, communicates with a system memory 1415. As an illustrative example, the system memory 1415 is implemented via multiple memory devices or modules to provide for a given amount of system memory.
[0136] To provide for persistent storage of information such as data, applications, one or more operating systems and so forth, a mass storage 1420 may also couple to processor 1410. In various embodiments, to enable a thinner and lighter system design as well as to improve system responsiveness, this mass storage may be implemented via a SSD or the mass storage may primarily be implemented using a hard disk drive (HDD) with a smaller amount of SSD storage to act as a SSD cache to enable non-volatile storage of context state and other such information during power down events so that a fast power up can occur on re-initiation of system activities. Also shown in FIG. 14, a flash device 1422 may be coupled to processor 1410, e.g., via a serial peripheral interface (SPI). This flash device may provide for non-volatile storage of system software, including a basic input/output software (BIOS) as well as other firmware of the system.
[0137] Various input/output (I/O) devices may be present within system 1400. Specifically shown in the embodiment of FIG. 14 is a display 1424 which may be a high definition LCD or LED panel that further provides for a touch screen 1425. In one embodiment, display 1424 may be coupled to processor 1410 via a display interconnect that can be implemented as a high performance graphics interconnect. Touch screen 1425 may be coupled to processor 1410 via another interconnect, which in an embodiment can be an I2C interconnect. As further shown in FIG. 14, in addition to touch screen 1425, user input by way of touch can also occur via a touch pad 1430 which may be configured within the chassis and may also be coupled to the same I2C interconnect as touch screen 1425.
[0138] For perceptual computing and other purposes, various sensors may be present within the system and may be coupled to processor 1410 in different manners. Certain inertial and environmental sensors may couple to processor 1410 through a sensor hub 1440, e.g., via an I2C interconnect. In the embodiment shown in FIG. 14, these sensors may include an accelerometer 1441 , an ambient light sensor (ALS) 1442, a compass 1443 and a gyroscope 1444. Other environmental sensors may include one or more thermal sensors 1446 which in some embodiments couple to processor 1410 via a system management bus (SMBus) bus.
[0139] Also seen in FIG. 14, various peripheral devices may couple to processor 1410 via a low pin count (LPC) interconnect. In the embodiment shown, various components can be coupled through an embedded controller 1435. Such
components can include a keyboard 1436 (e.g., coupled via a PS2 interface), a fan 1437, and a thermal sensor 1439. In some embodiments, touch pad 1430 may also couple to EC 1435 via a PS2 interface. In addition, a security processor such as a trusted platform module (TPM) 1438 in accordance with the Trusted Computing Group (TCG) TPM Specification Version 1 .2, dated Oct. 2, 2003, may also couple to processor 1410 via this LPC interconnect.
[0140] System 1400 can communicate with external devices in a variety of manners, including wirelessly. In the embodiment shown in FIG. 14, various wireless modules, each of which can correspond to a radio configured for a particular wireless communication protocol, are present. One manner for wireless
communication in a short range such as a near field may be via a NFC unit 1445 which may communicate, in one embodiment with processor 1410 via an SMBus. Note that via this NFC unit 1445, devices in close proximity to each other can communicate.
[0141 ] As further seen in FIG. 14, additional wireless units can include other short range wireless engines including a WLAN unit 1450 and a Bluetooth unit 1452.
Using WLAN unit 1450, Wi-Fi™ communications in accordance with a given IEEE 802.1 1 standard can be realized, while via Bluetooth unit 1452, short range communications via a Bluetooth protocol can occur. These units may communicate with processor 1410 via, e.g., a USB link or a universal asynchronous receiver transmitter (UART) link. Or these units may couple to processor 1410 via an interconnect according to a PCIe™ protocol or another such protocol such as a serial data input/output (SDIO) standard.
[0142] In addition, wireless wide area communications, e.g., according to a cellular or other wireless wide area protocol, can occur via a WWAN unit 1456 which in turn may couple to a subscriber identity module (SIM) 1457. In addition, to enable receipt and use of location information, a GPS module 1455 may also be present. Note that in the embodiment shown in FIG. 14, WWAN unit 1456 and an integrated capture device such as a camera module 1454 may communicate via a given USB protocol such as a USB 2.0 or 3.0 link, or a UART or I2C protocol.
[0143] An integrated camera module 1454 can be incorporated in the lid. To provide for audio inputs and outputs, an audio processor can be implemented via a digital signal processor (DSP) 1460, which may couple to processor 1410 via a high definition audio (HDA) link. Similarly, DSP 1460 may communicate with an integrated coder/decoder (CODEC) and amplifier 1462 that in turn may couple to output speakers 1463 which may be implemented within the chassis. Similarly, amplifier and CODEC 1462 can be coupled to receive audio inputs from a
microphone 1465 which in an embodiment can be implemented via dual array microphones (such as a digital microphone array) to provide for high quality audio inputs to enable voice-activated control of various operations within the system.
Note also that audio outputs can be provided from amplifier/CODEC 1462 to a headphone jack 1464. Although shown with these particular components in the embodiment of FIG. 14, understand the scope of the present invention is not limited in this regard.
[0144] Although not shown for ease of illustration in FIG. 14, in some embodiments, the system 1400 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0145] Embodiments may be implemented in many different system types.
Referring now to FIG. 15, shown is a block diagram of a system in accordance with an embodiment of the present invention. As shown in FIG. 15, multiprocessor system 1500 is a point-to-point interconnect system, and includes a first processor 1570 and a second processor 1580 coupled via a point-to-point interconnect 1550. As shown in FIG. 15, each of processors 1570 and 1580 may be multicore
processors, including first and second processor cores (i.e., processor cores 1574a and 1574b and processor cores 1584a and 1584b), although potentially many more cores may be present in the processors. Each of the processors can include a PCU or other power management logic to perform processor-based power management as described herein.
[0146] Still referring to FIG. 15, first processor 1570 further includes a memory controller hub (MCH) 1572 and point-to-point (P-P) interfaces 1576 and 1578.
Similarly, second processor 1580 includes a MCH 1582 and P-P interfaces 1586 and 1588. As shown in FIG. 15, MCH's 1572 and 1582 couple the processors to respective memories, namely a memory 1532 and a memory 1534, which may be portions of system memory (e.g., DRAM) locally attached to the respective
processors. First processor 1570 and second processor 1580 may be coupled to a chipset 1590 via P-P interconnects 1562 and 1564, respectively. As shown in FIG. 15, chipset 1590 includes P-P interfaces 1594 and 1598.
[0147] Furthermore, chipset 1590 includes an interface 1592 to couple chipset 1590 with a high performance graphics engine 1538, by a P-P interconnect 1539. In turn, chipset 1590 may be coupled to a first bus 1516 via an interface 1596. As shown in FIG. 15, various input/output (I/O) devices 1514 may be coupled to first bus 1516, along with a bus bridge 1518 which couples first bus 1516 to a second bus 1520. Various devices may be coupled to second bus 1520 including, for example, a keyboard/mouse 1522, communication devices 1526 and a data storage unit 1528 such as a disk drive or other mass storage device which may include code 1530, in one embodiment. Further, an audio I/O 1524 may be coupled to second bus 1520. Embodiments can be incorporated into other types of systems including mobile devices such as a smart cellular telephone, tablet computer, netbook, Ultrabook™, or so forth.
[0148] Although not shown for ease of illustration in FIG. 15, in some embodiments, the system 1500 may include some or all of the components and/or functionality of voltage regulator 150 described above with reference to FIG. 1 B.
[0149] Embodiments may be implemented in code and may be stored on a non- transitory storage medium having stored thereon instructions which can be used to program a system to perform the instructions. The storage medium may include, but is not limited to, any type of disk including floppy disks, optical disks, solid state drives (SSDs), compact disk read-only memories (CD-ROMs), compact disk rewritables (CD-RWs), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.
[0150] The following clauses and/or examples pertain to further embodiments.
[0151 ] In one example, a processor for performing voltage regulation comprises: a plurality of processing cores; a plurality of integrated voltage regulators (IVRs), each IVR uniquely associated with one of the plurality of processing cores and comprising a plurality of bridge transistors; and voltage regulator logic. The voltage regulator logic may be to: monitor power state information of the core; determine, based on the power state information, whether the core has transitioned from a first power state to a second power state; and in response to a determination that the core has transitioned from the first power state to the second power state, adjust at least one of a bridge activation level of the IVR and a switching frequency of the IVR based at least on the second power state.
[0152] In an example, the second power state is lower than the first power state, and the voltage regulator logic is to reduce the bridge activation level of the IVR. In an example, the voltage regulator logic is to reduce the bridge activation level of the IVR by decreasing a number of activated bridge transistors in the IVR.
[0153] In an example, the second power state is lower than the first power state, and the voltage regulator logic is to increase the switching frequency of the IVR. In an example, the voltage regulator logic is to increase the switching frequency of the IVR by increasing a pulse width modulator (PWM) frequency in the IVR.
[0154] In an example, the second power state is higher than the first power state, and the voltage regulator logic is to increase the bridge activation level of the IVR.
[0155] In an example, the second power state is higher than the first power state, and the voltage regulator logic is to decrease the switching frequency of the IVR.
[0156] In an example, each IVR is to supply electrical power to an associated processing core.
[0157] In another example, a method for performing voltage regulation includes determining, by hardware voltage regulator logic, whether a power domain of a computing device is changing from a first power state to a second power state. The method also includes, in response to a determination that the power domain is changing from the first power state to the second power state: adjusting, by the hardware voltage regulator logic, a bridge activation level of a voltage regulator based on the second power state; and adjusting, by the hardware voltage regulator logic, a switching frequency of the voltage regulator based on the second power state, wherein the voltage regulator is to supply power to the power domain.
[0158] In an example, the second power state is lower than the first power state, adjusting the bridge activation level of the voltage regulator comprises reducing the bridge activation level of the voltage regulator, and adjusting the switching frequency of the voltage regulator comprises increasing the switching frequency of the voltage regulator.
[0159] In an example, the second power state is higher than the first power state, adjusting the bridge activation level of the voltage regulator comprises increasing the bridge activation level of the voltage regulator, and adjusting the switching frequency of the voltage regulator comprises reducing the switching frequency of the voltage regulator.
[0160] In an example, the method also includes receiving power state information for the power domain at the hardware voltage regulator logic.
[0161 ] In an example, the method also includes supplying electrical power to power domain using the voltage regulator.
[0162] In another example, a machine readable medium has stored thereon data, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform the method of any of the above examples.
[0163] In another example, an apparatus for processing instructions is configured to perform the method of any of the above examples.
[0164] In another example, a system for performing voltage regulation includes a multicore processor and a dynamic random access memory (DRAM) coupled to the multicore processor. The multicore processor includes an uncore domain; a core domain comprising a plurality of cores; a plurality of core integrated voltage regulators (IVRs), each core IVR uniquely associated with a core of the plurality of cores and comprising a plurality of bridge transistors, each core IVR to provide an independent power supply to the associated core; and voltage regulator logic. The voltage regulator logic is to: monitor a power state of the plurality of cores; and in response to a determination that the power state of a first core has transitioned from a first power state to a second power state, adjust at least one of a bridge activation level and a switching frequency of a first core IVR associated with the first core.
[0165] In an example, the second power state is lower than the first power state, and the voltage regulator logic is to reduce the bridge activation level of the first core IVR.
[0166] In an example, the second power state is lower than the first power state, and the voltage regulator logic is to increase the switching frequency of the first core IVR.
[0167] In an example, the second power state is higher than the first power state, and the voltage regulator logic is to increase the bridge activation level of the first core IVR.
[0168] In an example, the second power state is higher than the first power state, and the voltage regulator logic is to reduce the switching frequency of the first core IVR.
[0169] In an example, the uncore domain comprises an uncore IVR to provide a power supply to the processor.
[0170] In an example, the voltage regulator logic is to, in response to a
determination that the processor has transitioned to a lower power state: decrease the bridge activation level of the uncore IVR, and increase the switching frequency of the uncore IVR.
[0171 ] In an example, the voltage regulator logic is to, in response to a
determination that the processor has transitioned to a higher power state: increase the bridge activation level of the uncore IVR, and decrease the switching frequency of the uncore IVR.
[0172] In an example, the voltage regulator logic is to increase the bridge activation level of the uncore IVR by increasing a number of activated bridge transistors in the uncore IVR. [0173] In an example, the voltage regulator logic is to decrease the switching frequency of the uncore IVR by decreasing a pulse width modulator (PWM) frequency of the uncore IVR.
[0174] Understand that various combinations of the above examples are possible.
[0175] Embodiments may be used in many different types of systems. For example, in one embodiment a communication device can be arranged to perform the various methods and techniques described herein. Of course, the scope of the present invention is not limited to a communication device, and instead other embodiments can be directed to other types of apparatus for processing instructions, or one or more machine readable media including instructions that in response to being executed on a computing device, cause the device to carry out one or more of the methods and techniques described herein.
[0176] References throughout this specification to "one embodiment" or "an embodiment" mean that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one implementation
encompassed within the present invention. Thus, appearances of the phrase "one embodiment" or "in an embodiment" are not necessarily referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be instituted in other suitable forms other than the particular embodiment illustrated and all such forms may be encompassed within the claims of the present application.
[0177] While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous
modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims

What is claimed is: 1 . A processor comprising:
a plurality of processing cores;
a plurality of integrated voltage regulators (IVRs), each IVR uniquely associated with one of the plurality of processing cores and comprising a plurality of bridge transistors; and
voltage regulator logic to:
monitor power state information of the core;
determine, based on the power state information, whether the core has transitioned from a first power state to a second power state; and
in response to a determination that the core has transitioned from the first power state to the second power state, adjust at least one of a bridge activation level of the IVR and a switching frequency of the IVR based at least on the second power state.
2. The processor of claim 1 , wherein the second power state is lower than the first power state, and wherein the voltage regulator logic is to reduce the bridge activation level of the IVR.
3. The processor of claim 2, wherein the voltage regulator logic is to reduce the bridge activation level of the IVR by decreasing a number of activated bridge transistors in the IVR.
4. The processor of claim 1 , wherein the second power state is lower than the first power state, and wherein the voltage regulator logic is to increase the switching frequency of the IVR.
5. The processor of claim 4, wherein the voltage regulator logic is to increase the switching frequency of the IVR by increasing a pulse width modulator (PWM) frequency in the IVR.
6. The processor of claim 1 , wherein the second power state is higher than the first power state, and wherein the voltage regulator logic is to increase the bridge activation level of the IVR.
7. The processor of claim 1 , wherein the second power state is higher than the first power state, and wherein the voltage regulator logic is to decrease the switching frequency of the IVR.
8. The processor of claim 1 , wherein each IVR is to supply electrical power to an associated processing core.
9. A method comprising:
determining, by hardware voltage regulator logic, whether a power domain of a computing device is changing from a first power state to a second power state; in response to a determination that the power domain is changing from the first power state to the second power state:
adjusting, by the hardware voltage regulator logic, a bridge activation level of a voltage regulator based on the second power state; and
adjusting, by the hardware voltage regulator logic, a switching frequency of the voltage regulator based on the second power state, wherein the voltage regulator is to supply power to the power domain.
10. The method of claim 9, wherein the second power state is lower than the first power state, wherein adjusting the bridge activation level of the voltage regulator comprises reducing the bridge activation level of the voltage regulator, and wherein adjusting the switching frequency of the voltage regulator comprises increasing the switching frequency of the voltage regulator.
1 1 . The method of claim 9, wherein the second power state is higher than the first power state, wherein adjusting the bridge activation level of the voltage regulator comprises increasing the bridge activation level of the voltage regulator, and wherein adjusting the switching frequency of the voltage regulator comprises reducing the switching frequency of the voltage regulator.
12. The method of claim 9, further comprising:
receiving power state information for the power domain at the hardware voltage regulator logic.
13. A system comprising:
a multicore processor comprising:
an uncore domain;
a core domain comprising a plurality of cores;
a plurality of core integrated voltage regulators (IVRs), each core IVR uniquely associated with a core of the plurality of cores and comprising a plurality of bridge transistors, each core IVR to provide an independent power supply to the associated core;
voltage regulator logic to:
monitor a power state of the plurality of cores;
in response to a determination that the power state of a first core has transitioned from a first power state to a second power state, adjust at least one of a bridge activation level and a switching frequency of a first core IVR associated with the first core; and
a dynamic random access memory (DRAM) coupled to the multicore processor.
14. The system of claim 13, wherein the second power state is lower than the first power state, and wherein the voltage regulator logic is to reduce the bridge activation level of the first core IVR.
15. The system of claim 13, wherein the second power state is lower than the first power state, and wherein the voltage regulator logic is to increase the switching frequency of the first core IVR.
16. The system of claim 13, wherein the second power state is higher than the first power state, and wherein the voltage regulator logic is to increase the bridge activation level of the first core IVR.
17. The system of claim 13, wherein the second power state is higher than the first power state, and wherein the voltage regulator logic is to reduce the switching frequency of the first core IVR.
18. The system of claim 13, wherein the uncore domain comprises an uncore IVR to provide a power supply to the processor.
19. The system of claim 18, wherein the voltage regulator logic is to, in response to a determination that the processor has transitioned to a lower power state:
decrease the bridge activation level of the uncore IVR, and
increase the switching frequency of the uncore IVR.
20. The system of claim 18, wherein the voltage regulator logic is to, in response to a determination that the processor has transitioned to a higher power state:
increase the bridge activation level of the uncore IVR, and
decrease the switching frequency of the uncore IVR.
EP15874157.9A 2014-12-23 2015-12-17 Adjustment of voltage regulator based on power state Withdrawn EP3238006A4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN6511CH2014 2014-12-23
PCT/US2015/066319 WO2016106070A1 (en) 2014-12-23 2015-12-17 Adjustment of voltage regulator based on power state

Publications (2)

Publication Number Publication Date
EP3238006A1 true EP3238006A1 (en) 2017-11-01
EP3238006A4 EP3238006A4 (en) 2018-07-25

Family

ID=56151439

Family Applications (1)

Application Number Title Priority Date Filing Date
EP15874157.9A Withdrawn EP3238006A4 (en) 2014-12-23 2015-12-17 Adjustment of voltage regulator based on power state

Country Status (3)

Country Link
EP (1) EP3238006A4 (en)
CN (1) CN107077180B (en)
WO (1) WO2016106070A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11054878B2 (en) * 2017-08-29 2021-07-06 Texas Instruments Incorporated Synchronous power state control scheme for multi-chip integrated power management solution in embedded systems
KR102539044B1 (en) * 2017-10-30 2023-06-01 삼성전자주식회사 Method of operating system on chip, system on chip performing the same and electronic system including the same
CN109918319B (en) * 2019-03-22 2021-03-05 深圳忆联信息系统有限公司 Acceleration method and system for solid state hard disk controller
US11567557B2 (en) * 2019-12-30 2023-01-31 Advanced Micro Devices, Inc. Electrical power operating states for core logic in a memory physical layer

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7822967B2 (en) * 2000-09-27 2010-10-26 Huron Ip Llc Apparatus, architecture, and method for integrated modular server system providing dynamically power-managed and work-load managed network devices
KR101108397B1 (en) * 2005-06-10 2012-01-30 엘지전자 주식회사 Apparatus and method for controlling power supply in a multi-core processor
US8635470B1 (en) * 2009-12-16 2014-01-21 Applied Micro Circuits Corporation System-on-chip with management module for controlling processor core internal voltages
US8943334B2 (en) * 2010-09-23 2015-01-27 Intel Corporation Providing per core voltage and frequency control
US8631256B2 (en) * 2010-12-22 2014-01-14 Via Technologies, Inc. Distributed management of a shared power source to a multi-core microprocessor
US9069555B2 (en) * 2011-03-21 2015-06-30 Intel Corporation Managing power consumption in a multi-core processor
US9177609B2 (en) * 2011-06-30 2015-11-03 Sandisk Technologies Inc. Smart bridge for memory core
US9154026B2 (en) * 2012-06-27 2015-10-06 Intel Corporation Bridge driver for a switching voltage regulator which is operable to soft-switch and hard-switch
US9003209B2 (en) * 2012-06-29 2015-04-07 Intel Corporation Efficient integrated switching voltage regulator comprising switches coupled to bridge drivers to provide regulated power supply to power domains
US9081577B2 (en) * 2012-12-28 2015-07-14 Intel Corporation Independent control of processor core retention states

Also Published As

Publication number Publication date
EP3238006A4 (en) 2018-07-25
CN107077180B (en) 2021-11-19
CN107077180A (en) 2017-08-18
WO2016106070A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
US11841752B2 (en) Controlling average power limits of a processor
US11543868B2 (en) Apparatus and method to provide a thermal parameter report for a multi-chip package
US9874922B2 (en) Performing dynamic power control of platform devices
US9791904B2 (en) Balanced control of processor temperature
US20180335831A1 (en) Apparatus and method for thermal management in a multi-chip package
US9710043B2 (en) Controlling a guaranteed frequency of a processor
US11481013B2 (en) Multi-level loops for computer processor control
US9933845B2 (en) Apparatus and method to provide multiple domain clock frequencies in a processor
US9541949B2 (en) Synchronization of domain counters
US10289188B2 (en) Processor having concurrent core and fabric exit from a low power state
US10429918B2 (en) Controlling turbo mode frequency operation in a processor
US10761594B2 (en) Programmable power management agent
CN107077180B (en) Adjusting a voltage regulator based on a power state
US20180095520A1 (en) Processor voltage control using running average value

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20170523

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20180621

RIC1 Information provided on ipc code assigned before grant

Ipc: G06F 1/32 20060101AFI20180615BHEP

Ipc: G06F 1/26 20060101ALI20180615BHEP

17Q First examination report despatched

Effective date: 20190828

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20200108