EP1678746B1 - Method for forming a dielectric on a copper-containing metallisation - Google Patents

Method for forming a dielectric on a copper-containing metallisation Download PDF

Info

Publication number
EP1678746B1
EP1678746B1 EP04804501A EP04804501A EP1678746B1 EP 1678746 B1 EP1678746 B1 EP 1678746B1 EP 04804501 A EP04804501 A EP 04804501A EP 04804501 A EP04804501 A EP 04804501A EP 1678746 B1 EP1678746 B1 EP 1678746B1
Authority
EP
European Patent Office
Prior art keywords
dielectric
layer
metallization
forming
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
EP04804501A
Other languages
German (de)
French (fr)
Other versions
EP1678746A2 (en
Inventor
Alexander Gschwandtner
Jürgen Holz
Michael Schrenk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of EP1678746A2 publication Critical patent/EP1678746A2/en
Application granted granted Critical
Publication of EP1678746B1 publication Critical patent/EP1678746B1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02258Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by anodic treatment, e.g. anodic oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the US 2003/0013320 A1 and US 2003/0024477 A1 refer to batch processes that may also be executed with remote plasma. From the US 2003/0040199 A1 is a light-assisted plasma CVD apparatus known. From the US 2003 / 0011043A1 and the US 5,946,567 For example, methods for producing MIM capacitors are known.
  • the early decomposition of the not or only weakly excited process gas is prevented. This decomposition would prevent or significantly interfere with the formation of a high quality dielectric on copper.
  • the strong excitation of the other process gas is also a prerequisite for the formation of a high quality dielectric on copper.
  • the proportion of problematic constituents in the process gas mixture is in particular substoichiometric in comparison to the proportion of problematic constituents in the dielectric, so that the formation of the interfering minor phase is already reduced on account of the substoichiometry.
  • the lower limit for the proportion of problematic constituents is determined by the growth rates required. Preferably, said percentages are greater than 0.01 percent or greater than 0.001 percent.
  • the condition mentioned must be for each problematic component be complied with in order to prevent the formation of the secondary phase.
  • the dielectric is produced by means of a deposition process, in which the process gases are introduced separately from one another, starting with the introduction of unproblematic process gas.
  • the development is based on the consideration that the unproblematic process gas forms a thin protective layer on the metallization, which impairs or prevents the formation of interfering secondary phases.
  • the process forms only one atomic layer or only a few atomic layers in each cycle, which is why the process is also referred to as atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • the protective effect is increased more and more in comparison to the first cycle, so that again different deposition methods are used in one embodiment.
  • the metallization is cleaned immediately prior to the generation of the dielectric in a cleaning step, for example by back sputtering or by a wet-chemical cleaning step.
  • the dielectric is applied without an additional barrier layer disposed between the dielectric and the lower electrode.
  • This allows new integration concepts that are considerably simpler than previous concepts and are explained in more detail below with reference to the embodiments, in particular a so-called POWER-LIN concept in which linear capacitors without additional photolithographic step between copper operating voltage lines are arranged in Kupfermetallmaschineslagen.
  • PAD-LIN-CAP concept is also possible, in which capacitors are formed without an additional photolithographic step between the last copper metallization layer and an overlying aluminum layer, the aluminum layer serving for bonding.
  • the dielectric i. an electrically nonconductive material formed of a material that is a diffusion barrier for copper and that opposes the electromigration of copper. Additional layers for providing these effects are not deposited, in particular no electrically conductive barrier layers.
  • Silicon nitride is a particularly suitable material because it can be easily manufactured and is compatible with the other common materials for semiconductor circuits.
  • a silicon-containing process gas is used, which is problematic due to the silicon content.
  • a silicide could increasingly form as a disturbing secondary phase, in particular copper silicide.
  • Suitable silicon-containing process gases are silane, disilane, dichlorosilane, trichlorosilane, bis (tert-butylamino) silane or BTBAS or a gas mixture as at least two of these gases.
  • the metallization content of the copper is at least ninety percent by volume of the metallization.
  • a direct deposition of a dielectric on copper is only possible by the inventive method in a simple manner.
  • the invention relates to a method for forming a dielectric on a metallization, in which the process gases from which the constituents of the dielectric originate have been selected so that neither these process gases nor their constituents form a secondary phase with the copper of a metallization significantly affect the electrical properties of the dielectric would.
  • the embodiment is based on the consideration that the formation of disturbing secondary phases can also be prevented by a suitable choice of the material of the dielectric and the process gases.
  • the dielectric is applied without an additional barrier layer arranged between the dielectric and the lower electrode. This also allows the above-mentioned new integration concepts. But also high quality dielectrics for other applications than in capacitors are produced by the method according to the invention.
  • a dielectric made of aluminum nitride is produced.
  • trimethylaluminum and a nitrogen-containing gas are used as the process gases.
  • problematic components such as oxygen or silicon are included, which lead to the formation of disturbing secondary phases.
  • no copper silicide and no copper oxide can form.
  • the dielectric is produced with the aid of a deposition method, in which the process gases with constituents for forming the dielectric are introduced separately, preferably cyclically, in particular in at least five or at least ten cycles.
  • This process is referred to as atomic layer deposition and leads to Dielelektrikum füren with particularly uniform layer thickness, especially in comparison to other deposition processes.
  • Aluminum nitride can be deposited with the atomic layer deposition in a sufficiently uniform layer thickness.
  • the thickness of the dielectric or the dielectric stack is preferably in the range of three nanometers to fifty nanometers.
  • a process gas with a component which is also contained in the dielectric in comparison to at least one other process gas, in particular compared to a process gas with a component which is also contained in the dielectric, less strongly excited.
  • the problematic process gas is less stimulated. This ensures that the formation of the secondary phases is prevented particularly effectively not only by the reduced concentration of the problematic constituents but also by their reduced excitation state.
  • the additional excitation of the unproblematic gas means that the problematic constituents primarily react with the excited constituents with the formation of the dielectric.
  • the activation of the one process gas results in increased interactions with the surface of the metallization, in particular, uniform aggregation of constituents, which then forms the dielectric upon admission of the other process gas.
  • the more excited process gas is excited separately from the less or unexcited process gas in a separate chamber from a reaction chamber.
  • Separate excitation chamber methods are also referred to as remote plasma methods.
  • the reaction chamber is also used for excitation because the process gases are in the reaction chamber at different times.
  • a plasma is suitable, which, for example, by inductive coupling, is generated by capacitive coupling or otherwise.
  • the dielectric is the dielectric of a capacitor, in particular a capacitor with two metallic electrodes, between which the dielectric is arranged.
  • the entire dielectric of the capacitor is produced with a method according to the invention or one of its developments and thus with a small number of different method steps.
  • the dielectric is produced as a layer stack.
  • at least one further dielectric layer is produced adjacent to the dielectric layer, wherein the further layer has a different material composition and / or is produced with a different method and / or process parameters than the dielectric layer.
  • the already applied dielectric acts as a protective layer.
  • Aluminum oxide in particular aluminum trioxide Al 2 O 3 , aluminum oxynitride, tantalum oxide, in particular tantalum pentoxide Ta 2 O 5 , tantalum oxynitride, hafnium oxide, barium strontium titanate or the like.
  • Aluminum oxides are particularly easy to form starting from an aluminum nitride layer.
  • the materials aluminum nitride and silicon nitride are used, both in a base layer of aluminum nitride but also in a base layer of silicon nitride.
  • a deposition condition is selected, which in particular does not generate secondary phases and gives a good barrier layer, e.g. with a thickness of 5 to 10 nm.
  • the deposition is optimized for best dielectric properties, for example, to a stoichiometric ratio of the compound components in the dielectric.
  • FIG. 1 leaves showing a process reactor 10 by means of which, inter alia, a RPE-CVD (Remote Plasma Enhanced Chemical Vapor Deposition) Si 3 N 4 perform method.
  • RPE-CVD Remote Plasma Enhanced Chemical Vapor Deposition
  • FIG. 2 explained atomic layer method.
  • the process reactor 10 contains a process chamber 15, in which a substrate 12 to be coated is arranged on a substrate electrode 11, for example a semiconductor wafer. Above the surface to be coated of the substrate 12 to be coated, an inlet electrode 14 is arranged on the ceiling of the process chamber 15, which has a plurality of small passage openings for the process gases.
  • a high-frequency voltage is applied between the electrodes 11 and 14 when a plasma is to be generated in the process chamber, for example in the course of the two explained below with reference to the figures two methods.
  • each supply line 17 is associated with an energy source 16, for example a microwave transmitter, by means of which a plasma 16a can be ignited in the respective supply line.
  • the supply lines 17 open into an antechamber 13, which is connected via the passage openings in the inlet electrode 14 to the process chamber 15.
  • a supply line 17 and one energy source are sufficient.
  • the leads are, for example, made of ceramic material.
  • inert gases are also excited in the feed lines 17, for example argon or helium, in other embodiments.
  • a supply 18 is used, the also opens into the pre-chamber 13. Reaction products and unused process gases are extracted by means of a pump 20 from the process chamber 15.
  • silicon nitride For the deposition of silicon nitride according to the inventive method, for example, nitrogen is introduced through the supply lines 17 and excited with the aid of the remote plasma 16a, see arrows 22. Silane SiH 4 is introduced through the supply line 18 without excitation, see arrow 24. Excited nitrogen radicals 26 and silane molecules 28 react on the hot surface of the substrate 12 to silicon nitride at temperatures between 200 ° C and 500 ° C. In the process chamber 15 no plasma is ignited in the embodiment. In one embodiment, a low-power plasma is ignited in the process chamber 15 with said high-frequency power, so that the silane is also weakly excited.
  • the ratio between silane and nitrogen is adjusted as indicated in the introduction to avoid formation of copper silicide.
  • FIG. 2 shows process steps in the implementation of an RPE-ALCVD (Remote Plasma Enhanced Atomic Layer Chemical Vapor Deposition) Si 3 N 4 method or an RPE-ALCVD AlN method.
  • the process reactor 10 is used for carrying out the method.
  • the method begins in a method step 50 with a Pre-cleaning step, eg a back sputtering step.
  • a method step 52 following the method step 50 nitrogen gas excited subsequently via the supply lines 17 is subsequently introduced into the process chamber, wherein no further process gas is contained in the process chamber 15, in particular no silicon-containing process gas.
  • the process chamber 15 is purged with an inert gas, for example with argon.
  • the argon is, for example, introduced through a supply line, not shown, in the process chamber. Residues of the nitrogen-containing gas are completely sucked out of the process chamber 15 with the aid of the pump 10.
  • a silane-containing process gas e.g. Dichlorosilane
  • a silane-containing process gas e.g. Dichlorosilane
  • the dichlorosilane reacts with nitrogen which has attached to the surface of the substrate 12 in step 52 to a single layer of silicon nitride.
  • the silane-containing process gas is not excited. In another embodiment, the silane-containing process gas is weakly excited.
  • the material is rinsed again in a method step 58.
  • the procedure is as explained above for method step 54.
  • dichlorosilane is completely sucked out of the reaction chamber 15, it is checked in a method step 60 whether the predetermined number of cycles has been reached. In the exemplary embodiment, 30 cycles are to be run through, resulting in a layer thickness of, for example, three nanometers. If further cycles are to be carried out, process step 52 follows directly after process step 60. The process is now in a loop from process steps 52 to 60, in which nitrogen and dichlorosilane are alternately introduced into the process chamber 15 a plurality of layers of silicon nitride are formed on the substrate 12.
  • the loop from the method steps 52 to 60 is only left in method step 60 when the predetermined number of cycles has been reached.
  • a method step 62 follows in which the method for producing the dielectric is terminated.
  • further layers of a dielectric stack are produced from mutually different layers with different methods or with different process parameters.
  • FIG. 2 As explained method can be deposited a multi-layer silicon nitride layer in good quality at temperatures in the range of 200 to 500 degrees Celsius.
  • At least one further dielectric layer of a dielectric stack is subsequently produced, but a conventional method is used.
  • Very good results were achieved with a layer stack comprising, in the order given, an RPE-CVD Si 3 N 4 layer, an ALD layer (atomic layer deposition) of Al 2 O 3 and an RPE-CVD Si 3 N 4 layer contains.
  • FIG. 3 shows a capacitor arrangement 100 which has been produced with two additional mask steps.
  • the capacitor assembly 100 includes a bottom electrode 102 made of copper or a copper alloy with an alloy content of other than copper of less than five percent.
  • the bottom electrode 102 is contained in a planar metallization layer 104.
  • the metallization layer 104 is terminated by a diffusion barrier layer 106 which has been deposited by a conventional method.
  • the bottom electrode 102 is surrounded on all sides by a barrier layer.
  • the metallization layer 108 is terminated by an electrically insulating barrier layer 120.
  • a metallization layer 122 disposed over the metallization layer 108 includes a conductive trace 124, e.g. a copper conductor. From the interconnect 124, a via 126 leads to the cover electrode 112.
  • the metallization layers 104, 108, and 122 each contain an intraluminal dielectric 130, 132, and 134, respectively, for electrical isolation of interconnects within a metallization layer 104, 108, and 122.
  • silicon dioxide or a low-k dielectric is used as the material for the intraluminal dielectric 130, 132, and 134, respectively.
  • a first sub-layer of the intralagum dielectric 132 is applied, eg in FIG a layer thickness that is less than one third of the final thickness of the intraluminal dielectric 132.
  • the position of a recess 140 is determined, in which the capacitor 100 is to be generated.
  • the recess 140 is etched after exposure and development of a resist, for example with an RIE (Reactive Ion Etching) method.
  • the recess 140 after etching, penetrates the first sublayer of the intraluminal dielectric 132 and the barrier layer 106 so that the bottom of the recess 140 lies on the bottom electrode 102.
  • the bottom electrode 102 projects on all sides beyond the bottom of the recess 140.
  • the dielectric layer 110 with one of the hand on the Figures 1 and 2 explained method deposited over the entire surface.
  • further sub-layers of the dielectric layer 110 are then produced from other materials or by other methods.
  • the cover electrode layer 112 is deposited over the entire surface.
  • the entire surface deposition of the silicon nitride layer 114 follows. The deposition of the layers 110 to 114 is compliant.
  • a second additional photolithographic step for determining the position of the edge of the cover electrode 112 is performed. After exposing and developing a resist, etching is stopped while stopping on the lower sublayer of the intra-valley dielectric 132.
  • the edge of the cover electrode 112 lies completely outside the recess 140 and has an outline that corresponds to the outline of the bottom electrode 102.
  • the still missing partial layer of the intraluminal dielectric 132 is deposited.
  • an optional planarization step is then according to known method steps further processed, among other things, the Via 126 is generated.
  • FIG. 4 shows a capacitor assembly 200, which has been produced with only one additional mask step, in a cross section.
  • a lower, preferably planar, metallization layer 201 contains conductor tracks for lateral current transport between nonconductive diffusion barriers 202, eg a conductor track 203. Via conductor 204 for vertical current transport, conductor track 203 is connected to a lower electrode 206 of capacitor arrangement 200 arranged in a second metallization layer 205.
  • a conductive track 208 In the exemplary embodiment, in the metallization level 205 to the left of the electrode 206, there is a conductive track 208.
  • the lower electrode 206 and the conductive track 208 are embedded in an intermediate dielectric 209 in order to isolate them from one another, for example in silicon dioxide.
  • an intermediate dielectric 210 insulates the interconnects 203 of the lower metallization layer 203 from one another.
  • a capacitor dielectric 211 is arranged, for example a single-layer or a multi-layered dielectric.
  • an upper electrode 212 is disposed on the capacitor dielectric 211.
  • the capacitor dielectric 211 has, in the region of the upper electrode 212, a thickness which is greater than the thickness of a barrier layer 207 which is arranged in the same plane as the capacitor dielectric 211.
  • Top electrode 212 and interconnect 208 are electrically conductively connected via vias 213 to interconnects 214 in a third metallization layer 215 that includes an intermediate dielectric 216.
  • a third metallization layer 215 that includes an intermediate dielectric 216.
  • a non-conductive diffusion barrier 217 and further passivation layers 218a and 218b are above the metallization layer 215.
  • the conductive lines 203, 208, and 214, the lower electrode 206 and the vias 204, 213 are made of a copper alloy or of pure copper, for example by means of a dual damascene method.
  • conductive barrier layers 219, 220 or 221 made of titanium nitride are introduced into the trenches or holes to be filled with copper.
  • the diffusion barriers 202, 207, 217, the capacitor dielectric 211 and the passivation layer 218b consist in the exemplary embodiment of silicon nitride Si 3 N 4 .
  • the passivation layer 218a consists in the exemplary embodiment of silicon dioxide.
  • Deviations from the known dual damascene method result in the production of the capacitor 200.
  • silicon nitride is deposited over the whole area for the capacitor dielectric 211 and for the diffusion barrier 207.
  • a method is used, as described above with reference to the Figures 1 and 2 has been explained.
  • aluminum nitride is used as the material for the barrier layer 207 and the capacitor dielectric 211, and as described above with reference to FIGS FIG. 2 explained method applied.
  • a metallic layer is deposited over the whole area to form the electrode 212, for example a titanium nitride layer.
  • the electrode 212 is formed as a layer stack.
  • an additional photolithographic step is performed to define the edge of the electrode 212. After exposing and developing a resist, it is etched, stopping on the barrier layer 207 with light overetching. The further processing takes place again according to the known dual Damascus method.
  • a silicon nitride layer is applied to the electrode, which i.a. during the etching of the vias 213 serves as an etch stop.
  • a silicon nitride layer is applied to the electrode, which i.a. during the etching of the vias 213 serves as an etch stop.
  • only one via is used.
  • the lower electrode 206 can also be connected with a plurality of vias or "from above", i. from a side facing away from the semiconductor substrate.
  • FIG. 5 shows capacitor arrays that do not require an additional masking step.
  • An integrated circuit 310 includes a plurality of semiconductor integrated devices in a silicon substrate 312, but which are incorporated in FIG FIG. 5 are not shown.
  • the components arranged in the silicon substrate 312 form two spatially separated regions, namely an analog part 314 and a digital part 316.
  • analog part 314 mainly analog signals are processed, ie signals which have a continuous value range.
  • digital part 316 on the other hand, mainly digital signals are processed, ie signals having, for example, only two values associated with two switching states.
  • the circuit arrangement 310 also contains at least four metallization layers above the silicon substrate 312, in the exemplary embodiment nine metal layers 320 to 334, between which no further metal layers but insulating layers are arranged.
  • the metal layers 320 to 334 are each arranged in a plane.
  • the planes of the metal layers 320 to 334 are arranged parallel to each other and also parallel to the main surface of the silicon substrate 312.
  • the metal layers 320 to 334 each extend both in the analog part 314 and in the digital part 316.
  • the bottom four metal layers 320, 322, 324 and 326 include in the analog part 314 in the order named connecting sections 340, 342, 344 and 346, respectively, which connections form between the components of the analog part 314.
  • FIG. 5 are a variety of interconnects indicated as blocks. Of course, there are also interconnects between these blocks for the connection of analog part 314 and digital part 316.
  • the metal layers 320, 322, 324 and 326 in this order contain connection sections 350, 352, 354 and 356, respectively, which provide local connections between them Form components of the digital part 316.
  • the connecting sections 340 to 356 have a thickness D of, for example, 100 nm perpendicular to the substrate 312.
  • the metal layer 328 contains in the analog part 314 connecting sections 360 which carry analog signals and connect the components of the analog part 314.
  • the metal layer 328 includes connecting portions 362 which connect the components of the digital part 316 and thus carry digital signals.
  • the metal layer 330 in the analog part 314 contains analog signal connection sections 364 and in the digital section 316 digital signal connection sections 366.
  • the metal layer 331 contains in the analog part 314 a connecting portion 367, which covers the analog part 314 over the entire surface and serves to shield the analog part 314 from overlying components.
  • the metal layer 331 contains connecting sections 368, which, for example, carry an operating voltage or ground potential.
  • the connecting portions 360 to 368 are twice the thickness D.
  • the metal layers 332 and 334 form the two uppermost metal layers.
  • the metal layer 332 includes a bottom electrode 370 of a capacitor 372 having a linear transfer function and a capacitance C1.
  • the capacitor C1 is used to process analog signals, for example in an analog / digital converter.
  • a cover electrode 374 of the capacitor 372 lies in the metal layer 334 above the electrode 370.
  • the cover electrode 374 is connected to a connection section 375 in the metal layer 332.
  • the metal layer 332 includes a connecting portion 382 which carries an operating potential P1 of, for example, 2.5 volts. Above the connecting portion 382 is a connecting portion 386, which leads a ground potential P0 of 0 volts. Between the connecting sections 382 and 386, a capacitance C3 is formed, which belongs to a blocking capacitor.
  • the connecting portion 386 is connected to a connecting portion 368 in the metal sheet 331 via a connecting portion 387 in the metal sheet 332 and vias.
  • At least the metal layer 332 contains copper-containing electrically conductive material, so that in particular the bottom electrode 370 of the capacitor 372 and the connecting section 382 are copper-containing.
  • other metal layers 320 to 334 are copper-containing.
  • the size of the capacitances C1 and C3 is determined on the one hand by the size of the overlapping electrodes 370 and 374 and the overlapping connecting portions 370 to 386, respectively.
  • the area-related capacitance between the connection portions 370 and 374 and 382 and 386 is determined by the formation of an intermediate layer 390 which lies between the metal layers 332 and 334.
  • the intermediate layer 390 is designed such that a surface-related capacity of, for example, greater than 0.5 fF / ⁇ m 2 results.
  • the connecting portions 370 to 386 have four times the thickness D, and are thus particularly suitable for conducting high currents, such as occur in connection sections 382 and 386 for supplying the operating voltage.
  • the capacitance C3 is formed from electrically conductive sections of two metallization layers 332 and 334 which, for example, do not carry signals but are used exclusively for carrying the operating voltage. In so far signals are guided, the signal line are designed with the same course in both metallization.
  • these are the upper copper metallization layer and thereon an aluminum metallization layer which contains at least 90% by volume of aluminum.
  • the aluminum metallization layer is also used for bonding, see a bond pad 392 in the metal layer 334 and a bond opening 394 in a passivation 396.
  • the bond pad 392 is connected to a connection section 391 in the metal layer 334.
  • Dielectric 390 between the two metallization layers 332 and 334 is a dielectric or dielectric stack fabricated according to one of the methods discussed above.
  • the mixed signal part 314 of the chip results in linear capacitors C1 whose capacitance is determined by the size of the copper plate 370.
  • capacitors C3 At line crossovers in the digital part 316 also arise capacitors C3, but they are not parasitic and do not disturb, because they contribute to the stabilization of the supply voltage. Since less metallization layers are generally required in the mixed-signal part 314 of the circuit 310 of the chip than in the digital part 316, this concept works without additional mask steps.
  • the dielectric 390 or the above-described dielectric stack is located between the two last copper metallization layers. The aluminum metallization layer is then no longer needed. The bonding then takes place directly on copper.

Abstract

The invention relates to a method that permits the direct application of a dielectric layer to a metallic layer containing copper. According to said method, two process gases (26, 28) are excited by means of respectively different plasma powers for each substrate surface or one of the process gases (26) is excited by means of a plasma and the other process gas (28) is not excited. The dielectric layer consists e.g. of Si3N4 or AIN and is applied e.g. by remote plasma enhanced chemical vapour deposition (RPE-CVD) or RPE atomic layer CVD (RPE-ALCVD).

Description

Die Erfindung betrifft ein Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung, mit den Schritten:

  • Erzeugen einer Metallisierung auf einem Substrat, wobei die Metallisierung als einen Metallisierungsbestandteil Kupfer enthält, insbesondere aus Kupfer besteht,
  • Heranführen mindestens zweier Prozessgase,
  • Ausbilden eines Dielektrikum mit mindestens zwei Arten von Bestandteilen, die aus voneinander verschiedenen Prozessgasen stammen.
The invention relates to a method for forming a dielectric on a copper-containing metallization, comprising the steps:
  • Producing a metallization on a substrate, wherein the metallization contains as a metallization constituent copper, in particular consists of copper,
  • Introducing at least two process gases,
  • Forming a dielectric with at least two types of components, which come from mutually different process gases.

Die vorrangigen elektrischen Eigenschaften eines Dielektrikums sind u.a.:

  • der Leckstrom bzw. der Kriechstrom,
  • die Durchbruchspannung,
  • die Zuverlässigkeit.
The primary electrical properties of a dielectric include:
  • the leakage current or leakage current,
  • the breakdown voltage,
  • the reliability.

Aus der europäischen Offenlegungsschrift EP 1 130 654 A1 ist eine Kondensatoranordnung bekannt, bei der eine zusätzliche Maßnahme darin besteht, eine metallisch leitende Barriereschicht auf einer Kupfermetallisierung aufzubringen, bevor das Dielektrikum erzeugt wird. Mit dem Aufbringen und Strukturieren der metallisch leitenden Barriereschicht sind zusätzliche Verfahrensschritte verbunden. Außerdem leitet die Barriereschicht weniger gut als die Metallisierung, so dass die elektrischen Eigenschaften des Kondensators herabgesetzt werden. Im Übrigen erfüllen leitende Barriereschichten ihre Barrierefunktion nicht immer vollständig.From the European patent application EP 1 130 654 A1 a capacitor arrangement is known in which an additional measure is to apply a metallically conductive barrier layer on a copper metallization before the dielectric is generated. Additional process steps are associated with the application and structuring of the metallically conductive barrier layer. In addition, the barrier layer conducts less well than the metallization, so that the electrical properties of the capacitor are reduced. Incidentally, conductive barrier layers do not always fully fulfill their barrier function.

Aus Lucovsky, G. u. a., "Formation of thin film dielectrics by remote plasma-enhanced chemical vapor deposition (Remote PECVD), Applied Surface Devices, North Holland, Bd. 39, 1989, Seiten 33 bis 56 ; Tsu, D. V. and Lucovsky, G., "Silicon nitride and silicon diimide grown by remote plasma enhanced chemical vapor deposition", J. Vac. Sci. Technol., A, Bd. 4, Nr. 3, May/Jun 1986, Seiten 480 bis 485 ; sowie aus Richard, P. D., Markunas, R. J., Lucovsky, G. u. a., "Remote plasma enhanced CVD deposition of silicon nitride and oxide for gate insulators in (In,Ga)As FET devices", J. Vac. Sci. Technol. A3 (3), May/Jun 1985, S.867 - 872 , sind Remoteplasma CVD Verfahren bekannt.Out Lucovsky, G. et al., "Formation of thin film dielectrics by remote plasma-enhanced chemical vapor deposition (Remote PECVD), Applied Surface Devices, North Holland, Vol. 39, 1989, pages 33 to 56 ; Tsu, DV and Lucovsky, G., "Silicon nitride and silicon diimides grown by remote plasma enhanced chemical vapor deposition", J. Vac. Sci. Technol., A, Vol. 4, No. 3, May / Jun 1986, pages 480 to 485 ; as well as out Richard, PD, Markunas, RJ, Lucovsky, G. et al., "Remote plasma enhanced CVD deposition of silicon nitride and oxides for gate insulators in (In, Ga) As FET devices", J. Vac. Sci. Technol. A3 (3), May / Jun 1985, pp. 867-872 , Remote Plasma CVD methods are known.

Die US 2003/0013320 A1 und US 2003/0024477 A1 betreffen Batchprozesse, die ggf. auch mit Remoteplasma ausgeführt werden können. Aus der US 2003/0040199 A1 ist ein lichtunterstützter Plasma-CVD-Apparat bekannt. Aus der US 2003/0011043A1 und der US 5,946,567 sind Verfahren zum Herstellen von MIM-Kondensatoren bekannt.The US 2003/0013320 A1 and US 2003/0024477 A1 refer to batch processes that may also be executed with remote plasma. From the US 2003/0040199 A1 is a light-assisted plasma CVD apparatus known. From the US 2003 / 0011043A1 and the US 5,946,567 For example, methods for producing MIM capacitors are known.

Es ist Aufgabe der Erfindung ein einfaches Verfahren zum Ausbilden eines Kondensatordielektrikums auf einer Kupfer enthaltenden Metallisierung anzugeben.It is an object of the invention to provide a simple method for forming a capacitor dielectric on a copper-containing metallization.

Die auf das Verfahren bezogene Aufgabe wird durch die im Patentanspruch 1 angegebenen Verfahrensschritte gelöst. Weiterbildungen sind in den Unteransprüchen angegeben.The object related to the method is achieved by the method steps indicated in claim 1. Further developments are specified in the subclaims.

Zusätzlich zu den eingangs genannten Verfahrensschritten werden bei dem erfindungsgemäßen Verfahren die folgenden Verfahrensschritte ausgeführt:

  • Ausbilden des Dielektrikums angrenzend an die Metallisierung, wobei die beiden Prozessgase mit voneinander verschiedener Plasmaleistung je Substratfläche angeregt werden oder wobei das eine Prozessgas mit einem Plasma angeregt wird und das andere Prozessgas nicht angeregt wird.
In addition to the method steps mentioned at the outset, the following method steps are carried out in the method according to the invention:
  • Forming the dielectric adjacent to the metallization, wherein the two process gases are excited with different plasma power per substrate surface or wherein the one process gas is excited with a plasma and the other process gas is not excited.

Durch diese Verfahren wird die frühzeitige Zersetzung des nicht oder nur schwach angeregten Prozessgases verhindert. Diese Zersetzung würde die Bildung eines hochwertigen Dielektrikums auf Kupfer verhindern oder erheblich stören. Andererseits ist die starke Anregung des anderen Prozessgases ebenfalls eine Voraussetzung für die Bildung eines hochqualitativen Dielektrikums auf Kupfer.By these methods, the early decomposition of the not or only weakly excited process gas is prevented. This decomposition would prevent or significantly interfere with the formation of a high quality dielectric on copper. On the other hand, the strong excitation of the other process gas is also a prerequisite for the formation of a high quality dielectric on copper.

Bei einer Ausgestaltung werden die folgenden Schritte ausgeführt:

  • Verwenden mindestens eines problematischen bzw. kritischen Prozessgases das selbst oder ein Bestandteil dessen mit mindestens einem Metallisierungsbestandteil ohne zusätzliche Maßnahmen bzw. bei Überschreitung einer Grenzplasmaleistung je freiliegender Substratfläche eine Nebenphase bilden würde, welche die elektrischen Eigenschaften eines Dielektrikums erheblich beeinträchtigen würde. Die Grenzplasmaleistung wird bezüglich des problematischen Prozessgases nicht überschritten und bleibt bspw. unter 0,1 W/cm2 oder 0,5 W/cm2 Substratfläche, wobei auf die von außen angelegte Leistung bezug genommen wird.
In one embodiment, the following steps are performed:
  • Use at least one problematic or critical process gas itself or a component thereof with at least one metallization component would form a secondary phase without additional measures or exceeding a limit plasma power per exposed substrate surface, which would significantly affect the electrical properties of a dielectric. The limit plasma power is not exceeded with respect to the problematic process gas and, for example, remains below 0.1 W / cm 2 or 0.5 W / cm 2 substrate area, referring to the externally applied power.

Bei einer Ausgestaltung werden die folgenden Schritte durchgeführt:

  • Ausbilden des Dielektrikums angrenzend an die Metallisierung, wobei das Dielektrikum mindestens eine Art problematischer Bestandteile enthält, die aus einem problematischen Prozessgas stammen, und wobei das Dielektrikum mindestens eine Art unproblematischer Bestandteile enthält, die aus mindestens einem unproblematischen Prozessgas des Prozessgasgemisches stammen,
  • wobei , das Verhältnis des problematischen Prozessgases zu dem unproblematischen Prozessgas so eingestellt wird, dass das Verhältnis der Anzahl der problematischen Verbindungs-Bestandteile im Prozessgasgemisch und der Anzahl der unproblematischen Verbindungs-Bestandteile im Prozessgasgemisch kleiner als 10 Prozent oder kleiner als 0,1 Prozent des Verhältnisses der Anzahl der problematischen Verbindungs-Bestandteile im Dielektrikum und der unproblematischen Verbindungs-Bestandteile im Dielektrikum ist. Ein Verbindungsbestandteil ist bspw. Silizium. Der andere Verbindungsbestandteil ist bspw. Stickstoff.
In one embodiment, the following steps are performed:
  • Forming the dielectric adjacent to the metallization, wherein the dielectric contains at least one kind of problematic constituents originating from a problematic process gas, and wherein the dielectric contains at least one kind of unproblematic constituents originating from at least one unproblematic process gas of the process gas mixture,
  • wherein, the ratio of the problematic process gas is adjusted to the unproblematic process gas so that the ratio of the number of problematic compound components in the process gas mixture and the number of unproblematic compound components in the process gas mixture less than 10 percent or less than 0.1 percent of the ratio the number of problem compound components in the dielectric and the unproblematic compound components in the dielectric. A compound component is, for example, silicon. The other component of the compound is, for example, nitrogen.

Bei dieser Ausgestaltung des erfindungsgemäßen Verfahrens ist der Anteil der problematischen Bestandteile im Prozessgasgemisch insbesondere unterstöchiometrisch im Vergleich zum Anteil der problematischen Bestandteile im Dielektrikum, so dass auf Grund der Unterstöchiometrie bereits die Bildung der störenden Nebenphase reduziert ist.In this embodiment of the method according to the invention, the proportion of problematic constituents in the process gas mixture is in particular substoichiometric in comparison to the proportion of problematic constituents in the dielectric, so that the formation of the interfering minor phase is already reduced on account of the substoichiometry.

Die untere Grenze für den Anteil der problematischen Bestandteile wird durch die benötigten Wachstumsraten festgelegt. Vorzugsweise sind die genannten Prozentzahlen größer als 0,01 Prozent oder größer als 0,001 Prozent.The lower limit for the proportion of problematic constituents is determined by the growth rates required. Preferably, said percentages are greater than 0.01 percent or greater than 0.001 percent.

Enthält das Dielektrikum mehrere problematische Bestandteile, so muss die genannte Bedingung für jeden problematischen Bestandteil eingehalten werden, um die Bildung der Nebenphase zu verhindern.If the dielectric contains several problematic components, the condition mentioned must be for each problematic component be complied with in order to prevent the formation of the secondary phase.

Bei einer Weiterbildung wird das Dielektrikum mit Hilfe eines Abscheideverfahrens erzeugt, bei dem die Prozessgase voneinander getrennt herangeführt werden, wobei mit dem Heranführen von unproblematischem Prozessgas begonnen wird. Die Weiterbildung beruht auf der Überlegung, dass das unproblematische Prozessgas auf der Metallisierung eine dünne Schutzschicht bildet, die die Bildung von störenden Nebenphasen beeinträchtigt oder verhindert. Bei dem Verfahren bildet sich in jedem Zyklus nur eine Atomlage oder nur wenige Atomlagen, weshalb das Verfahren auch als Atomlagen-Abscheidung (Atomic Layer Deposition - ALD) bezeichnet wird. In weiteren Zyklen wird die Schutzwirkung im Vergleich zum ersten Zyklus immer mehr verstärkt, so dass bei einer Ausgestaltung auch wieder andere Abscheideverfahren verwendet werden.In a further development, the dielectric is produced by means of a deposition process, in which the process gases are introduced separately from one another, starting with the introduction of unproblematic process gas. The development is based on the consideration that the unproblematic process gas forms a thin protective layer on the metallization, which impairs or prevents the formation of interfering secondary phases. The process forms only one atomic layer or only a few atomic layers in each cycle, which is why the process is also referred to as atomic layer deposition (ALD). In further cycles, the protective effect is increased more and more in comparison to the first cycle, so that again different deposition methods are used in one embodiment.

Bei der Weiterbildung ist die getrennte Heranführung der Prozessgase auch deshalb besonders wichtig, damit keine Reaktionsprodukte unkontrolliert Ausflocken und zu inhomogenen Atomlagen führen.In the case of the further development, the separate introduction of the process gases is therefore particularly important, so that no reaction products flocculate uncontrollably and lead to inhomogeneous atomic layers.

Bei beiden Alternativen wird die Metallisierung unmittelbar vor dem Erzeugen des Dielektrikums in einem Reinigungsschritt gereinigt, bspw. durch Rücksputtern oder durch einen nasschemischen Reinigungsschritt.In both alternatives, the metallization is cleaned immediately prior to the generation of the dielectric in a cleaning step, for example by back sputtering or by a wet-chemical cleaning step.

Bei der Erfindung wird das Dielektrikum ohne zwischen dem Dielektrikum und der unteren Elektrode angeordnete zusätzliche Barriereschicht aufgebracht. Dies ermöglicht neue Integrationskonzepte, die erheblich einfacher als bisherige Konzepte sind und die unten an Hand der Ausführungsbeispiele näher erläutert werden, insbesondere ein sogenanntes POWER-LIN-Konzept bei dem lineare Kondensatoren ohne zusätzlichen fotolithografischen Schritt zwischen Betriebsspannungsleitungen aus Kupfer in Kupfermetallisierungslagen angeordnet werden.In the invention, the dielectric is applied without an additional barrier layer disposed between the dielectric and the lower electrode. This allows new integration concepts that are considerably simpler than previous concepts and are explained in more detail below with reference to the embodiments, in particular a so-called POWER-LIN concept in which linear capacitors without additional photolithographic step between copper operating voltage lines are arranged in Kupfermetallisierungslagen.

Auch ein sogenanntes PAD-LIN-CAP-Konzept wird möglich, bei dem Kondensatoren ohne zusätzlichen fotolithografischen Schritt zwischen der letzten Kupfer-Metallisierungslage und einer darüber liegenden Aluminiumlage ausgebildet werden, wobei die Aluminiumlage zum Bonden dient.A so-called PAD-LIN-CAP concept is also possible, in which capacitors are formed without an additional photolithographic step between the last copper metallization layer and an overlying aluminum layer, the aluminum layer serving for bonding.

Bei einer Weiterbildung wird das Dielektrikum, d.h. ein elektrisch nicht leitfähiges Material, aus einem Material ausgebildet, das eine Diffusionsbarriere für Kupfer ist und dass der Elektromigration von Kupfer entgegenwirkt. Zusätzliche Schichten zum Erbringen dieser Wirkungen werden nicht abgeschieden, insbesondere keine elektrisch leitfähigen Barriereschichten. Siliziumnitrid ist ein besonders geeignetes Material, da es einfach hergestellt werden kann und sich gut mit den anderen gebräuchlichen Materialien für Halbleiterschaltungen verträgt. Zur Herstellung von Siliziumnitrid wird ein siliziumhaltiges Prozessgas verwendet, das auf Grund des Siliziumanteils problematisch ist. So könnte sich ohne die zusätzliche Maßnahme verstärkt ein Silizid als störende Nebenphase bilden, insbesondere Kupfersilizid. Geeignete siliziumhaltige Prozessgase sind Silan, Disilan, Dichlorsilan, Trichlorsilan, Bis(tertbutylamino)silan bzw. BTBAS oder einem Gasgemisch als mindestens zwei dieser Gase.In a further development, the dielectric, i. an electrically nonconductive material formed of a material that is a diffusion barrier for copper and that opposes the electromigration of copper. Additional layers for providing these effects are not deposited, in particular no electrically conductive barrier layers. Silicon nitride is a particularly suitable material because it can be easily manufactured and is compatible with the other common materials for semiconductor circuits. For the production of silicon nitride, a silicon-containing process gas is used, which is problematic due to the silicon content. Thus, without the additional measure, a silicide could increasingly form as a disturbing secondary phase, in particular copper silicide. Suitable silicon-containing process gases are silane, disilane, dichlorosilane, trichlorosilane, bis (tert-butylamino) silane or BTBAS or a gas mixture as at least two of these gases.

Bei einer Weiterbildung beträgt der Metallisierungsanteil des Kupfers mindestens neunzig Volumenprozent der Metallisierung. Eine direkte Abscheidung eines Dielektrikums auf Kupfer ist erst durch die erfindungsgemäßen Verfahren auf einfache Weise möglich.In one development, the metallization content of the copper is at least ninety percent by volume of the metallization. A direct deposition of a dielectric on copper is only possible by the inventive method in a simple manner.

Die Erfindung betrifft bei einer Ausgestaltung Verfahren zum Ausbilden eines Dielektrikums auf einer Metallisierung, bei dem die Prozessgase, aus denen die Bestandteile des Dielektrikums stammen, so ausgewählt worden sind, dass weder diese Prozessgase noch deren Bestandteile mit dem Kupfer einer Metallisierung eine Nebenphase bilden, welche die elektrischen Eigenschaften des Dielektrikums erheblich beeinträchtigen würde. Die Ausgestaltung geht von der Überlegung aus, das die Bildung von störenden Nebenphasen auch durch eine geeignete Wahl des Materials des Dielektrikums und der Prozessgase verhindert werden kann. Auch bei dem Verfahren gemäß der Ausgestaltung wird das Dielektrikum ohne zwischen dem Dielektrikum und der unteren Elektrode angeordnet zusätzliche Barriereschicht aufgebracht. Dies ermöglicht ebenfalls die oben angesprochenen neuen Integrationskonzepte. Aber auch hochqualitative Dielektrika für andere Einsatzzwecke als in Kondensatoren werden mit dem erfindungsgemäßen Verfahren hergestellt.In one embodiment, the invention relates to a method for forming a dielectric on a metallization, in which the process gases from which the constituents of the dielectric originate have been selected so that neither these process gases nor their constituents form a secondary phase with the copper of a metallization significantly affect the electrical properties of the dielectric would. The embodiment is based on the consideration that the formation of disturbing secondary phases can also be prevented by a suitable choice of the material of the dielectric and the process gases. Also in the method according to the embodiment, the dielectric is applied without an additional barrier layer arranged between the dielectric and the lower electrode. This also allows the above-mentioned new integration concepts. But also high quality dielectrics for other applications than in capacitors are produced by the method according to the invention.

Bei einer Weiterbildung des Verfahrens wird ein Dielektrikum aus Aluminiumnitrid erzeugt. Als Prozessgase werden insbesondere Trimethylaluminium und ein stickstoffhaltiges Gas verwendet. Damit sind weder im Dielektrikum noch im Prozessgas problematische Bestandteile wie Sauerstoff oder Silizium enthalten, die zur Bildung von störenden Nebenphasen führen. Insbesondere kann sich kein Kupfersilizid und kein Kupferoxid bilden.In a further development of the method, a dielectric made of aluminum nitride is produced. In particular, trimethylaluminum and a nitrogen-containing gas are used as the process gases. Thus, neither in the dielectric nor in the process gas problematic components such as oxygen or silicon are included, which lead to the formation of disturbing secondary phases. In particular, no copper silicide and no copper oxide can form.

Bei einer anderem Weiterbildung mit der genannten Materialauswahl wird das Dielektrikum mit Hilfe eines Abscheideverfahrens erzeugt, bei dem die Prozessgase mit Bestandteilen zur Bildung des Dielektrikums voneinander getrennt herangeführt werden, vorzugsweise zyklisch, insbesondere in mindestens fünf oder mindestens zehn Zyklen. Dieses Verfahren wird als Atomlagenabscheidung bezeichnet und führt zu Dielelektrikumschichten mit besonders gleichmäßiger Schichtdicke, insbesondere im Vergleich zu anderen Abschiedverfahren. Bspw. lässt sich Aluminiumnitrid mit der Atomlagenabscheidung in hinreichend gleichmäßiger Schichtdicke abscheiden. Die Dicke des Dielektrikums bzw. des Dielektrikumstapels liegt vorzugsweise im Bereich von drei Nanometern bis fünfzig Nanometern.In another development with the material selection mentioned, the dielectric is produced with the aid of a deposition method, in which the process gases with constituents for forming the dielectric are introduced separately, preferably cyclically, in particular in at least five or at least ten cycles. This process is referred to as atomic layer deposition and leads to Dielelektrikumschichten with particularly uniform layer thickness, especially in comparison to other deposition processes. For example. Aluminum nitride can be deposited with the atomic layer deposition in a sufficiently uniform layer thickness. The thickness of the dielectric or the dielectric stack is preferably in the range of three nanometers to fifty nanometers.

Bei einer Weiterbildung wird ein Prozessgas mit einem Bestandteil, der auch im Dielektrikum enthalten ist, im Vergleich zu mindestens einem anderen Prozessgas, insbesondere im Vergleich zu einem Prozessgas mit einem Bestandteil, der auch im Dielektrikum enthalten ist, weniger stark angeregt. Insbesondere wird das problematische Prozessgas weniger stark angeregt. Dadurch wird erreicht, dass die Bildung der Nebenphasen nicht nur durch die verringerte Konzentration der problematischen Bestandteile sondern auch durch deren verringerten Anregungszustand besonders wirksam verhindert wird. Die zusätzliche Anregung des unproblematischen Gases führt andererseits dazu, dass die problematischen Bestandteile vorrangig mit den angeregten Bestanteilen unter Bildung des Dielektrikums reagieren.In a further development, a process gas with a component which is also contained in the dielectric, in comparison to at least one other process gas, in particular compared to a process gas with a component which is also contained in the dielectric, less strongly excited. In particular, the problematic process gas is less stimulated. This ensures that the formation of the secondary phases is prevented particularly effectively not only by the reduced concentration of the problematic constituents but also by their reduced excitation state. On the other hand, the additional excitation of the unproblematic gas means that the problematic constituents primarily react with the excited constituents with the formation of the dielectric.

Bei einem Atomlagenabscheidungsverfahren führt die Aktivierung des einen Prozessgases zu verstärkten Wechselwirkungen mit der Oberfläche der Metallisierung, insbesondere zu einer gleichmäßigen Anlagerung von Bestandteilen, die dann beim Einlassen des anderen Prozessgases das Dielektrikum bilden.In an atomic layer deposition process, the activation of the one process gas results in increased interactions with the surface of the metallization, in particular, uniform aggregation of constituents, which then forms the dielectric upon admission of the other process gas.

Außerdem würde sowohl bei einer CVD Abscheidung (Chemical Vapor Deposition) als auch bei einer Atomlagenabscheidung eine zu starke Anregung von bestimmten Prozessgasen, bspw. von siliziumhaltigen Gasen, zu der frühzeitigen Zersetzung und damit verbunden auch zu einer unerwünschten Abscheidung führen, bspw. von amorphem bzw. polykristallinem Silizium in der Anregungskammer, bspw. in einer Vorkammer.In addition, both in a CVD deposition (chemical vapor deposition) and in an atomic layer deposition too strong excitation of certain process gases, for example of silicon-containing gases, lead to the early decomposition and thus also to an undesirable deposition, for example of amorphous or polycrystalline silicon in the excitation chamber, for example in an antechamber.

Bei der Erfindung wird das stärker angeregte Prozessgas getrennt von dem weniger stark oder nicht angeregten Prozessgas angeregt in einer von einer Reaktionskammer getrennten Kammer. Verfahren mit getrennter Anregungskammer werden auch als Remote-Plasma-Verfahren bezeichnet. Jedoch wird bei der Atomlagenabscheidung auch die Reaktionskammer zur Anregung verwendet, da sich die Prozessgase zu verschiedenen Zeiten in der Reaktionskammer befinden. Zur Anregung ist insbesondere ein Plasma geeignet, das bspw. durch induktive Einkopplung, durch kapazitive Einkopplung oder auf andere Art erzeugt wird.In the invention, the more excited process gas is excited separately from the less or unexcited process gas in a separate chamber from a reaction chamber. Separate excitation chamber methods are also referred to as remote plasma methods. However, in atomic layer deposition, the reaction chamber is also used for excitation because the process gases are in the reaction chamber at different times. For excitation, in particular a plasma is suitable, which, for example, by inductive coupling, is generated by capacitive coupling or otherwise.

Bei der Erfindung ist das Dielektrikum das Dielektrikum eines Kondensators, insbesondere eines Kondensators mit zwei metallischen Elektroden, zwischen denen das Dielektrikum angeordnet ist. Bei einer nächsten Weiterbildung wird das gesamte Dielektrikum des Kondensators mit einem erfindungsgemäßen Verfahren oder einer seiner Weiterbildungen und damit mit einer kleinen Anzahl von verschiedenen Verfahrensschritten hergestellt.In the invention, the dielectric is the dielectric of a capacitor, in particular a capacitor with two metallic electrodes, between which the dielectric is arranged. In a next development, the entire dielectric of the capacitor is produced with a method according to the invention or one of its developments and thus with a small number of different method steps.

Bei einer alternativen Weiterbildung wird das Dielektrikum als Schichtstapel hergestellt. So wird nach einem erfindungsgemäßen Verfahren mindestens eine weitere dielektrischen Schicht angrenzend an die Dielektrikumschicht erzeugt, wobei die weitere Schicht eine andere Materialzusammensetzung hat und/oder mit einem anderen Verfahren und/oder mit anderen Prozessparametern erzeugt wird als die Dielektrikumschicht. Nachdem die Bildung von Nebenphasen anfangs verhindert worden ist, wirkt das bereits aufgebrachte Dielektrikum als Schutzschicht. Materialien mit einer größeren relativen Dielektrizitätskonstante als das zuerst aufgebrachte Dielektrikum lassen sich unproblematisch aufbringen, z.B. Aluminiumoxid, insbesondere Aluminiumtrioxid Al2O3, Aluminiumoxynitrid, Tantaloxid, insbesondere Tantalpentoxid Ta2O5, Tantaloxynitrid, Hafniumoxid, Bariumstrontiumtitanat o.ä. Aluminiumoxide lassen sich besonders einfach ausgehend von einer Aluminiumnitridschicht bilden. Insbesondere kommen aber auch die Materialien Aluminiumnitrid und Siliziumnitrid zum Einsatz, sowohl bei einer Grundschicht aus Aluminiumnitrid aber auch bei einer Grundschicht aus Siliziumnitrid.In an alternative development, the dielectric is produced as a layer stack. Thus, according to a method of the invention, at least one further dielectric layer is produced adjacent to the dielectric layer, wherein the further layer has a different material composition and / or is produced with a different method and / or process parameters than the dielectric layer. After the formation of secondary phases has initially been prevented, the already applied dielectric acts as a protective layer. Materials with a higher relative dielectric constant than the first applied dielectric can be applied without any problems, for example aluminum oxide, in particular aluminum trioxide Al 2 O 3 , aluminum oxynitride, tantalum oxide, in particular tantalum pentoxide Ta 2 O 5 , tantalum oxynitride, hafnium oxide, barium strontium titanate or the like. Aluminum oxides are particularly easy to form starting from an aluminum nitride layer. In particular, however, the materials aluminum nitride and silicon nitride are used, both in a base layer of aluminum nitride but also in a base layer of silicon nitride.

Im ersten Abscheidungsschritt wird bspw. eine Abscheidebedingung gewählt, welche insbesondere keine Nebenphasen erzeugt und ein gute Barriereschicht ergibt, z.B. mit einer Dicke von 5 bis 10 nm. Anschließend wird in einem zweiten Abscheideschritt die Abscheidung auf beste Dielektrikumseigenschaften optimiert, bspw. auf ein stöchiometrisches Verhältnis der Verbindungsbestandteile im Dielektrikum.In the first deposition step, for example, a deposition condition is selected, which in particular does not generate secondary phases and gives a good barrier layer, e.g. with a thickness of 5 to 10 nm. Subsequently, in a second deposition step, the deposition is optimized for best dielectric properties, for example, to a stoichiometric ratio of the compound components in the dielectric.

Überraschender Weise wurde bei einer Weiterbildung festgestellt, dass sich die elektrischen Eigenschaften des Dielektrikums des Kondensators weiter verbessern, wenn auch ein obere Schicht des Dielektrikumstapel mit einem erfindungsgemäßen Verfahren oder einer seiner Weiterbildungen ausgebildet wird.Surprisingly, it has been found in a development that the electrical properties of the dielectric of the capacitor continue to improve, even if an upper layer of the dielectric stack is formed with a method according to the invention or one of its further developments.

Im Folgenden werden Ausführungsbeispiele der Erfindung an Hand der beiliegenden Zeichnungen erläutert. Darin zeigen:

Figur 1
eine Anlage zum Durchführen eines RPE-CVD Si3N4 Verfahrens,
Figur 2
Verfahrensschritte bei der Durchführung eines RPE-ALCVD Si3N4 Verfahrens bzw. eines RPE-ALCVD AlN Verfahrens,
Figur 3
eine Kondensatoranordnung, die mit zwei zusätzlichen Maskenschritten erzeugt worden ist,
Figur 4
eine Kondensatoranordnung, die mit einem zusätzlichen Maskenschritten erzeugt worden ist, und
Figur 5
eine Kondensatoranordnung, die keinen zusätzlichen Maskenschritten benötigt.
In the following, embodiments of the invention will be explained with reference to the accompanying drawings. Show:
FIG. 1
a plant for carrying out an RPE-CVD Si 3 N 4 process,
FIG. 2
Process steps in carrying out an RPE-ALCVD Si 3 N 4 process or an RPE-ALCVD AlN process,
FIG. 3
a capacitor arrangement which has been produced with two additional mask steps,
FIG. 4
a capacitor arrangement which has been produced with an additional mask steps, and
FIG. 5
a capacitor arrangement that does not require additional mask steps.

Figur 1 zeigt einen Prozessreaktor 10 mit dessen Hilfe sich u.a. ein RPE-CVD (Remote Plasma Enhanced Chemical Vapor Deposition) Si3N4 Verfahren durchführen lässt. Jedoch lassen sich mit dem Prozessreaktor 10 auch die unten an Hand der Figur 2 erläuterten Atomic-Layer-Verfahren durchführen. FIG. 1 leaves showing a process reactor 10 by means of which, inter alia, a RPE-CVD (Remote Plasma Enhanced Chemical Vapor Deposition) Si 3 N 4 perform method. However, with the process reactor 10 and the bottom of the hand FIG. 2 explained atomic layer method.

Der Prozessreaktor 10 enthält eine Prozesskammer 15, in der auf einer Substrat-Elektrode 11 ein zu beschichtendes Substrat 12 angeordnet ist, bspw. ein Halbleiterwafer. Oberhalb der zu beschichtenden Oberseite des zu beschichtenden Substrates 12 ist an der Decke der Prozesskammer 15 eine Einlass-Elektrode 14 angeordnet, die eine Vielzahl von kleinen Durchtrittsöffnungen für die Prozessgase hat.The process reactor 10 contains a process chamber 15, in which a substrate 12 to be coated is arranged on a substrate electrode 11, for example a semiconductor wafer. Above the surface to be coated of the substrate 12 to be coated, an inlet electrode 14 is arranged on the ceiling of the process chamber 15, which has a plurality of small passage openings for the process gases.

Zwischen den Elektroden 11 und 14 wird eine Hochfrequenzspannung angelegt, wenn ein Plasma in der Prozesskammer erzeugt werden soll, bspw. im Verlauf der unten an Hand der Figuren zwei erläuterten Verfahren.A high-frequency voltage is applied between the electrodes 11 and 14 when a plasma is to be generated in the process chamber, for example in the course of the two explained below with reference to the figures two methods.

Ist dagegen eine separate Zersetzung und Anregung von Prozessgasen erforderlich, so lassen sich die Prozessgase über Zuleitungen 17 getrennt zuführen. Jeder Zuleitung 17 ist eine Energiequelle 16 zugeordnet, bspw. ein Mikrowellensender, mit deren Hilfe in der betreffenden Zuleitung ein Plasma 16a gezündet werden kann. Die Zuleitungen 17 münden in einer Vorkammer 13, die über die Durchtrittsöffnungen in der Einlass-Elektrode 14 mit der Prozesskammer 15 verbunden ist.If, on the other hand, separate decomposition and excitation of process gases are required, the process gases can be supplied separately via supply lines 17. Each supply line 17 is associated with an energy source 16, for example a microwave transmitter, by means of which a plasma 16a can be ignited in the respective supply line. The supply lines 17 open into an antechamber 13, which is connected via the passage openings in the inlet electrode 14 to the process chamber 15.

Soll nur ein Prozessgas angeregt werden, so ist eine Zuleitung 17 und eine Energiequelle ausreichend. Die Zuleitungen werden bspw. aus Keramikmaterial hergestellt.If only one process gas is to be excited, a supply line 17 and one energy source are sufficient. The leads are, for example, made of ceramic material.

Außer Prozessgasen werden bei anderen Ausführungsbeispielen auch inerte Gase in den Zuleitungen 17 angeregt, bspw. Argon oder Helium. Zum Heranführen von nicht zur Anregung vorgesehenen Prozessgasen wird eine Zuführung 18 verwendet, die ebenfalls in die Vorkammer 13 mündet. Reaktionsprodukte und nicht verbrauchte Prozessgase werden mit Hilfe einer Pumpe 20 aus der Prozesskammer 15 abgesaugt.In addition to process gases, inert gases are also excited in the feed lines 17, for example argon or helium, in other embodiments. For supplying non-excitation process gases, a supply 18 is used, the also opens into the pre-chamber 13. Reaction products and unused process gases are extracted by means of a pump 20 from the process chamber 15.

Bspw. werden die Folgenden Betriebsparameter verwendet:

  • Mikrowellenleistung einer Energiequelle 16 zwischen 700 und 850 Watt,
  • Druck in der Prozesskammer 15 zwischen 5 Pa und 100 Pa,
  • Hochfrequenzleistung zwischen 0,02 bis 0,1 W/cm2,
  • stickstoffhaltiger Gasfluss 200 bis 400 sccm/min,
  • Silanfluss 10 bis 30 sccm/min.
For example. the following operating parameters are used:
  • Microwave power of an energy source 16 between 700 and 850 watts,
  • Pressure in the process chamber 15 between 5 Pa and 100 Pa,
  • High frequency power between 0.02 and 0.1 W / cm 2 ,
  • nitrogen-containing gas flow 200 to 400 sccm / min,
  • Silane flow 10 to 30 sccm / min.

Zur Abscheidung von Siliziumnitrid nach dem erfindungsgemäßen Verfahren wird bspw. Stickstoff durch die Zuleitungen 17 eingelassen und mit Hilfe der Remote-Plasma 16a angeregt, siehe Pfeile 22. Silan SiH4 wird durch die Zuleitung 18 ohne Anregung eingeleitet, siehe Pfeil 24. Angeregte Stickstoffradikale 26 und Silanmoleküle 28 reagieren auf der heißen Oberfläche des Substrates 12 zu Siliziumnitrid bei Temperaturen zwischen 200 °C und 500 °C. In der Prozesskammer 15 wird bei dem Ausführungsbeispiel kein Plasma gezündet. Bei einer Ausgestaltung wird in der Prozesskammer 15 ein leistungsschwaches Plasma mit der genannten Hochfrequenzleistung gezündet, so dass auch das Silan schwach angeregt wird.For the deposition of silicon nitride according to the inventive method, for example, nitrogen is introduced through the supply lines 17 and excited with the aid of the remote plasma 16a, see arrows 22. Silane SiH 4 is introduced through the supply line 18 without excitation, see arrow 24. Excited nitrogen radicals 26 and silane molecules 28 react on the hot surface of the substrate 12 to silicon nitride at temperatures between 200 ° C and 500 ° C. In the process chamber 15 no plasma is ignited in the embodiment. In one embodiment, a low-power plasma is ignited in the process chamber 15 with said high-frequency power, so that the silane is also weakly excited.

Das Verhältnis zwischen Silan und Stickstoff, wird so eingestellt, wie in der Beschreibungseinleitung angegeben, um die Bildung von Kupfersilizid zu vermeiden.The ratio between silane and nitrogen is adjusted as indicated in the introduction to avoid formation of copper silicide.

Figur 2 zeigt Verfahrensschritte bei der Durchführung eines RPE-ALCVD (Remote Plasma Enhanced Atomic Layer Chemical Vapor Deposition) Si3N4 Verfahrens bzw. eines RPE-ALCVD AlN Verfahrens. Zur Durchführung der Verfahren wird bspw. der Prozessreaktor 10 verwendet. FIG. 2 shows process steps in the implementation of an RPE-ALCVD (Remote Plasma Enhanced Atomic Layer Chemical Vapor Deposition) Si 3 N 4 method or an RPE-ALCVD AlN method. For carrying out the method, for example, the process reactor 10 is used.

Zunächst wird das RPE-ALCVD Si3N4 Verfahren erläutert. Das Verfahren beginnt in einem Verfahrensschritt 50 mit einem Vorreinigungsschritt, z.B. einem Rücksputterschritt. In einem dem Verfahrensschritt 50 nachfolgenden Verfahrensschritt 52 wird anschließend über die Zuleitungen 17 angeregtes Stickstoffgas in die Prozesskammer eingleitet, wobei in der Prozesskammer 15 kein weiteres Prozessgas enthalten ist, insbesondere kein siliziumhaltiges Prozessgas.
Danach wird in einem folgende Verfahrensschritt 54 die Prozesskammer 15 mit einem inerten Gas gespült, bspw. mit Argon. Das Argon wird bspw. durch eine nicht dargestellte Zuleitung in die Prozesskammer eingeleitet. Reste des stickstoffhaltigen Gases werden mit Hilfe der Pumpe 10 vollständig aus der Prozesskammer 15 abgesaugt.
First, the RPE-ALCVD Si 3 N 4 method will be explained. The method begins in a method step 50 with a Pre-cleaning step, eg a back sputtering step. In a method step 52 following the method step 50, nitrogen gas excited subsequently via the supply lines 17 is subsequently introduced into the process chamber, wherein no further process gas is contained in the process chamber 15, in particular no silicon-containing process gas.
Thereafter, in a following method step 54, the process chamber 15 is purged with an inert gas, for example with argon. The argon is, for example, introduced through a supply line, not shown, in the process chamber. Residues of the nitrogen-containing gas are completely sucked out of the process chamber 15 with the aid of the pump 10.

In einem nächsten Verfahrensschritt 56 wird nach dem Spülen ein silanhaltiges Prozessgas, z.B. Dichlorsilan, über die Zuleitung 18 eingeleitet, wobei wiederum kein weiteres Prozessgas in der Prozesskammer 15 enthalten ist. Das Dichlorsilan reagiert mit Stickstoff, der sich an der Oberfläche des Substrates 12 im Verfahrensschritt 52 angelagert hat zu einer einlagigen Schicht aus Siliziumnitrid. Das silanhaltige Prozessgas wird nicht angeregt. Bei einem anderen Ausführungsbeispiel wird das silanhaltige Prozessgas schwach angeregt.In a next process step 56, after rinsing, a silane-containing process gas, e.g. Dichlorosilane, introduced via the supply line 18, which in turn no further process gas is contained in the process chamber 15. The dichlorosilane reacts with nitrogen which has attached to the surface of the substrate 12 in step 52 to a single layer of silicon nitride. The silane-containing process gas is not excited. In another embodiment, the silane-containing process gas is weakly excited.

Nach dem Verfahrensschritt 56 wird in einem Verfahrensschritt 58 wieder gespült. Dabei wird so vorgegangen, wie oben für den Verfahrensschritt 54 erläutert.After the method step 56, the material is rinsed again in a method step 58. The procedure is as explained above for method step 54.

Ist das Dichlorsilan vollständig aus der Reaktionskammer 15 abgesaugt, so wird in einem Verfahrensschritt 60 geprüft, ob die vorgegebene Zyklenanzahl erreicht sind. Im Ausführungsbeispiel sollen 30 Zyklen durchlaufen werden, wobei sich eine Schichtdicke von bspw. drei Nanometern ergibt. Sollen weitere Zyklen ausgeführt werden, so folgt nach dem Verfahrensschritt 60 unmittelbar der Verfahrensschritt 52. Das Verfahren befindet sich nun in einer Schleife aus den Verfahrensschritten 52 bis 60, bei deren Durchlaufen abwechselnd Stickstoff und Dichlorsilan in die Prozesskammer 15 eingeleitet werden, so dass mehrere Lagen Siliziumnitrid auf dem Substrat 12 gebildet werden.If the dichlorosilane is completely sucked out of the reaction chamber 15, it is checked in a method step 60 whether the predetermined number of cycles has been reached. In the exemplary embodiment, 30 cycles are to be run through, resulting in a layer thickness of, for example, three nanometers. If further cycles are to be carried out, process step 52 follows directly after process step 60. The process is now in a loop from process steps 52 to 60, in which nitrogen and dichlorosilane are alternately introduced into the process chamber 15 a plurality of layers of silicon nitride are formed on the substrate 12.

Die Schleife aus den Verfahrensschritten 52 bis 60 wird im Verfahrensschritt 60 erst dann verlassen, wenn die vorgegebene Zyklenanzahl erreicht worden ist. Ist die vorgegebene Zyklenanzahl erreicht, so folgt unmittelbar nach dem Verfahrensschritt 60 ein Verfahrensschritt 62, in dem das Verfahren zum Erzeugen des Dielektrikums beendet wird. Optional werden weitere Schichten eines Dielektrikumstapel aus voneinander verschiedenen Schichten mit anderen Verfahren oder mit anderen Prozessparametern erzeugt.The loop from the method steps 52 to 60 is only left in method step 60 when the predetermined number of cycles has been reached. Once the predetermined number of cycles has been reached, immediately after method step 60, a method step 62 follows in which the method for producing the dielectric is terminated. Optionally, further layers of a dielectric stack are produced from mutually different layers with different methods or with different process parameters.

Durch das an Hand der Figur 2 erläuterte Verfahren lässt sich eine mehrlagige Siliziumnitridschicht in guter Qualität bei Temperaturen im Bereich von 200 bis 500 Grad Celsius abscheiden.By the hand of the FIG. 2 As explained method can be deposited a multi-layer silicon nitride layer in good quality at temperatures in the range of 200 to 500 degrees Celsius.

Im folgenden wird das RPE-ALCVD AlN Verfahren erläutert, das bis auf die folgenden Unterschiede wie das RPE-ALCVD Si3N4 Verfahren durchgeführt wird:

  • an Stelle des silanhaltigen Prozessgases wird im Verfahrensschritt 56 ein Aluminiumhaltiges Prozessgas über die Zuleitung 18 eingeleitet, z.B. Trimethylaluminium.
The following is an explanation of the RPE-ALCVD AlN process, except for the following differences as the RPE-ALCVD Si 3 N 4 process:
  • Instead of the silane-containing process gas, in process step 56, an aluminum-containing process gas is introduced via the feed line 18, for example trimethylaluminum.

Es lässt sich eine mehrlagige Aluminiumnitridschicht in guter Qualität herstellen, d.h. mit geringer Defektdichte und hoher Barrierewirkung.It is possible to produce a multi-layer aluminum nitride layer of good quality, i. with low defect density and high barrier effect.

Bei anderen Ausführungsbeispielen wird anschließend mindestens eine weitere Dielektrikumschicht eines Dielektrikumstapels erzeugt, wobei jedoch ein herkömmliches Verfahren verwendet wird. Sehr gute Ergebnis wurden mit einem Schichtstapel erreicht, der in der angegebenen Reihenfolge eine RPE-CVD Si3N4- Schicht, eine ALD-Schicht (Atomic Layer Deposition) aus Al2O3 und eine RPE-CVD Si3N4- Schicht enthält.In other embodiments, at least one further dielectric layer of a dielectric stack is subsequently produced, but a conventional method is used. Very good results were achieved with a layer stack comprising, in the order given, an RPE-CVD Si 3 N 4 layer, an ALD layer (atomic layer deposition) of Al 2 O 3 and an RPE-CVD Si 3 N 4 layer contains.

Figur 3 zeigt eine Kondensatoranordnung 100, die mit zwei zusätzlichen Maskenschritten erzeugt worden ist. Die Kondensatoranordnung 100 enthält eine Bodenelektrode 102 aus Kupfer bzw. aus einer Kupferlegierung mit einem Legierungsanteil anderer Stoffe als Kupfer von weniger als fünf Prozent. Die Bodenelektrode 102 ist in einer ebenen Metallisierungslage 104 enthalten. Die Metallisierungslage 104 wird durch eine Diffusions-Barrierenschicht 106 abgeschlossen, die mit einem üblichen Verfahren abgeschieden worden ist. Obwohl in Figur 3 nicht dargestellt, ist die Bodenelektrode 102 allseitig von einer Barriereschicht umgeben. FIG. 3 shows a capacitor arrangement 100 which has been produced with two additional mask steps. The capacitor assembly 100 includes a bottom electrode 102 made of copper or a copper alloy with an alloy content of other than copper of less than five percent. The bottom electrode 102 is contained in a planar metallization layer 104. The metallization layer 104 is terminated by a diffusion barrier layer 106 which has been deposited by a conventional method. Although in FIG. 3 not shown, the bottom electrode 102 is surrounded on all sides by a barrier layer.

Die Kondensatoranordnung enthält außerdem in einer substratferneren Metallisierungslage 108 mit zunehmendem Abstand vom Substrat:

  • eine elektrisch isolierende Dielektrikumschicht 110 aus Siliziumnitrid Si3N4 oder aus Aluminiumnitrid AlN, bzw. aus einem Schichtstapel,
  • eine elektrisch leitfähige Deckelektrode 112, bspw. aus Titannitrit TiN, Tantalnitrid TaN o.ä.,
  • eine Siliziumnitridschicht Si3N4.
The capacitor assembly also includes in a substrate-remote metallization layer 108 as the distance from the substrate increases:
  • an electrically insulating dielectric layer 110 of silicon nitride Si 3 N 4 or of aluminum nitride AlN, or of a layer stack,
  • an electrically conductive cover electrode 112, for example of titanium nitride TiN, tantalum nitride TaN or the like,
  • a silicon nitride layer Si 3 N 4 .

Die Metallisierungslage 108 wird durch eine elektrisch isolierende Barriereschicht 120 abgeschlossen. Eine über der Metallisierungslage 108 angeordnete Metallisierungslage 122 enthält eine Leitbahn 124, z.B. ein Kupferleitbahn. Von der Leitbahn 124 führt ein Via 126 zur Deckelektrode 112. Die Metallisierungslagen 104, 108 und 122 enthalten jeweils ein Intralagendielektrikum 130, 132 bzw. 134 zur elektrischen Isolierung von Leitbahnen innerhalb einer Metallisierungslage 104, 108 und 122. Bspw. wird Siliziumdioxid oder ein low-k-Dielektrikum als Material für das Intralagendielektrikum 130, 132 bzw. 134 verwendet.The metallization layer 108 is terminated by an electrically insulating barrier layer 120. A metallization layer 122 disposed over the metallization layer 108 includes a conductive trace 124, e.g. a copper conductor. From the interconnect 124, a via 126 leads to the cover electrode 112. The metallization layers 104, 108, and 122 each contain an intraluminal dielectric 130, 132, and 134, respectively, for electrical isolation of interconnects within a metallization layer 104, 108, and 122. For example. For example, silicon dioxide or a low-k dielectric is used as the material for the intraluminal dielectric 130, 132, and 134, respectively.

Bis zum Aufbringen der Barriereschicht 106 wird wie bisher üblich vorgegangen. Anschließend wird jedoch eine erste Teilschicht des Intralagendielektrikums 132 aufgebracht, z.B. in einer Schichtdicke, die kleiner als ein Drittel der endgültigen Dicke des Intralagendielektrikums 132 ist. In einem ersten zusätzlichen fotolithografischen Schritt wird die Lage einer Aussparung 140 festgelegt, in der der Kondensator 100 erzeugt werden soll. Die Aussparung 140 wird nach dem Belichten und Entwickeln eines Resists geätzt, bspw. mit eine RIE-Verfahren (Reaktiv Ion Etching). Die Aussparung 140 durchdringt nach dem Ätzen die erste Teilschicht des Intralagendielektrikum 132 und die Barriereschicht 106, so dass der Boden der Aussparung 140 auf der Bodenelektrode 102 liegt. Die Bodenelektrode 102 ragt allseitig über den Boden der Aussparung 140 hinaus.Until the application of the barrier layer 106, the procedure is as usual. Subsequently, however, a first sub-layer of the intralagum dielectric 132 is applied, eg in FIG a layer thickness that is less than one third of the final thickness of the intraluminal dielectric 132. In a first additional photolithographic step, the position of a recess 140 is determined, in which the capacitor 100 is to be generated. The recess 140 is etched after exposure and development of a resist, for example with an RIE (Reactive Ion Etching) method. The recess 140, after etching, penetrates the first sublayer of the intraluminal dielectric 132 and the barrier layer 106 so that the bottom of the recess 140 lies on the bottom electrode 102. The bottom electrode 102 projects on all sides beyond the bottom of the recess 140.

Anschließend wird die Dielektrikumschicht 110 mit einem der an Hand der Figuren 1 und 2 erläuterten Verfahren ganzflächig abgeschieden. Gegebenenfalls werden danach weitere Teilschichten der Dielektrikumschicht 110 aus anderen Materialien oder mit anderen Verfahren erzeugt.Subsequently, the dielectric layer 110 with one of the hand on the Figures 1 and 2 explained method deposited over the entire surface. Optionally, further sub-layers of the dielectric layer 110 are then produced from other materials or by other methods.

Danach wird die Deckelektrodenschicht 112 ganzflächig abgeschieden. Optional folgt die ganzflächig Abscheidung der Siliziumnitridschicht 114. Die Abscheidung der Schichten 110 bis 114 ist konform.Thereafter, the cover electrode layer 112 is deposited over the entire surface. Optionally, the entire surface deposition of the silicon nitride layer 114 follows. The deposition of the layers 110 to 114 is compliant.

Danach wird ein zweiter zusätzlicher fotolithografischer Schritt zum Festlegen der Lage des Randes der Deckelektrode 112 durchgeführt. Nach dem Belichten und Entwickeln eines Resists wird geätzt, wobei auf der unteren Teilschicht des Intralagendielektrikums 132 gestoppt wird. Im Ausführungsbeispiel liegt der Rand der Deckelektrode 112 vollständig außerhalb der Aussparung 140 und hat einen Umriss, der dem Umriss der Bodenelektrode 102 entspricht.Thereafter, a second additional photolithographic step for determining the position of the edge of the cover electrode 112 is performed. After exposing and developing a resist, etching is stopped while stopping on the lower sublayer of the intra-valley dielectric 132. In the exemplary embodiment, the edge of the cover electrode 112 lies completely outside the recess 140 and has an outline that corresponds to the outline of the bottom electrode 102.

Anschließend wird die noch fehlende Teilschicht des Intralagendielektrikum 132 abgeschieden. Nach einem optionalen Planarisierungsschritt wird dann gemäß bekannter Verfahrenschritte weiter prozessiert, wobei u.a. auch das Via 126 erzeugt wird.Subsequently, the still missing partial layer of the intraluminal dielectric 132 is deposited. After an optional planarization step is then according to known method steps further processed, among other things, the Via 126 is generated.

Figur 4 zeigt eine Kondensatoranordnung 200, die mit nur einem zusätzlichen Maskenschritt erzeugt worden ist, in einem Querschnitt. Ein Substrat mit einer Vielzahl von Halbleiterbauelementen, z.B. von Transistoren, liegt unterhalb der dargestellten Anordnung. Eine untere, vorzugsweise ebene, Metallisierungslage 201 enthält zwischen nichtleitenden Diffusionsbarrieren 202 Leiterbahnen zum lateralen Stromtransport, z.B. eine Leiterbahn 203. Über ein Via 204 zum vertikalen Stromtransport ist die Leiterbahn 203 mit einer in einer zweiten Metallisierungslage 205 angeordneten unteren Elektrode 206 der Kondensatoranordnung 200 verbunden. Im Ausführungsbeispiel befindet sich in der Metallisierungsebene 205 links neben der Elektrode 206 eine Leitbahn 208. Die untere Elektrode 206 und die Leitbahn 208 sind in ein Zwischendielektrikum 209 eingebettet, um sie gegeneinander zu isolieren, bspw. in Siliziumdioxid. Ein Zwischendielektrikum 210 isoliert dagegen die Leitbahnen 203 der unteren Metallisierungslage 203 voneinander. FIG. 4 shows a capacitor assembly 200, which has been produced with only one additional mask step, in a cross section. A substrate having a multiplicity of semiconductor components, eg of transistors, lies below the illustrated arrangement. A lower, preferably planar, metallization layer 201 contains conductor tracks for lateral current transport between nonconductive diffusion barriers 202, eg a conductor track 203. Via conductor 204 for vertical current transport, conductor track 203 is connected to a lower electrode 206 of capacitor arrangement 200 arranged in a second metallization layer 205. In the exemplary embodiment, in the metallization level 205 to the left of the electrode 206, there is a conductive track 208. The lower electrode 206 and the conductive track 208 are embedded in an intermediate dielectric 209 in order to isolate them from one another, for example in silicon dioxide. In contrast, an intermediate dielectric 210 insulates the interconnects 203 of the lower metallization layer 203 from one another.

Auf der unteren Elektrode 206 ist ein Kondensatordielektrikum 211 angeordnet, bspw. ein einlagiges oder ein mehrlagiges Dielektrikum. Auf dem Kondensatordielektrikum 211 ist eine obere Elektrode 212 angeordnet. Das Kondensatordielektrikum 211 hat im Bereich der oberen Elektrode 212 eine Dicke, die größer ist als die Dicke einer Barriereschicht 207, die in der gleichen Ebene wie das Kondensatordielektrikum 211 angeordnet ist.On the lower electrode 206, a capacitor dielectric 211 is arranged, for example a single-layer or a multi-layered dielectric. On the capacitor dielectric 211, an upper electrode 212 is disposed. The capacitor dielectric 211 has, in the region of the upper electrode 212, a thickness which is greater than the thickness of a barrier layer 207 which is arranged in the same plane as the capacitor dielectric 211.

Die obere Elektrode 212 und die Leitbahn 208 sind über Vias 213 mit Leitbahnen 214 in einer dritten Metallisierungslage 215 elektrisch leitfähig verbunden, die ein Zwischendielektrikum 216 enthält. Oberhalb der Metallisierungslage 215 befinden eine nichtleitende Diffusionsbarriere 217 und weitere Passivierungsschichten 218a und 218b.Top electrode 212 and interconnect 208 are electrically conductively connected via vias 213 to interconnects 214 in a third metallization layer 215 that includes an intermediate dielectric 216. Above the metallization layer 215 are a non-conductive diffusion barrier 217 and further passivation layers 218a and 218b.

Die Leitbahnen 203, 208, und 214, die untere Elektrode 206 und die Vias 204, 213 sind aus einer Kupferlegierung oder aus reinem Kupfer gefertigt, bspw. mit Hilfe eines dualen-Damaszen-Verfahrens. Dabei werden in die mit Kupfer zu füllenden Gräben bzw. Löchern zuvor bspw. leitende Barriereschichten 219, 220 bzw. 221 aus Titannitrid eingebracht.The conductive lines 203, 208, and 214, the lower electrode 206 and the vias 204, 213 are made of a copper alloy or of pure copper, for example by means of a dual damascene method. Here, for example, conductive barrier layers 219, 220 or 221 made of titanium nitride are introduced into the trenches or holes to be filled with copper.

Die Diffusionsbarrieren 202, 207, 217, das Kondensatordielektrikum 211 und die Passivierungsschicht 218b bestehen im Ausführungsbeispiel aus Siliziumnitrid Si3N4. Die Passivierungsschicht 218a besteht im Ausführungsbeispiel aus Siliziumdioxid.The diffusion barriers 202, 207, 217, the capacitor dielectric 211 and the passivation layer 218b consist in the exemplary embodiment of silicon nitride Si 3 N 4 . The passivation layer 218a consists in the exemplary embodiment of silicon dioxide.

Abweichungen vom bekannten dualen Damaszen-Verfahren ergeben sich bei der Herstellung des Kondensators 200. Nach dem Planarisieren der Metallisierungslage 205, bspw. mit einem chemisch mechanischen Polierverfahren, wird ganzflächig Siliziumnitrid für das Kondensatordielektrikum 211 und für die Diffusionsbarriere 207 abgeschieden. Dabei wird ein Verfahren verwendet, wie es oben an Hand der Figuren 1 und 2 erläutert worden ist. Bei einem alternativen Ausführungsbeispiel wird an Stelle des Siliziumnitrids Aluminiumnitrid als Material für die Barriereschicht 207 und das Kondensatordielektrikum 211 verwendet und nach dem oben an Hand der Figur 2 erläuterten Verfahren aufgebracht.Deviations from the known dual damascene method result in the production of the capacitor 200. After the planarization of the metallization layer 205, for example with a chemical mechanical polishing method, silicon nitride is deposited over the whole area for the capacitor dielectric 211 and for the diffusion barrier 207. In this case, a method is used, as described above with reference to the Figures 1 and 2 has been explained. In an alternative embodiment, instead of the silicon nitride, aluminum nitride is used as the material for the barrier layer 207 and the capacitor dielectric 211, and as described above with reference to FIGS FIG. 2 explained method applied.

Nach dem Abscheiden des Materials für die Barriereschicht 207 bzw. für das Kondensatordielektrikum 211 wird ganzflächig eine metallische Schicht zur Ausbildung der Elektrode 212 abgeschieden, bspw. eine Titannitridschicht. Alternativ wird die Elektrode 212 als Schichtstapel ausgebildet. Anschließend wir ein zusätzlicher fotolithografischer Schritt zum festlegen des Randes der Elektrode 212 durchgeführt. Nach dem Belichten und Entwickeln eines Resists wird geätzt, wobei auf der Barriereschicht 207 mit leichter Überätzung gestoppt wird. Die weitere Prozessierung erfolgt wieder nach dem bekannten dualen Damaszen-Verfahren.After the deposition of the material for the barrier layer 207 or for the capacitor dielectric 211, a metallic layer is deposited over the whole area to form the electrode 212, for example a titanium nitride layer. Alternatively, the electrode 212 is formed as a layer stack. Subsequently, an additional photolithographic step is performed to define the edge of the electrode 212. After exposing and developing a resist, it is etched, stopping on the barrier layer 207 with light overetching. The further processing takes place again according to the known dual Damascus method.

Bei einem alternativen Ausführungsbeispiel wird auf die Elektrode noch eine Siliziumnitridschicht aufgebracht, die u.a. bei der Ätzung der Vias 213 als Ätzstopp dient. An Stelle von mehren Vias zum Anschluss einer Elektrode 206 bzw. 212 wird bei einem anderen Ausführungsbeispiel nur ein Via verwendet. Auch die untere Elektrode 206 lässt sich mit mehreren Vias bzw. auch von "oben" her anschließen, d.h. von einer dem Halbleitersubstrat abgewandten Seite.In an alternative embodiment, a silicon nitride layer is applied to the electrode, which i.a. during the etching of the vias 213 serves as an etch stop. Instead of multiple vias for connecting one electrode 206 or 212, in another embodiment, only one via is used. The lower electrode 206 can also be connected with a plurality of vias or "from above", i. from a side facing away from the semiconductor substrate.

Figur 5 zeigt Kondensatoranordnungen, die keinen zusätzlichen Maskenschritt benötigen. Eine integrierte Schaltungsanordnung 310 enthält in einem Siliziumsubstrat 312 eine Vielzahl integrierter Halbleiter-Bauelemente, die jedoch in Figur 5 nicht dargestellt sind. Die im Siliziumsubstrat 312 angeordneten Bauelemente bilden zwei räumlich getrennte Bereiche, nämlich einen Analogteil 314 und einen Digitalteil 316. Im Analogteil 314 werden hauptsächlich analoge Signale bearbeitet, d.h. Signale, die einen kontinuierlichen Wertebereich haben. Im Digitalteil 316 werden dagegen hauptsächlich digitale Signale bearbeitet, d.h. Signale, die beispielsweise nur zwei Werte haben, die zwei Schaltzuständen zugeordnet sind. FIG. 5 shows capacitor arrays that do not require an additional masking step. An integrated circuit 310 includes a plurality of semiconductor integrated devices in a silicon substrate 312, but which are incorporated in FIG FIG. 5 are not shown. The components arranged in the silicon substrate 312 form two spatially separated regions, namely an analog part 314 and a digital part 316. In the analog part 314, mainly analog signals are processed, ie signals which have a continuous value range. In digital part 316, on the other hand, mainly digital signals are processed, ie signals having, for example, only two values associated with two switching states.

Die Schaltungsanordnung 310 enthält oberhalb des Siliziumsubstrates 312 außerdem mindestens vier Metallisierungslagen, im Ausführungsbeispiel neun Metalllagen 320 bis 334, zwischen denen keine weiteren Metalllagen sondern Isolierschichten angeordnet sind. Die Metalllagen 320 bis 334 sind jeweils in einer Ebene angeordnet. Die Ebenen der Metalllagen 320 bis 334 sind parallel zueinander und auch parallel zur Hauptfläche des Siliziumsubstrats 312 angeordnet. Die Metalllagen 320 bis 334 erstrecken sich jeweils sowohl im Analogteil 314 als auch im Digitalteil 316.The circuit arrangement 310 also contains at least four metallization layers above the silicon substrate 312, in the exemplary embodiment nine metal layers 320 to 334, between which no further metal layers but insulating layers are arranged. The metal layers 320 to 334 are each arranged in a plane. The planes of the metal layers 320 to 334 are arranged parallel to each other and also parallel to the main surface of the silicon substrate 312. The metal layers 320 to 334 each extend both in the analog part 314 and in the digital part 316.

Die untersten vier Metalllagen 320, 322, 324 und 326 enthalten im Analogteil 314 in der genannten Reihenfolge Verbindungsabschnitte 340, 342, 344 bzw. 346, welche Verbindungen zwischen den Bauelementen des Analogteils 314 bilden. In Figur 5 sind eine Vielzahl von Leiterbahnen als Blöcke angedeutet. Selbstverständlich gibt es auch zwischen diesen Blöcken Leitbahnen für die Verbindung von Analogteil 314 und Digitalteil 316. Im Digitalteil 316 enthalten die Metalllagen 320, 322, 324 bzw. 326 in dieser Reihenfolge Verbindungsabschnitte 350, 352, 354 bzw. 356, die lokale Verbindungen zwischen den Bauelementen des Digitalteils 316 bilden. Die Verbindungsabschnitte 340 bis 356 haben senkrecht zum Substrat 312 eine Dicke D von beispielsweise 100 nm.The bottom four metal layers 320, 322, 324 and 326 include in the analog part 314 in the order named connecting sections 340, 342, 344 and 346, respectively, which connections form between the components of the analog part 314. In FIG. 5 are a variety of interconnects indicated as blocks. Of course, there are also interconnects between these blocks for the connection of analog part 314 and digital part 316. In the digital part 316, the metal layers 320, 322, 324 and 326 in this order contain connection sections 350, 352, 354 and 356, respectively, which provide local connections between them Form components of the digital part 316. The connecting sections 340 to 356 have a thickness D of, for example, 100 nm perpendicular to the substrate 312.

Die Metalllage 328 enthält im Analogteil 314 Verbindungsabschnitte 360, die Analogsignale führen und die Bauelemente des Analogteils 314 verbinden. Im Digitalteil 316 enthält die Metalllage 328 Verbindungsabschnitte 362, welche die Bauelemente des Digitalteils 316 verbinden und damit digitale Signale führen. Ebenso enthält die Metalllage 330 im Analogteil 314 Verbindungsabschnitte 364 für Analogsignale und im Digitalteil 316 Verbindungsabschnitte 366 für Digitalsignale.The metal layer 328 contains in the analog part 314 connecting sections 360 which carry analog signals and connect the components of the analog part 314. In the digital part 316, the metal layer 328 includes connecting portions 362 which connect the components of the digital part 316 and thus carry digital signals. Likewise, the metal layer 330 in the analog part 314 contains analog signal connection sections 364 and in the digital section 316 digital signal connection sections 366.

Die Metalllage 331 enthält im Analogteil 314 einen Verbindungsabschnitt 367, der den Analogteil 314 ganzflächig bedeckt und zur Abschirmung des Analogteils 314 vor darüber liegenden Bauelementen dient. Im Digitalteil 316 enthält die Metalllage 331 dagegen Verbindungsabschnitte 368, die bspw. eine Betriebsspannung oder Massepotential führen. Die Verbindungsabschnitte 360 bis 368 haben die zweifache Dicke D.The metal layer 331 contains in the analog part 314 a connecting portion 367, which covers the analog part 314 over the entire surface and serves to shield the analog part 314 from overlying components. In the digital part 316, however, the metal layer 331 contains connecting sections 368, which, for example, carry an operating voltage or ground potential. The connecting portions 360 to 368 are twice the thickness D.

Die Metalllagen 332 und 334 bilden die beiden obersten Metalllagen. Im Analogteil 314 enthält die Metalllage 332 eine Boden-Elektrode 370 eines Kondensator 372 mit linearer Übertragungsfunktion und einer Kapazität C1. Der Kondensator C1 dient der Bearbeitung von Analogsignalen, z.B. in einem Analog-/Digitalwandler. Eine Deck-Elektrode 374 des Kondensators 372 liegt in der Metalllage 334 oberhalb der Elektrode 370. Die Deckelektrode 374 ist mit einem Verbindungsabschnitt 375 in der Metalllage 332 verbunden.The metal layers 332 and 334 form the two uppermost metal layers. In the analog part 314, the metal layer 332 includes a bottom electrode 370 of a capacitor 372 having a linear transfer function and a capacitance C1. The capacitor C1 is used to process analog signals, for example in an analog / digital converter. A cover electrode 374 of the capacitor 372 lies in the metal layer 334 above the electrode 370. The cover electrode 374 is connected to a connection section 375 in the metal layer 332.

Im Digitalteil 316 enthält die Metalllage 332 einen Verbindungsabschnitte 382, der ein Betriebspotential P1 von beispielsweise 2,5 Volt führt. Oberhalb des Verbindungsabschnittes 382 liegt ein Verbindungsabschnitt 386, der ein Massepotential P0 von 0 Volt führt. Zwischen den Verbindungsabschnitten 382 und 386 wird eine Kapazität C3 gebildet, die zu einem Blockkondensator gehört. Der Verbindungsabschnitt 386 ist über einen Verbindungsabschnitt 387 in der Metalllage 332 und Vias mit einem Verbindungsabschnitt 368 in der Metalllage 331 verbunden.In the digital part 316, the metal layer 332 includes a connecting portion 382 which carries an operating potential P1 of, for example, 2.5 volts. Above the connecting portion 382 is a connecting portion 386, which leads a ground potential P0 of 0 volts. Between the connecting sections 382 and 386, a capacitance C3 is formed, which belongs to a blocking capacitor. The connecting portion 386 is connected to a connecting portion 368 in the metal sheet 331 via a connecting portion 387 in the metal sheet 332 and vias.

Zumindest die Metalllage 332 enthält kupferhaltiges elektrisch leitfähiges Material, so dass insbesondere die Boden-Elektrode 370 des Kondensators 372 und der Verbindungsabschnitt 382 kupferhaltig sind. Optional sind auch weitere Metalllagen 320 bis 334 kupferhaltig.At least the metal layer 332 contains copper-containing electrically conductive material, so that in particular the bottom electrode 370 of the capacitor 372 and the connecting section 382 are copper-containing. Optionally, other metal layers 320 to 334 are copper-containing.

Die Größe der Kapazitäten C1 und C3 wird einerseits durch die Größe der sich überlappenden Elektroden 370 und 374 bzw. der überlappenden Verbindungsabschnitte 370 bis 386 bestimmt. Andererseits wird die flächenbezogene Kapazität zwischen den Verbindungsabschnitten 370 und 374 bzw. 382 und 386 durch die Ausbildung einer Zwischenlage 390 bestimmt, welche zwischen den Metalllagen 332 und 334 liegt. Die Zwischenlage 390 ist so ausgebildet, dass sich eine flächenbezogene Kapazität von bspw. größer 0,5 fF/µm2 ergibt.The size of the capacitances C1 and C3 is determined on the one hand by the size of the overlapping electrodes 370 and 374 and the overlapping connecting portions 370 to 386, respectively. On the other hand, the area-related capacitance between the connection portions 370 and 374 and 382 and 386 is determined by the formation of an intermediate layer 390 which lies between the metal layers 332 and 334. The intermediate layer 390 is designed such that a surface-related capacity of, for example, greater than 0.5 fF / μm 2 results.

Die Verbindungsabschnitte 370 bis 386 haben die vierfache Dicke D und sind damit insbesondere zum Leiten hoher Ströme geeignet, wie sie in Verbindungsabschnitten 382 und 386 zum Zuführen der Betriebsspannung auftreten.The connecting portions 370 to 386 have four times the thickness D, and are thus particularly suitable for conducting high currents, such as occur in connection sections 382 and 386 for supplying the operating voltage.

Die Kapazität C3 wird aus elektrisch leitenden Abschnitten zweier Metallisierungslagen 332 und 334 gebildet, die beispielsweise keine Signale führen sondern ausschließlich zum Führen der Betriebsspannung verwendet werden. Insofern Signale geführt werden, werden die Signalleitung mit gleichen Verlauf in beiden Metallisierungslagen ausgelegt.The capacitance C3 is formed from electrically conductive sections of two metallization layers 332 and 334 which, for example, do not carry signals but are used exclusively for carrying the operating voltage. In so far signals are guided, the signal line are designed with the same course in both metallization.

Bei dem in Figur 5 gezeigten Fall sind das im Fall des sogenannten "PAD-LIN-CAP"-Konzepts die obere Kupfer-Metallisierungslage und darauf eine Aluminium-Metallisierungslage, die mindestens 90 Volumenprozent Aluminium enthält. Die Aluminium-Metallisierungslage wird auch zum Bonden verwendet, siehe ein Bondpad 392 in der Metalllage 334 und eine Bondöffnung 394 in einer Passivierung 396. Das Bondpad 392 ist mit einem Verbindungsabschnitt 391 in der Metalllage 334 verbunden.At the in FIG. 5 In the case shown, in the case of the so-called "PAD-LIN-CAP" concept, these are the upper copper metallization layer and thereon an aluminum metallization layer which contains at least 90% by volume of aluminum. The aluminum metallization layer is also used for bonding, see a bond pad 392 in the metal layer 334 and a bond opening 394 in a passivation 396. The bond pad 392 is connected to a connection section 391 in the metal layer 334.

Das Dielektrikum 390 zwischen den beiden Metallisierungslagen 332 und 334 ist ein Dielektrikum oder ein Dielektrikumstapel, der gemäß einem der oben erläuterten Verfahren hergestellt worden ist. Im mixed-signal-Teil 314 des Chips ergeben sich lineare Kondensatoren C1, deren Kapazität durch die Größe der Kupferplatte 370 bestimmt ist. An Leitungsüberkreuzungen im Digitalteil 316 ergeben sich ebenfalls Kondensatoren C3, die aber nicht parasitär sind und auch nicht stören, weil sie zur Stabilisierung der Versorgungsspannung beitragen. Da im mixed-signal-Teil 314 der Schaltung 310 des Chips in der Regel weniger Metallisierungslagen benötigt werden als im Digitalteil 316, kommt dieses Konzept ohne zusätzliche Maskenschritte aus.Dielectric 390 between the two metallization layers 332 and 334 is a dielectric or dielectric stack fabricated according to one of the methods discussed above. The mixed signal part 314 of the chip results in linear capacitors C1 whose capacitance is determined by the size of the copper plate 370. At line crossovers in the digital part 316 also arise capacitors C3, but they are not parasitic and do not disturb, because they contribute to the stabilization of the supply voltage. Since less metallization layers are generally required in the mixed-signal part 314 of the circuit 310 of the chip than in the digital part 316, this concept works without additional mask steps.

Es ist ebenfalls möglich, das oben beschriebene Dielektrikum 390 bzw. den oben beschriebenen Dielektrikumstapel für das sogenannte "POWER-LIN-CAP"-Konzept zu verwenden. Dabei befindet sich das Dielektrikum 390 bzw. der Dielektrikumstapel zwischen den beiden letzten Kupfer-Metallisierungslagen. Die Aluminium-Metallisierungslage wird dann nicht mehr benötigt. Das Bonden erfolgt dann direkt auf Kupfer.It is also possible to use the above-described dielectric 390 or the above-described dielectric stack for the so-called "POWER-LIN-CAP" concept. In this case, the dielectric 390 or the dielectric stack is located between the two last copper metallization layers. The aluminum metallization layer is then no longer needed. The bonding then takes place directly on copper.

Zusammenfassend gilt, das insbesondere Hochfrequenzschaltungen in BIPOLAR-, BICMOS- (BIpolar Complementary Metal Oxide Semiconductor) und CMOS-Technologie (Complementary Metal Oxide Semiconductor) Kondensatoren mit hoher Flächenkapazität, z.B. höher als 0,7 fF/µm2, und mit niedrigen parasitären Kapazitäten benötigen. Die bisher eingesetzten konventionellen MOS- bzw. MIS-Kondensatoren zeigen als nachteilige Eigenschaften eine starke Spannungsabhängigkeit auf Grund spannungsinduzierter Raumladungszonen und hohe parasitäre Kapazitäten infolge des geringen Abstandes zum Substrat. Diese Probleme lassen sich durch den Einsatz von MIM-Kondensatoren (Metall Isolator Metall) umgehen, die möglichst ohne Veränderung und Beeinflussung der benachbarten Metallbahnen in die Metallisierung integriert werden sollen, insbesondere in eine mehrlagige Metallisierung. Auch sollen für das Einfügen der MIM-Kondensatoren möglichst wenige zusätzliche Verfahrensschritte erforderlich sein, insbesondere zusätzliche fotolithografische Schritte.In summary, in particular, high frequency circuits in BIPOLAR, BICMOS (BIpolar Complementary Metal Oxide Semiconductor) and CMOS technology (Complementary Metal Oxide Semiconductor) require capacitors with high surface capacitance, eg higher than 0.7 fF / μm 2 , and with low parasitic capacitances. The previously used conventional MOS or MIS capacitors show as disadvantageous properties a strong voltage dependence due to voltage-induced space charge zones and high parasitic capacitances due to the small distance to the substrate. These problems can be circumvented by the use of MIM capacitors (metal insulator metal), which should be integrated as possible into the metallization without changing and influencing the adjacent metal tracks, in particular in a multi-layer metallization. Also, for the insertion of the MIM capacitors as few additional process steps are required, in particular additional photolithographic steps.

Um einen möglichst defektfreien Kondensator mit hoher Lebensdauer zu erhalten, ist die Wahl geeigneter Dielektrika-Grenzflächen von entscheidender Bedeutung. Insbesondere bei Kupfermetallisierungen führt das Aufbringen von gebräuchlichen Dielektrika ohne zusätzlich Maßnahmen zu nicht mehr akzeptablen Defektdichten bzw. zu einer verminderten Zuverlässigkeit. Für diese Defektdichten sind hauptsächlich Verunreinigungen des Dielektrikums durch Kupferdiffusion oder Nebenphasen sowie Kupferhillocks verantwortlich, welche zu Singularitäten in der Feldverteilung bzw. zu Feldspitzen führen. Diese Verunreinigungen und Kupferhillocks werden durch die erläuterten Verfahren zum Aufbringen des Dielektrikums verringert oder verhindert.In order to obtain a defect-free capacitor with a long service life, the choice of suitable dielectric interfaces is of crucial importance. In particular, in the case of copper metallizations, the application of conventional dielectrics without additional measures leads to unacceptable defect densities or to reduced reliability. For these defect densities mainly impurities of the dielectric by copper diffusion or secondary phases as well as copper hillocks are responsible, which lead to singularities in the field distribution or to field peaks. These impurities and copper hillocks are reduced or prevented by the illustrated methods of applying the dielectric.

Claims (10)

  1. A process for forming a dielectric (110) on a metallization (102),
    comprising the steps of:
    producing a metallization (102) on a substrate, the metallization (102) containing copper as a metallization constituent,
    supplying at least two process gases (26, 28),
    forming the dielectric (110) adjacent to the metallization (102),
    the dielectric (110) containing at least two types of constituents which originate from different process gases (26, 28),
    the dielectric (110) is the dielectric (110) of a capacitor (100),
    the capacitance per unit area of the capacitor is higher than 0.7 fF/µm2,
    characterized in that the two process gases (26, 28) being excited with different plasma powers per unit substrate area, or in that one process gas (26) being excited with a plasma and the other process gas (28) not being excited,
    whereby the more strongly excited process gas (26) is excited separately from the less strongly excited or unexcited process gas (28) in a chamber (13) that is separate from a reaction chamber (15).
  2. The process as claimed in one of the preceding claims, characterized in that the process gases are supplied as a process gas mixture,
    or in that the dielectric (110) is produced with the aid of a deposition process in which the process gases (26, 28) are supplied to the metallization (102) separately from one another, preferably cyclically, in particular in at least 10 cycles.
  3. The method as claimed in one of the preceding claims, characterized by at least one of the following steps:
    forming the dielectric (110) from a material which is a diffusion barrier to copper,
    forming the dielectric (110) from a material which counteracts the electromigration of copper,
    forming the dielectric (110) from silicon nitride, in particular from Si3N4, or from a material which contains silicon nitride,
    supplying a silicon-containing process gas (28), in particular silane, disilane, dichlorosilane, trichlorosilane, bis(tertbutylamino)silane or a gas mixture comprising at least two of these gases,
    supplying a nitrogen-containing gas (26), in particular nitrogen, ammonia gas or a mixture of these gases.
  4. The process as claimed in one of claims 1 or 2, characterized by at least one of the following steps:
    forming the dielectric (110) from a material which is a diffusion barrier to copper,
    forming the dielectric (110) from a material which counteracts the electromigration of copper,
    forming the dielectric (110) from aluminum nitride or from a material which contains aluminum nitride,
    supplying an aluminum-containing process gas, in particular trimethyl aluminum,
    supplying a nitrogen-containing gas, in particular nitrogen, ammonia gas or a mixture of these gases.
  5. The process as claimed in one of the preceding claims, characterized in that the metallization fraction amounts to at least five percent by volume or at least forty percent by volume or at least ninety percent by volume of the metallization.
  6. The process as claimed in one of the preceding claims, characterized in that the dielectric (110) is the dielectric (110) of a capacitor (100) with two metallic electrodes (102, 112), between which the dielectric (110) is arranged.
  7. The process as claimed in claim 6, characterized in that the entire dielectric (110) of the capacitor (100) is produced by the process as claimed in one of claims 1 to 5.
  8. The process as claimed in one of claims 1 to 6, characterized by the steps of:
    forming a dielectric layer (110) by the process as claimed in one of claims 1 to 6,
    then forming at least one further dielectric layer adjacent to the dielectric layer, the further layer having a different material composition and/or being produced by a different process and/or using different process parameters than the dielectric layer (110),
    preferably forming the further layer by oxidation, in particular anodic oxidation.
  9. The process as claimed in claim 8, characterized by the step of:
    forming a dielectric layer by the process as claimed in one of claims 1 to 10 after the further layer has been formed, in particular adjacent to the further layer.
  10. The process as claimed in claim 8 or 9, characterized in that the further layer has a relative dielectric constant of greater than seven and in particular contains an oxide, preferably contains aluminum oxide, tantalum oxide or hafnium oxide.
EP04804501A 2003-10-30 2004-10-20 Method for forming a dielectric on a copper-containing metallisation Expired - Fee Related EP1678746B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10350752A DE10350752A1 (en) 2003-10-30 2003-10-30 A method of forming a dielectric on a copper-containing metallization and capacitor assembly
PCT/EP2004/052594 WO2005043623A2 (en) 2003-10-30 2004-10-20 Method for forming a dielectric on a metallic layer and capacitor assembly

Publications (2)

Publication Number Publication Date
EP1678746A2 EP1678746A2 (en) 2006-07-12
EP1678746B1 true EP1678746B1 (en) 2011-11-30

Family

ID=34529937

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04804501A Expired - Fee Related EP1678746B1 (en) 2003-10-30 2004-10-20 Method for forming a dielectric on a copper-containing metallisation

Country Status (5)

Country Link
US (2) US20060252240A1 (en)
EP (1) EP1678746B1 (en)
CN (1) CN1875483B (en)
DE (1) DE10350752A1 (en)
WO (1) WO2005043623A2 (en)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100086853A (en) * 2009-01-23 2010-08-02 삼성전자주식회사 Method of fabricating phase change memory device having tic layer
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (en) 2009-12-30 2012-09-19 应用材料公司 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (en) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (en) 2010-03-05 2012-12-26 应用材料公司 Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI520177B (en) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc Substrate processing apparatus , semiconductor device manufacturing method and computer-readable recording medium
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
DE102011113751B4 (en) * 2011-09-19 2016-09-01 Hq-Dielectrics Gmbh METHOD FOR THE STEADY OR SEQUENTIAL SEPARATION OF A DIELECTRIC LAYER FROM THE GAS PHASE ON A SUBSTRATE
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9960124B2 (en) * 2013-10-23 2018-05-01 General Electric Company Integrated shield structure for mixed-signal integrated circuits
KR20150064330A (en) * 2013-12-03 2015-06-11 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9881844B2 (en) * 2013-12-19 2018-01-30 Globalfoundries Singapore Pte. Ltd. Integrated circuits with copper hillock-detecting structures and methods for detecting copper hillocks using the same
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9806018B1 (en) 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
TW341730B (en) * 1997-10-29 1998-10-01 United Microelectronics Corp Process for producing a multi-level ROM
US5946567A (en) * 1998-03-20 1999-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal capacitors for deep submicrometer processes for semiconductor integrated circuits
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
EP1130654A1 (en) * 2000-03-01 2001-09-05 Infineon Technologies AG Integrated device including a metal- insulator-metal capacitor
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030011043A1 (en) * 2001-07-14 2003-01-16 Roberts Douglas R. MIM capacitor structure and process for making the same
JP2003045864A (en) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
DE10141341C2 (en) * 2001-08-23 2003-08-21 Siemens Ag Electronic component comprising at least one capacitor
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
KR20030081144A (en) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US20050255713A1 (en) * 2002-07-08 2005-11-17 Kohshi Taguchi Method and apparatus for forming nitrided silicon film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof

Also Published As

Publication number Publication date
EP1678746A2 (en) 2006-07-12
CN1875483A (en) 2006-12-06
DE10350752A1 (en) 2005-06-09
US20090269914A1 (en) 2009-10-29
CN1875483B (en) 2010-11-03
WO2005043623A3 (en) 2005-07-21
US20060252240A1 (en) 2006-11-09
WO2005043623A2 (en) 2005-05-12

Similar Documents

Publication Publication Date Title
EP1678746B1 (en) Method for forming a dielectric on a copper-containing metallisation
DE4430120B4 (en) Process for the production of a dielectric
DE112012003749B4 (en) Carbon-rich carbon boron nitride dielectric thin film for use in electronic devices and methods of making the carbon-rich carbon boron nitride dielectric thin film
DE112011102446B4 (en) 3D via capacitor with a floating conductive plate for improved reliability
DE69937807T2 (en) REMOVAL OF OXIDES OR OTHER REDUCIBLE CONTAMINANTS BY PLASMA TREATMENT
DE102005057075B4 (en) Semiconductor device having a copper alloy as a barrier layer in a Kupfermetallisierungsschicht and method for its preparation
DE102019128268B4 (en) METHOD OF REDUCING BLOW DOWN FAILURE IN A MIM CAPACITOR
DE60114304T2 (en) Low dielectric constant hexagonal boron nitride film, dielectric coated film and process for its manufacture and plasma CVD apparatus
DE102005052052B4 (en) Electrodeposition layer for metallization layer with improved adhesion, etch selectivity and density and method for producing a dielectric layer stack
DE102005035740A1 (en) A method of making an insulating barrier layer for a copper metallization layer
DE102005056262A1 (en) Production of layer arrangement, such arrangement and electronic component, comprises covers carbon layer with protective layer of carbide before applying electrically isolating layer
DE10224167A1 (en) A semiconductor element with a copper line with increased resistance to electromigration and a method for producing the same
DE10240176A1 (en) A dielectric layer stack with a low dielectric constant including an etching indicator layer for use in dual damascene technology
EP1661168B1 (en) Manufacturing method of an integrated circuit with a capacitor
DE10260619A1 (en) Process for producing a cover layer with anti-reflective properties on a dielectric with a small ε
DE102019117894A1 (en) ETCH STOP LAYER WITH HIGH BREAKTHROUGH VOLTAGE
DE102021108491A1 (en) INTERCONNECT STRUCTURE WITH STACK OF DIELECTRIC CAP LAYER AND ETCH STOP LAYER
DE102008044987A1 (en) Particle reduction in PECVD processes for depositing a small epsilon material using a plasma assisted post deposition step
EP1711958B1 (en) Method for forming a capacitor with a locally increased dielectric constant and an interlayer dielectric with a low dielectric constant
DE10327618B4 (en) Method of forming aluminum metal wiring
DE102005052053B4 (en) A method of making an etch stop layer for a metallization layer having improved etch selectivity and entrapment behavior
DE10339990A1 (en) Metal line with increased resistance to electromigration along an interface of a dielectric barrier layer by implanting material into the metal line
DE102018202132B4 (en) Process for manufacturing a semiconductor structure with a substantially straight contact profile
DE102009035417B4 (en) Greater density of low-k dielectric materials in semiconductor devices by applying a UV treatment
EP1364408B1 (en) Production method of an electrode arrangement for charge storage

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060419

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): DE FR IT

17Q First examination report despatched

Effective date: 20060823

RIN1 Information on inventor provided before grant (corrected)

Inventor name: GSCHWANDTNER, ALEXANDER

Inventor name: SCHRENK, MICHAEL

Inventor name: HOLZ, JUERGEN

DAX Request for extension of the european patent (deleted)
RBV Designated contracting states (corrected)

Designated state(s): DE FR IT

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: INFINEON TECHNOLOGIES AG

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/02 20060101AFI20110617BHEP

Ipc: C23C 16/511 20060101ALI20110617BHEP

Ipc: H01L 21/768 20060101ALN20110617BHEP

Ipc: H01L 23/522 20060101ALI20110617BHEP

Ipc: C23C 16/34 20060101ALI20110617BHEP

Ipc: C23C 16/455 20060101ALI20110617BHEP

Ipc: H01L 21/318 20060101ALI20110617BHEP

RTI1 Title (correction)

Free format text: METHOD FOR FORMING A DIELECTRIC ON A COPPER-CONTAINING METALLISATION

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR IT

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 502004013120

Country of ref document: DE

Effective date: 20120119

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20111130

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20120831

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 502004013120

Country of ref document: DE

Effective date: 20120831

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20130628

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20121031

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20191212

Year of fee payment: 16

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 502004013120

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20210501