EP1678466A4 - Mesure d'asymetrie de profil lineaire - Google Patents

Mesure d'asymetrie de profil lineaire

Info

Publication number
EP1678466A4
EP1678466A4 EP04784089A EP04784089A EP1678466A4 EP 1678466 A4 EP1678466 A4 EP 1678466A4 EP 04784089 A EP04784089 A EP 04784089A EP 04784089 A EP04784089 A EP 04784089A EP 1678466 A4 EP1678466 A4 EP 1678466A4
Authority
EP
European Patent Office
Prior art keywords
line
light
directing
array
microelectronic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04784089A
Other languages
German (de)
English (en)
Other versions
EP1678466A2 (fr
Inventor
Chris Raymond
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanometrics Inc
Original Assignee
Accent Optical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Technologies Inc filed Critical Accent Optical Technologies Inc
Publication of EP1678466A2 publication Critical patent/EP1678466A2/fr
Publication of EP1678466A4 publication Critical patent/EP1678466A4/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/12Generating the spectrum; Monochromators
    • G01J3/18Generating the spectrum; Monochromators using diffraction elements, e.g. grating
    • G01J3/24Generating the spectrum; Monochromators using diffraction elements, e.g. grating using gratings profiled to favour a specific order
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/306Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces for measuring evenness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Definitions

  • the present invention relates to optical inspection of microelectronic devices, in particular measurement of line profile asymmetry using scatterometry.
  • Etching is the process by which the resist image is transferred into a suitable material such as poly-silicon. Then the etched material is over-filled with some insulating materials, planarized if necessary, and the whole process begins again.
  • a suitable material such as poly-silicon.
  • the devices being made should be symmetric in nature from step to step, i.e., a correctly manufactured transistor gate will have equal left and right sidewalls as well as other features such as, but not limited to, equal left and right corner rounding. If errors occur during the processing, this desired symmetry may be compromised, and as a result the device integrity or functionality may also be compromised. If the asymmetry is quite severe the device may not function at all.
  • the present invention relates to performing symmetry/asymmetry measurements via scatterometry.
  • Scatterometry is an optical inspection technique well suited for the measurement of symmetry or asymmetry on microelectronic devices. By analyzing the light scattered from an array of microelectronic features, measurements of the line profile can be made.
  • a scatterometer that measures at complementary angles, i.e., +45 degrees from a position perpendicular to the surface and -45 degrees, is ideally suited for symmetry/asymmetry measurements because the reflectance properties of the line profile can vary at these angles, although complementary angles are not necessarily needed to detect asymmetry.
  • the array of features should be placed in a particular orientation, known throughout the specification and claims as a general conical configuration, namely one in which the wave vector of the illuminating beam does not remain parallel to the array's plane of symmetry.
  • Prior art techniques typically employ "classic" scattering. These are measurements geared towards the measurement of surface roughness, defects, pitting, etc.
  • the present invention is based on the physics of diffraction, with the measurements in the invention always occurring with respect to periodic features (such as line/space gratings).
  • Prior work in scatterometry used the technique for the measurement of line profiles in resist and etched materials. C.J.
  • Embodiments of the present invention provide techniques for the measurement of asymmetric line profiles (e.g., unequal sidewall angles).
  • Figure 1 is a block diagram of the angular scatterometer employed in an embodiment of the present invention.
  • Figure 2 illustrates the geometry of the angular scatterometry measurement employed by an embodiment of the invention.
  • Figures 3(a) and (b) illustrate, respectively, so-called conventional and conical scatterometry measurement orientations.
  • Figures 4(a)-(c) illustrate, respectively, a symmetric and two asymmetric resist profiles.
  • Figure 5 is a graph of angular signature data corresponding to the profiles of Figures 4(a)-(c).
  • Figure 6 is a graph of an angular scatterometry signature (mirrored) from metal resist wafers.
  • Figure 7 is a graph of sidewall angle results from wafer 5 of the resist-on- metal sample set.
  • Figure 8 is a graph of an angular scatterometry signature (mirrored) from an etched poly-silicon wafer.
  • Figures 9(a) and (b) are, respectively, left and right sidewall angle comparisons between scatterometry and cross-section SEM for the etched poly- silicon wafer.
  • Figure 10 is a graph of an angular scatterometry signature (mirrored) from a 193 nm resist wafer.
  • Figures 11(a) and (b) are, respectively, left and right sidewall angle comparisons between scatterometry and cross-section SEM for the 193 nm resist wafer.
  • Figure 12 is a comparison of AFM and scatterometry CD measurements for the 193 nm resist wafer.
  • Figure 13 shows images of a grating-on-grating profile that can be used for measurement of overlay misalignment.
  • Figure 14 is a graph of angular scatterometry signatures for the profiles of Figure 13 employing a conventional (non-conical) scan.
  • Figure 15 is a graph of (non-unique) angular scatterometry signatures for left and right offsets employing a conventional scan.
  • Figure 16 is a graph of angular scatterometry signatures for the profiles of Figure 14 employing a conical scan.
  • Figure 17 is a graph of (unique) angular scatterometry signatures for left and right offsets employing a conical scan.
  • Figure 18 illustrates an asymmetric single line model employed in the prior art, wherein the acute angles are equal to each other and the obtuse angles are equal to each other, such that the cross section of each line provides only two different angles;
  • Figure 19 illustrates an asymmetric single line model of an embodiment of the invention, wherein two angles are right, angle A is obtuse and angle B is acute, such that the cross section provides three different angles;
  • Figure 20 illustrates an asymmetric single line model of an embodiment of the invention, wherein all four internal angles differ, with angles C and F being acute and E and D being obtuse;
  • Figure 21 illustrates a line overlay asymmetric model of an embodiment of the invention, wherein line H is rectangular and line G is both offset with respect to
  • One embodiment of the invention provides a method of measuring three-dimensional structure asymmetries in microelectronic devices.
  • light is directed at an array of microelectronic features of a microelectronic device.
  • the light illuminates a portion of the array that encompasses the entire length and width of a plurality of the microelectronic features.
  • Light scattered back from the array is detected at a condition selected from the group consisting of one or more angles of reflection, one or more wavelengths, or a combination thereof.
  • the method also includes examining one or more characteristics of the back-scattered light by performing an operation comprising examining data from complementary angles of reflection
  • a method of measuring line profile asymmetries in microelectronic devices in accordance with another embodiment of the invention involves directing light at an array of microelectronic features of a microelectronic device at an angle of incidence to the array. Light scattered back from the array is detected at an angle complementary to the angle of incidence. One or more characteristics of the detected light is compared to an asymmetric model that includes a single feature profile that, in transverse cross-section, has an upper surface, a base and a midline. The midline extends between the upper surface and the base and perpendicularly to the base and the cross section is asymmetrical about the midline.
  • a scatterometer measures at complementary angles, e.g., +45 degrees and -45 degrees from a position perpendicular to the surface; this has proven to be particularly well suited for symmetry/asymmetry measurements because the reflectance properties of the line profile can vary at these angles.
  • the array of features is preferably placed in a particular orientation, known as a general conical configuration. Scatterometers in accordance with other embodiments measure at non-complementary angles, though.
  • Scatterometry measurements can be performed at any complementary angles - +/- 45 degrees is one example , but suitable pairs of complementary angles range from nearly 0° to nearly +/- 90°, e.g., about +/- 0.00001 ° to about +/- 80°; one useful embodiment performs scatterometry measurements at complementary angles of about +/- 0.00001° to about +/- 47°. (One cannot measure reflectance at an angle complementary to a 0° angle of incidence, so 0.00001 ° is arbitrarily selected here as a nominal angle; any other nominal angle may suffice.) The scatterometry measurements may by performed at several angles or a series of angles.
  • measurements at each angle may include radiation of a single wavelength (such as a laser), or may include radiation composed of several wavelengths or broad wavelength radiation (such as a white light source).
  • the intensity of the radiation alone might be measured, or the intensity and phase can be measured in tandem, similar to an ellipsomet y measurement.
  • the optimum electromagnetic radiation source will depend on the nature and size of the grating. To improve clarity, though, the following discussion generally refers to the electromagnetic radiation as light. Regardless of the light source used or the manner in which it is measured, assuming the array is oriented in the general conical configuration, comparing data from complementary angles can immediately show if an asymmetry is present. Without any additional need for analysis, if the light measurements are the same then the profile is symmetric.
  • the scatterometer can scan through a range of angles and a range of wavelengths.
  • Applications of the complementary angle scatterometry method of the invention include, but are not limited to: — alignment of a wafer stage with an optical system, such as that on a lithography tool (stepper or scanner) or in a lithography process; — alignment of wafer with an optical system, such as that on a lithography tool (stepper or scanner) or in a lithography process — determination of the lens aberrations present in a lithography tool or process; — general diagnostic of the imaging performance of a lithography tool or process; — measurement of the temperature uniformity of a bake process/station; — measurement of the thickness uniformity of resist spin coaters or spin processing; — measurement of the uniformity of a developer process/station; — characterization of an etch tool or process; — characterization of a planarization tool or process; — characterization of a metallization tool or process; and — control of any of the aforementioned processes.
  • one goal of semiconductor processing is to produce a device (e.g., a transistor gate) that is inherently symmetric. Indeed, it is rare that a device is produced that is intentionally non-symmetric or asymmetric.
  • the lithography patterning process is geared towards symmetry, particularly with regards to footing at the bottom of a line and equivalent sidewalls.
  • etch processing also strives to produce symmetric features, in this case mostly with respect to line sidewalls.
  • measurement techniques must be able to detect asymmetry, and preferably be able to measure any asymmetry present (such as unequal left and right sidewalls).
  • Scatterometry is an optical metrology based on the analysis of light scattered from a periodic array of features. In a strict physical sense, this light "scattered" from a periodic sample is actually due to diffraction, but in a general sense it is termed scatter here for purposes of discussion.
  • a series of periodic features known as a diffraction grating
  • the reflectance properties of the scattered/diffracted light depend on the structure and composition of the features themselves. Therefore, by analyzing the scatter "signature” one can determine the shape and dimensions of the diffraction grating. Diffraction can actually give rise to a number of different "orders," or light beams, scattered from the features.
  • the period of the features is small and therefore typically only one diffraction order exists.
  • This order is known as the "specular" or “zeroth” order and is the light beam most frequently used in scatterometry technology.
  • One of the more common ways of analyzing light scatter using the specular order is to vary the incidence angle of the illuminating light source (which is usually a laser). As Figure 1 illustrates, as the incident angle ⁇ ,- is varied and a detector moves in tandem at angle ⁇ n to measure the diffracted power of the specular order, a scatter "signature" is measured.
  • this scatter signature known as an angular signature - that contains information about the diffracting structure, such as the thickness of the grating and the width of a grating line.
  • This angular signature when measured properly, can also contain information about any asymmetry present in the grating lines as well.
  • a signature can be obtained that is asymmetric if the line is asymmetric.
  • the measured signature will also be symmetric. Complementary angles are not needed, however, if a suitable theoretical diffraction model is available for comparison purposes, and the "inverse" problem (see below) can be performed.
  • the scatterometry method is often described in two parts, typically known as the "forward” and “inverse” problems.
  • the forward problem is the measurement of a scatter signature
  • the inverse problem is the analysis of the signature in order to provide meaningful data.
  • Many types of scatterometers have been investigated over the years, e.g., C.J. Raymond, et al., "Metrology of subwavelength photoresist gratings using optical scatterometry, Journal of Vacuum Science and Technology B 13(4), pp. 1484-1495 (1995); S. Coulombe, et al., Ellipsometric scatterometry for sub 0.1 ⁇ m measurements, Integrated Circuit Metrology, Inspection and Process Control XII, Proc. SPIE 3332 (1999); Z.R.
  • the scatter signature When the scatter signature is measured, it is compared against the library to find the closest match. Standard Euclidean distance measures, such as minimizing the mean square error (MSE) or root mean square error (RMSE), are used for identifying the closest match. The parameters of the modeled signature that agrees most closely with the measured signature are taken to be the parameters of this measured signature. Scatterometers in some embodiments preferably include analysis software that is based on error minimization. In previous research scatterometry has been used for the measurement of critical dimensions (CDs) and profile characterization of photoresist samples, C.J. Raymond, et al. (1995), supra; and C.
  • CDs critical dimensions
  • Figure 2 illustrates the geometry of these components relative to the angular scan direction (scans from both the positive and negative angular regions are shown). Note that the plane of incidence shown in this figure is the page itself, and no reference has yet been made with respect to the orientation of the grating relative to this plane of incidence. From the figure we can see that there is a phase difference in the S polarization component when the beam moves from one half of the angular region to the other.
  • FIGs 3A and 3B depict two orientations, known as the conical and conventional configurations, respectively. From first principles it can be shown that a scan parallel to the grating vector (the so- called “normal” or “conventional” configuration shown in Figure 3A) is the only case that never couples the S and P modes of the total electromagnetic field (see, for example, equation (48) of M. Moharam, et al., "Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings," J. Opt. Soc. Amer. A, Vol. 12, pp.
  • the S component of the total output field is composed of contributions from both the S and P portions of the input field due to the fully coupled nature of the problem.
  • the superposition takes place in complex amplitude and thus field components in the S-polarization state coming from S and P portions of the input field exhibit interference effects. This means that relative phase differences between the S and P components of the total input field can translate into amplitude differences in the S and P components of the total output field.
  • asymmetry in output diffraction efficiencies for any case where coupling is present.
  • a symmetric structure produces no coupling.
  • Figure 4(b) the right wall angle has been changed to 80 degrees, while in Figure 4(c) the opposite case is illustrated (left at 80 degrees, right back to 90 degrees).
  • Figure 5 shows the angular scatter signatures - measured through complementary angles - associated with each of these profiles.
  • the symmetric profile yields a symmetric scatter signature for both polarizations.
  • the asymmetric profiles show a significant amount of asymmetry in both polarizations. In fact, the signatures appear to be skewed, or "tipped," as a result of the profile asymmetry.
  • asymmetry could be determined by performing the solution to the inverse problem, e.g., performing a model comparison, either by way of a regression or through the use of a library comparison. This may be advantageous if only "half-sided" (positive or negative) angles were present, for example, or if the system was a spectral scatterometer operating at a fixed angle.
  • Figures 19 to 24 illustrate some structures in which model comparison may be useful. Each of these drawings is a transverse cross-section of a feature, which may be referred to as a feature profile.
  • the features may be lines of a diffraction grating and the transverse cross-section may be substantially perpendicular to a longitudinal axis (not shown) of the line.
  • Some of the illustrated feature profiles, e.g., Figures 19 and 20, are single line profiles. Others, e.g., Figures 21 and 22, are overlaid or multi-layer diffraction structures that may comprise two or more features.
  • Figure 21 may be though of as a feature profile that comprises a first single line profile G overlaid upon a second line profile H; in Figure 21 , an asymmetric single line profile I instead overlays the symmetric single line profile H.
  • Each of the model feature profiles of Figures 19-24 is asymmetric. Looking first at Figure 19, the feature profile 100 includes a base 102, a top 104, and left and right sidewalls 106 and 108, respectively.
  • An ideal symmetrical may have a top 104 parallel to the base 102 that meet parallel sidewalls 106 and 108 at right angles.
  • the left sidewall 106 is vertical, but the right sidewall 108 slants. Consequently, the feature profile 100 is asymmetrical about a midline Z that extends between the top 102 and the base 104 and is perpendicular to the base.
  • the midline Z is positioned equidistant from the left-most point of the feature (sidewall 106) and the right-most point of the feature (where sidewall 108 joins the base 102), but there is no midline perpendicular to the base 102 about which the feature profile 100 is symmetrical.
  • the single line profile 110 of Figure 21 also includes a base 112, a top 114 parallel to the base, and two sidewalls 116 and 118. Neither of the sidewalls 116 and 118 is vertical, but the left sidewall 116 is slanted at one angle to vertical and the right sidewall 118 is slanted at another angle to vertical.
  • the feature profile 110 therefore is asymmetrical about midline Z.
  • Multi-layered features may include a feature profile in one layer that is symmetrical, such as line H in Figures 21 and 22, and one that is asymmetrical, such as line G in Figure 21 and line I in Figure 22.
  • the lower line profile J in Figure 23 is not perfectly rectangular, but it is symmetrical - a midline (not shown) perpendicular to the middle of the base of the line would yield two symmetrical halves.
  • the overall feature profile is asymmetrical.
  • the model feature profile may have two or more single-layer feature profiles that are asymmetrical.
  • Figure 24 illustrates a two-layered feature in which both the upper feature profile I and the lower feature profile K are asymmetrical about a vertical midline (not shown).
  • Many of the model feature profiles of Figures 19 to 24 include at least three different angles.
  • the two angles on the left side of the profile may be right angles, but angles A and B differ from one another and neither is a right angle.
  • Certain of the model figures, such as Figure 20 and line K of the multi-layer diffraction structure of Figure 24, have four different angles within a transverse cross- section of a feature.
  • each of the included angles of the feature profile i.e., angles C, D, E, and F, is different from the others.
  • at least one, and optionally two or more, of the overlaid features has at least three different angles within a cross-section of a line.
  • a theoretical library of single or multilayer diffraction structures and corresponding simulated or theoretical diffraction signals, such as diffraction signatures is generated, with theoretical diffraction signatures based on the theoretical single or multi-layer diffraction structures compared to the measured diffraction signature.
  • This may be done by any number of different methods.
  • an actual library of theoretical output signals are generated based on assigned parameters for variables. This library may be generated prior to actual measurement of a diffraction signature or may be generated in a process of matching the measured diffraction signature to a theoretical diffraction signature.
  • a theoretical library includes one or both of a library generated independent of the measured diffraction signature and a library generated based on a theoretical "best guess" of the geometry of the measured undercut multi-layer structure and calculation of the resulting theoretical diffraction signature, with iterative comparison to changed parameter structures to determine a best match.
  • the library may optionally be pruned by removing signals that may be accurately represented via interpolation from other signals in the reference set.
  • An index of the library can similarly be generated by correlating each signature with one or more indexing functions and then ordering the index based on the magnitude of the correlation. Construction or generation of libraries of this type, and methods for optimization thereof, are well known in the art.
  • a rigorous, theoretical model based on Maxwell's equations is employed to calculate a predicted optical signal characteristic of the diffraction structure, such as the diffraction signature, as a function of diffraction structure parameters.
  • a set of trial values of the diffraction structure parameters is selected and a computer-representable model of the diffraction structure, including its optical materials and geometry, is constructed based on these values.
  • the electromagnetic interaction between the diffraction structure and illuminating radiation is numerically simulated to calculate a predicted diffraction signature.
  • one or more simulated diffraction signatures are compared to a measured diffraction signature, thereby creating a difference of error signal, with another simulated diffraction signature then calculated and compared to the measured diffraction signature. This process is repeated or iterated until the error is reduced, which is to say regressed, to a specified value.
  • One method of iterative regression is non-linear regression, which may optionally be performed in a "real-time" or "on-the-fly” mode.
  • Different iterative regression algorithms familiar to those skilled in the art, may be applied to interpretation of measured diffraction signatures through comparison with simulated diffraction signatures based on model structure profiles.
  • short periodic structures may be modeled and the results may be utilized, e.g., by a regression or model comparison.
  • the term "short periodic structures” encompasses three-dimensional structures that have lengths short enough so that the entire length and width of two or more of the structures can be encompassed in an area illuminated by the light source of the scatterometer to be used. If the area illuminated by the intended scatterometer is on the order of 40 ⁇ m wide, for example, the short periodic structures may have a longitudinal length (e.g., in a direction perpendicular to the k vector of a grating) that is less than 40 ⁇ m and a transverse spacing (e.g., the distance along the k vector of a line grating between adjacent lines of a line grating) short enough to encompass at least two of the features.
  • a longitudinal length e.g., in a direction perpendicular to the k vector of a grating
  • a transverse spacing e.g., the distance along the k vector of a line grating between adjacent lines of a line grating
  • the length of the short periodic structures is less than one half of the width of the illuminated area so that at least two, and preferably three or more, of the short periodic structures may be spaced from one another yet fit longitudinally within the illuminated area.
  • the length of the short periodic structures is short enough to become a relevant parameter with respect to the incident illumination.
  • each of the lines of a line grating model is defined as a series of longitudinally aligned short lines instead of a single long line.
  • each of the short lines may be about 5-20 ⁇ m long, about 0.2-1 ⁇ m wide, and about 0.5-2 ⁇ m wide.
  • the period between parallel lines may be about 0.5- 2 ⁇ m.
  • each of the short periodic structures comprises an array of features, each of which includes a first post or hole that overlays another post or hole.
  • the axis (not shown) of the first post or hole in each of these features is offset from the axis of the second post or hole, yielding a two-layer feature that contains a "stair-step" shape.
  • the posts are oval in shape, preferably an elongated oval, thereby providing the greatest resolution with respect to complementary angle analysis.
  • the array may be arranged as a series of lines of the features that is periodic in the X-direction, but need not be periodic in the Y-direction.
  • the array is a regular array of posts or holes that have periodicity in both in the X-direction and Y-direction.
  • a first series of rectilinear features are deposited on top of and skewed in the x and y orientations with respect to a second series of rectilinear features, such that the structures are offset or contain a "stair-step" feature as in Figure 25.
  • Figure 27 also includes a first series of rectilinear features deposited on top of and skewed in the x and y orientations with respect to a second series of rectilinear features.
  • the transverse cross-section of at least one of the rectilinear features is asymmetrical and provides at least three different internal angles.
  • both of first and second features are asymmetrical, similar to lines I and J of Figure 23.
  • the dimensions of the structure in the horizontal dimension (with respect to the plane of the device) are different, preferably substantially different.
  • the short lines are substantially longer (in the X-direction of Figure 25) than they are wide (in the Y-direction of Figure 25). While simple circular and rectangular structures are depicted in Figures 25-27, methods in accordance with other embodiments of the invention may employ any three-dimensional structure, but preferably a repeating or periodic structure.
  • Some implementations of the invention employ a theoretical model based on the three-dimensional structure of an array of short periodic structures. While computing a three-dimensional model is complex because of the large number of variables in such a structure, it is possible to generate a model, and use this model for comparison and analysis purposes with data acquired on the actual three- dimensional structure using scatterometry techniques discussed above. It is also possible and contemplated that such as the three-dimensional model will utilize various algorithms and methodologies designed to simplify computation of the model.
  • asymmetry in an array of three-dimensional short periodic features by measuring complementary angles (both positive and negative with respect to normal), and preferably by measuring through a range of complementary angles ⁇ (again both positive and negative with respect to normal).
  • a signature can be obtained that is asymmetric if the three-dimensional structure is asymmetric. Conversely, if the three-dimensional structure is in fact symmetric, the measured signature will also be symmetric.
  • Figure 28 is a graph of angular scatterometry signatures (mirrored over complementary ranges) of a first series of rectangular three-dimensional rectilinear structure deposited on top of a second series of rectangular structures structure as in Figure 26.
  • the solid line depicts no offset with respect to the overlaid single features
  • the dashed line depicts a 25 nm offset of these single features
  • the dotted line depicts a 50 nm offset.
  • the S-polarized measurements and the P-polarized measurements are symmetric about the 0° angle where there is no offset (the solid line).
  • each profile (such as the S Data profile or the P Data profile) is "skewed" about 0° such that each of the S Data and P Data plots are asymmetric.
  • Figure 29 is a graph'of angular scatterometry signatures (mirrored over complementary ranges) of an oval "post-on-post" three-dimensional structure similar to Figure 25, wherein a first series of oval-shaped posts is deposited on top of a second series of like- shaped posts.
  • the solid line in Figure 29 depicts no offset with respect to the first and second series, the dashed line depicts a 25 nm offset, and the dotted line depicts a 50 nm offset.
  • the degree of asymmetry within the S Data and in the P Data correlates to the degree of asymmetry in the three-dimensional structure.
  • Taking scatterometry measurements of short periodic structures at complementary angles in accordance with embodiments of the invention therefore, requires comparatively very little computational power.
  • Modeling of three- dimensional structures is intensely computational, and rigorous models of all by the simplest structures cannot readily be obtained in reasonable periods of time with current computational devices and programs.
  • embodiments of the invention that measure at complementary angles may identify asymmetry by examining the symmetry of the collected data, which is simpler and easier from a computational perspective.
  • Scatterometry is thus particularly applicable to three-dimensional structures- on-structures, with scatterometry measurements of the 0 th , or specular, diffraction order sensitive to alignment shifts in the successive three-dimensional structure layers.
  • This shift in the three-dimensional structure layer (also referred to as an offset) results in an asymmetric line profile, and that can be measured using a scatterometer in the proper measurement orientation.
  • the signatures change when offsets are introduced, which is a positive sign for general measurement sensitivity.
  • the measurement orientation can be, in one embodiment, empirically determined based on the specific nature of the most critical three- dimensional measurement (e.g., whether the most critical measurement is in the x, y or z direction).
  • Example 3 To assess the viability of performing asymmetric profile measurements using scatterometry three different sample types were investigated (Examples 1-3). The first sample set was comprised of three wafers of photoresist lines on a metal substrate. The second sample was a single wafer of etched poly-Si. The third set was also a single wafer of grating lines printed in 193 nm photoresist. For each sample set the raw scatter signatures were obtained by performing measurements in a conical scanning orientation and through positive and negative angles. Suitable scatterometry libraries were generated for each sample set and included independent left and right variation in sidewall as well as the other parameters such as CD and thickness. Example 4 illustrates the use of the invention to measure alignment of two successive layers on a semiconductor wafer.
  • Example 1 - Photoresist Lines on Metal Substrate The line widths for this sample set were nominal 250 nm in width.
  • the raw signatures from this sample set showed a good deal of asymmetry.
  • Figure 6 depicts one signature from this data set with the positive and negative halves of the angular scan superimposed ("mirrored") on top of one another. Clearly, as the figure illustrates, the two halves are not the same. In fact, they differ at some angles by more than 5% in terms of reflectivity, and the structure of the signature differs at some angles as well.
  • the scatterometry data indicate that the left and right angles move in tandem, i.e., that the overall width of the line does not change but rather "sways" by 1-2 degrees from site to site across the wafer. This effect could be due to correlation between the left and right wall angle parameters, but a check of the modeled signature data revealed that they are quite distinct when one wall angle is left fixed and the other allowed to vary.
  • Example 2 Etched poly-Si Lines
  • the line widths for this sample set ranged from 150 to 300 nm.
  • the stack was comprised of patterned (etched) poly-Si on oxide on Si substrate.
  • the raw signatures from this sample set showed a slight amount of asymmetry when measured in the conical configuration.
  • Figure 8 depicts one such signature with both the positive and negative halves of the signature "mirrored" to illustrate this asymmetry.
  • the wafer used for these scatterometry measurements was cross-sectioned and measured by a SEM to determine the sidewall angles of the lines.
  • Figure 9 shows the results of comparing the left and right sidewall angle measurements of the two technologies. As the figure illustrates, both tools are reporting some degree of sidewall asymmetry, with the left wall angle being generally smaller. Furthermore, the sidewall angle correlation between the two techniques is good and shows similar trending from site to site.
  • Example 3 - 193 nm Photoresist Lines The last sample set investigated was a single wafer of 193 nm photoresist lines printed on a BARC layer, a poly layer, an oxide layer and a silicon substrate. The nominal feature sizes on this wafer were 180 nm lines.
  • the signature data was only mildly asymmetric when measured in conical mode.
  • Figure 10 depicts the S and P polarizations for one of these signatures "mirrored" back upon itself. In contrast to the signature asymmetries observed from the previous samples, this asymmetry was relatively weak.
  • the left and right wall angle data for one row from this wafer can be seen in Figure 11. Included on the plots in this figure are measurements made on the same sites with an AFM.
  • Scatterometry is a technology well-suited for overlay measurements.
  • scatterometry measurements of the 0 th , or specular, diffraction order are sensitive to alignment shifts in the successive grating layers.
  • This shift in the grating layer (also referred to as an offset) results in an asymmetric line profile, and that can be measured using a scatterometer in the proper measurement orientation, and preferably (though not necessarily) with the ability to measure complementary angles (both positive and negative angles).
  • Figure 13 present images of a grating-on-grating profile that can be used for the measurement of overlay.

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

L'invention concerne des procédés permettant de mesurer l'asymétrie de caractéristiques, telles que les lignes d'un réseau de diffraction. La mise en oeuvre d'un procédé permet de mesurer des asymétries dans des dispositifs micro-électroniques par orientation d'une lumière au niveau d'un réseau de caractéristiques micro-électroniques d'un dispositif micro-électronique. La lumière éclaire une partie du réseau qui comprend la longueur et la largeur totale d'une pluralité de caractéristiques micro-électronique. On détecte une lumière rétrodiffusée à partir dudit réseau. Une ou plusieurs caractéristique(s) de la lumière rétrodiffusée peut/peuvent être examinée(s) par examen de données provenant d'angles de réflexion complémentaires, ce qui peut être particulièrement utile pour des réseaux de petites structures périodiques pour lesquelles les techniques de modélisation normalisées seraient peu pratiques et complexes ou prendrait un temps anormalement élevé.
EP04784089A 2003-09-12 2004-09-13 Mesure d'asymetrie de profil lineaire Withdrawn EP1678466A4 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50244403P 2003-09-12 2003-09-12
PCT/US2004/030115 WO2005028992A2 (fr) 2003-09-12 2004-09-13 Mesure d'asymetrie de profil lineaire

Publications (2)

Publication Number Publication Date
EP1678466A2 EP1678466A2 (fr) 2006-07-12
EP1678466A4 true EP1678466A4 (fr) 2008-07-30

Family

ID=34375259

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04784089A Withdrawn EP1678466A4 (fr) 2003-09-12 2004-09-13 Mesure d'asymetrie de profil lineaire

Country Status (5)

Country Link
EP (1) EP1678466A4 (fr)
JP (1) JP2007505322A (fr)
KR (1) KR101071654B1 (fr)
CN (1) CN1879004A (fr)
WO (1) WO2005028992A2 (fr)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060187466A1 (en) * 2005-02-18 2006-08-24 Timbre Technologies, Inc. Selecting unit cell configuration for repeating structures in optical metrology
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
JP5264374B2 (ja) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 パターン形状検査方法及び半導体装置の製造方法
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
US10215559B2 (en) * 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US9650039B2 (en) * 2015-03-20 2017-05-16 Ford Global Technologies, Llc Vehicle location accuracy
CN104897078B (zh) * 2015-05-19 2017-12-22 哈尔滨工业大学 一种基于可见光反射光谱特性的超精密车削加工表面三维微观形貌的测量方法
CN105004286B (zh) * 2015-05-19 2017-12-22 哈尔滨工业大学 一种基于激光束衍射光斑特性的超精密车削加工表面三维微观形貌测量方法
CN105180825A (zh) * 2015-05-19 2015-12-23 哈尔滨工业大学 一种基于可见光反射光谱特性的超精密车削加工表面三维微观形貌测量装置
CN105091780A (zh) * 2015-05-19 2015-11-25 哈尔滨工业大学 一种基于激光束衍射光斑特性的超精密车削加工表面三维微观形貌测量装置
CN112833790B (zh) * 2021-01-21 2023-03-14 中国科学院微电子研究所 减小相位光栅非对称性对位置测量精度影响的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002050501A1 (fr) * 2000-12-19 2002-06-27 Kla-Tencor Corporation Definition de profils parametrique au moyen de systemes spectroscopiques optiques
US20020135781A1 (en) * 2001-03-26 2002-09-26 Bhanwar Singh Scatterometry techniques to ascertain asymmetry profile of features and generate feedback or feedforward process control data associated therewith
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20030143761A1 (en) * 2002-01-30 2003-07-31 Hitachi, Ltd. Method of manufacturing a semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002050501A1 (fr) * 2000-12-19 2002-06-27 Kla-Tencor Corporation Definition de profils parametrique au moyen de systemes spectroscopiques optiques
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20020135781A1 (en) * 2001-03-26 2002-09-26 Bhanwar Singh Scatterometry techniques to ascertain asymmetry profile of features and generate feedback or feedforward process control data associated therewith
US20030143761A1 (en) * 2002-01-30 2003-07-31 Hitachi, Ltd. Method of manufacturing a semiconductor device

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
MURNANE M R ET AL: "Subwavelength photoresist grating metrology using scatterometry", 1995, PROC SPIE INT SOC OPT ENG; PROCEEDINGS OF SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 1995 SOCIETY OF PHOTO-OPTICAL INSTRUMENTATION ENGINEERS, BELLINGHAM, WA, USA, VOL. 2532, PAGE(S) 251 - 261, XP002483741 *
RAYMOND C J ET AL: "Asymmetric line profile measurement using angular scatterometry", 2001, PROC SPIE INT SOC OPT ENG; PROCEEDINGS OF SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 2001, VOL. 4344, PAGE(S) 436 - 446, XP002483740 *
RAYMOND C J ET AL: "Scatterometry for shallow trench isolation (STI) process metrology", 2001, PROC SPIE INT SOC OPT ENG; PROCEEDINGS OF SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING 2001, VOL. 4344, PAGE(S) 716 - 725, XP002483775 *
RAYMOND C J; NAQVI S S H; MCNEIL J R: "Resist and etched line profile characterization using scatterometry", PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, vol. 3050, 1997, SPIE-Int. Soc. Opt. Eng, pages 476 - 486, XP002483774 *

Also Published As

Publication number Publication date
WO2005028992A3 (fr) 2005-10-13
WO2005028992A2 (fr) 2005-03-31
KR101071654B1 (ko) 2011-10-11
KR20060116797A (ko) 2006-11-15
EP1678466A2 (fr) 2006-07-12
JP2007505322A (ja) 2007-03-08
CN1879004A (zh) 2006-12-13

Similar Documents

Publication Publication Date Title
US7639371B2 (en) Line profile asymmetry measurement
EP1370828B1 (fr) Mesure de l'asymétrie de profils linéaires par diffusiométrie
KR101071654B1 (ko) 라인 프로파일 비대칭 측정
US7911612B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US9494872B2 (en) Inspection method for lithography
US8223347B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20130024839A (ko) 오버레이 오차를 결정하는 장치 및 방법
NL2003589A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell, device manufacturing method, and 2-d zebra target design for measuring focus and dose.
JP2009081436A (ja) オーバレイエラーの測定方法、検査装置及びリソグラフィ装置
JP4828499B2 (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
JP5525547B2 (ja) 特性を求める方法
Murnane et al. Scatterometry for 0.24-0.70 um developed photoresist metrology
US8502955B2 (en) Method of determining a characteristic
Murnane et al. Developed photoresist metrology using scatterometry
Raymond et al. Applications of angular scatterometry for the measurement of multiply periodic features
Raymond et al. Asymmetric line profile measurement using angular scatterometry
US8982328B2 (en) Method and apparatus for overlay measurement

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060411

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PL PT RO SE SI SK TR

DAX Request for extension of the european patent (deleted)
RIC1 Information provided on ipc code assigned before grant

Ipc: G01N 21/55 20060101ALI20080616BHEP

Ipc: G03F 7/20 20060101ALI20080616BHEP

Ipc: G01N 21/956 20060101ALI20080616BHEP

Ipc: G01B 11/02 20060101AFI20051019BHEP

A4 Supplementary search report drawn up and despatched

Effective date: 20080626

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20081001