EP1521797A1 - Antireflective silicon-containing compositions as hardmask layer - Google Patents

Antireflective silicon-containing compositions as hardmask layer

Info

Publication number
EP1521797A1
EP1521797A1 EP02765835A EP02765835A EP1521797A1 EP 1521797 A1 EP1521797 A1 EP 1521797A1 EP 02765835 A EP02765835 A EP 02765835A EP 02765835 A EP02765835 A EP 02765835A EP 1521797 A1 EP1521797 A1 EP 1521797A1
Authority
EP
European Patent Office
Prior art keywords
layer
composition
antireflective
moieties
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02765835A
Other languages
German (de)
French (fr)
Other versions
EP1521797A4 (en
Inventor
Marie Angelopoulos
Ari Ariram
C. Richard Guarnieri
Wu-Song Huang
Ranee Kwong
Wayne M. Moreau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority claimed from PCT/US2002/022176 external-priority patent/WO2004007192A1/en
Publication of EP1521797A1 publication Critical patent/EP1521797A1/en
Publication of EP1521797A4 publication Critical patent/EP1521797A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/38Polysiloxanes modified by chemical after-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/34Heterocyclic compounds having nitrogen in the ring
    • C08K5/3442Heterocyclic compounds having nitrogen in the ring having two nitrogen atoms in the ring
    • C08K5/3445Five-membered rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/36Sulfur-, selenium-, or tellurium-containing compounds
    • C08K5/41Compounds containing sulfur bound to oxygen
    • C08K5/42Sulfonic acids; Derivatives thereof

Definitions

  • microelectronics industry as well as in other industries involving construction of microscopic structures (e.g. micromachines, magnetoresistive heads, etc.), there is a continued desire to reduce the size of structural features.
  • the desire is to reduce the size of microelectronic devices and/or to provide greater amount of circuitry for a given chip size.
  • lithographic techniques are essential to achieving reduction of feature sizes.
  • Lithography impacts the manufacture of microscopic structures not only in terms of directly imaging patterns on the desired substrate, but also in terms of making masks typically used in such imaging.
  • Typical lithographic processes involve formation of a patterned resist layer by patternwise exposing the radiation-sensitive resist to an imaging radiation. The image is subsequently developed by contacting the exposed resist layer with a material (typically an aqueous alkaline developer) to selectively remove portions of the resist layer to reveal the desired pattern. The pattern is subsequently transferred to an underlying material by etching the material in openings of the patterned resist layer. After the transfer is complete, the remaining resist layer is then removed.
  • a material typically an aqueous alkaline developer
  • the resist used does not provide sufficient resistance to subsequent etching steps to enable effective transfer of the desired-pattern to a layer underlying the resist.
  • a so-called hardmask layer is used intermediate between the resist layer and the underlying material to be patterned by transfer from the patterned resist. The hardmask layer receives the pattern from the patterned resist layer and should be able withstand the etching processes needed to transfer the pattern to the underlying material.
  • a thin antireflective coating may be applied between the underlying layer and the resist layer.
  • the antireflection and hardmask functions may be served by the same material.
  • the invention encompasses novel antireflective coating/hardmask compositions which are useful in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques.
  • the antireflective compositions are characterized by the presence of an SiO containing polymer having pendant chromophore moieties.
  • the invention also encompasses lithographic structures containing the antireflective coating/hardmask composition of the invention, methods of making such lithographic structures and methods of using such lithographic structures to pattern underlying material layers on a substrate.
  • the invention encompasses a composition suitable for formation of a spin-on antireflective layer, the composition comprising:
  • the SiO moieties are preferably selected from the group consisting of siloxane moieties and silsesquioxane moieties.
  • the SiO moieties are preferably in a backbone portion of the polymer.
  • the SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
  • the acid generator is preferably a thermally activated acid generator.
  • the invention encompasses a lithographic structure on a substrate, the structure comprising:
  • the invention encompasses method of forming a patterned material feature on a substrate, the method comprising: (a) providing a material layer on a substrate,
  • the antireflective layer comprising a crosslinked polymer containing SiO moieties and chromophore moieties
  • the material to be patterned is preferably a conductive, semiconductive, magnetic or insulative material, more preferably a metal.
  • the SiO moieties are preferably in a backbone portion of the polymer.
  • the SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
  • the invention also encompasses methods of making lithographic structures.
  • the invention encompasses novel antireflective coating/hardmask compositions which are useful in lithographic processes. These antireflective compositions are characterized by the presence of an SiO-containing polymer having pendant chromophore moieties.
  • the invention also encompasses lithographic structures containing the antireflective coating/hardmask composition of the invention, methods of making such lithographic structures and methods of using such lithographic structures to pattern underlying material layers on a substrate.
  • the antireflective compositions of the invention generally comprise: (a) a polymer containing SiO moieties and chromophore moieties, (b) a crosslinking component, and
  • the polymer containing SiO moieties may be a polymer containing SiO moieties in the polymer backbone and/or in pendant groups.
  • the polymer contains SiO moieties in its backbone.
  • the polymer is preferably an organosiloxane, more preferably organosilsesquioxane.
  • the polymer should have solution and film-forming characteristics conducive to forming a layer by conventional spin-coating.
  • the SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
  • suitable polymers include polymers having the silsesquioxane (ladder or network) structure. Such polymers preferably contain monomers having structures (I) and (II) below:
  • Ri comprises a chromophore and R 2 comprises a reactive site for reaction with the crosslinking component.
  • the polymer contain various combinations of monomers (I) - (IV) such that the average structure for Rrcontaining monomers may be represented as structure (V) below and the average structure for R 2 -containing monomers may be represented by structure (VI) below:
  • x is from about 1 to about 1.5.
  • x may be greater than 1.5, however, such composition generally do not possess characteristics suitable for spin-coating processes (e.g., they form undesirable gel or precipitate phases).
  • silsesquioxane polymers are preferred on the basis of superior etch resistance. If the ordinary organosiloxane polymers are used (e.g., monomers of structures (III) and (IV)), then preferably, the degree of crosslinking is increased compared to formulations based on silsesquioxanes.
  • the chromophore-containing groups Ri may contain any suitable chromophore which (i) can be grafted onto the SiO-containing polymer (ii) has suitable radiation absorption characteristics, and (iii) does not adversely affect the performance of the layer or any overlying photoresist layers.
  • Preferred chromophore moieties include chrysenes, pyrenes, fluoranthrenes, anthrones, benzophenones, thioxanthones, and anthracenes.
  • Anthracene derivatives, such as those described in U.S. Patent 4,371 ,605 may also be used; the disclosure of this patent is incorporated herein by reference.
  • 9-anthracene methanol is a preferred chromophore.
  • the chromophore moiety preferably does not contain nitrogen, except for possibly deactivated amino nitrogen such as in phenol thiazine.
  • the chromophore moieties may be chemically attached to the SiO containing polymer by acid-catalyzed O-alkylation or C-alkylation such as by Friedel-Crafts alkylation. Alternatively, the chromophore moiety may be attached by an esterification mechanism.
  • a preferred acid for Friedel-Crafts catalysis is HCI.
  • the chromophore may be bonded to the monomer before formation of the SiO-containing polymer, however this is generally not preferred.
  • the site for attachment of the chromophore is preferably an aromatic group such as a hydroxybenzyl or hydroxymethylbenzyl group.
  • the chromophore may be attached by reaction with other moieties such as cyclohexanol or other alcohols.
  • the reaction to attach the chromophore is preferably an esterification of the alcoholic OH group.
  • R 2 comprises a reactive site for reaction with the crosslinking component.
  • Preferred reactive moieties contained in R 2 are alcohols, more preferably aromatic alcohols (e.g., hydroxybenzyl, phenol, hydroxymethylbenzyl, etc.) or cycloaliphatic alcohols (e.g., cyclohexanoyl).
  • aromatic alcohols e.g., hydroxybenzyl, phenol, hydroxymethylbenzyl, etc.
  • cycloaliphatic alcohols e.g., cyclohexanoyl
  • non-cyclic alcohols such as fluorocarbon alcohols, aliphatic alcohols, amino groups, vinyl ethers, and epoxides may be used.
  • the SiO-containing polymer (before attachment of the chromophore) is poly(4-hydroxybenzylsilsesquioxane).
  • silsesquioxane polymers of the invention include: poly(p-hydroxyphenylethylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-p-hydroxy- ⁇ -methylbenzylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-t-butylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-cyclohexylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-pheny
  • the SiO-containing polymers of the invention preferably have a weight average molecular weight, before reaction with the crosslinking component, of at least about 1000, more preferably a weight average molecular weight of about 1000-10000.
  • the crosslinking component is preferably a crosslinker that can be reacted with the SiO containing polymer in a manner which is catalyzed by generated acid and/or by heating.
  • the crosslinking component used in the antireflective compositions of the invention may be any suitable crosslinking agent known in the negative photoresist art which is otherwise compatible with the other selected components of the composition.
  • the crosslinking agents preferably act to crosslink the polymer component in the presence of a generated acid.
  • Preferred crosslinking agents are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril, and methylphenyltetramethoxymethyl glycoluril, available under the POWDERLINK trademark from American Cyanamid Company.
  • Other possible crosslinking agents include: 2,6-bis(hydroxymethyl)-p-cresol, compounds having the following structures:
  • etherified amino resins for example methylated or butylated melamine resins (N-methoxymethyl- or N-butoxymethyl- melamine respectively) or methylated/butylated glycolurils, for example as can be found in Canadian Patent No. 1 204 547.
  • Other crosslinking agents such as bis-epoxies or bis-phenols (e.g., bisphenol-A) may also be used. Combinations of crosslinking agents may be used.
  • the acid generator is preferably an acid generator compound is employed that liberates acid upon thermal treatment.
  • thermal acid generators such as e.g. 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, 2-nitrobenzyl tosylate and other alkyl esters of organic sulfonic acids. Compounds that generate a sulfonic acid upon activation are generally suitable.
  • Other suitable thermally activated acid generators are described in US Patents 5,886,102 and 5,939,236; the disclosures of these two patents are incorporated herein by reference.
  • a radiation-sensitive acid generator may be employed as an alternative to a thermally activated acid generator or in combination with a thermally activated acid generator.
  • Suitable radiation-sensitive acid generators are described in US Patents 5,886,102 and 5,939,236. Other radiation-sensitive acid generators known in the resist art may also be used as long as they are compatible with the other components of the antireflective composition. Where a radiation-sensitive acid generator is used, the cure (crosslinking) temperature of the composition may be reduced by application of appropriate radiation to induce acid generation which in turn catalyzes the crosslinking reaction. Even if a radiation-sensitive acid generator is used, it is preferred to thermally treat the composition to accelerate the crosslinking process (e.g., for wafers in a production line).
  • the antireflective compositions of the invention preferably contain (on a solids basis) (i) about 50-98 wt.% of the SiO-containing polymer, more preferably about 70-80 wt.%, (ii) about 1 -50 wt.% of crosslinking component, more preferably about 3-25%, most preferably about 5-25 wt.%, and (iii) about 1 -20 wt.% acid generator, more preferably about 1-15 wt.%.
  • the antireflective coating/hardmask compositions of the invention may be used in combination with any desired resist material in the forming of a lithographic structure.
  • the resist is imageable with ultraviolet radiation (e.g. ⁇ 400 nm wavelength) or with electron beam radiation.
  • ultraviolet radiation e.g. ⁇ 400 nm wavelength
  • electron beam radiation examples of suitable resist materials are described in US Patents 5,861 ,231 ; 5,962, 84; and 6,037,097, the disclosures of which are incorporated herein by reference.
  • the antireflective compositions of the invention will typically contain a solvent prior to their application to the desired substrate.
  • the solvent may be any solvent conventionally used with resists which otherwise does not have any excessively adverse impact on the performance of the antireflective composition.
  • Preferred solvents are propylene glycol monomethyl ether acetate, cyclohexanone, and ethyl cellosolve acetate.
  • the amount of solvent in the composition for application to a substrate is preferably sufficient to achieve a solids content of about 8-20 wt.%. Higher solids content formulations will generally yield thicker coating layers.
  • the compositions of the invention may further contain minor amounts of auxiliary components (e.g., base additives, etc.) as may be known in the art.
  • the antireflective compositions of the invention can be prepared by combining the polymer, crosslinking component and acid generator, and any other desired ingredients using conventional methods.
  • the compositions of the invention advantageously may be formed into antireflective layers on a substrate by spin-coating followed by baking to achieve crosslinking and solvent removal.
  • the baking is preferably conducted at about 250°C or less, more preferably about 150°-200°C, most preferably about 170°-180°C.
  • the baking time may be varied depending on the layer thickness and bake temperature. A typical time at 170° would be about two minutes.
  • the thickness of the antireflective composition of the invention may be varied depending on the desired function. For example, where the composition is used as a non-planarizing antireflective coating, the thickness may be about 50-500 nm. Where the composition is used as a planarizing hardmask, the thickness is preferably about 0.5-5.0 ⁇ m. If desired, the compositions of the invention may also be used as dielectric materials in a similar manner to conventional spin-on glass materials.
  • compositions of the invention are especially useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates.
  • the compositions are especially useful for lithographic processes using mid-UV, 248 nm deep UV, x-ray, or e-beam or other imaging radiation.
  • Semiconductor lithographic applications generally involve transfer of a pattern to a layer of material on the semiconductor substrate.
  • the material layer of the semiconductor substrate may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material depending on the stage of the manufacture process and the desired material set for the end product.
  • the composition of the invention is preferably applied directly over the material layer to be patterned, preferably by spin-coating.
  • the composition is then baked to remove solvent and cure (crosslink) the composition.
  • a radiation-sensitive resist layer can then be applied (directly or indirectly) over the cured antireflective composition of the invention.
  • the solvent-containing resist composition is applied using spin coating or other technique.
  • the substrate with the resist coating is then preferably heated (pre-exposure baked) to remove the solvent and improve the coherence of the resist layer.
  • the thickness of the applied layer is preferably as thin as possible with the provisos that the thickness is preferably substantially uniform and that the resist layer be sufficient to withstand subsequent processing (typically reactive ion etching) to transfer the lithographic pattern to the underlying substrate material layer.
  • the pre-exposure bake step is preferably conducted for about 10 seconds to 15 minutes, more preferably about 15 seconds to one minute.
  • the pre-exposure bake temperature may vary depending on the glass transition temperature of the photoresist.
  • the resist layer is then patternwise-exposed to the desired radiation (e.g.
  • pattemwise exposure may be achieved by scanning the beam across the substrate and selectively applying the beam in the desired pattern. More typically, where wavelike radiation forms such as 248 nm ultraviolet radiation, the pattemwise exposure is conducted through a mask which is placed over the resist layer.
  • the total exposure energy is preferably about 100 millijoules/cm 2 or less, more preferably about 50 millijoules/cm 2 or less (e.g. 15-30 millijoules/cm 2 ) .
  • the resist layer is typically baked to further complete the acid-catalyzed reaction and to enhance the contrast of the exposed pattern.
  • the post-exposure bake is preferably conducted at about 60-175°C, more preferably about 90-160°C.
  • the post-exposure bake is preferably conducted for about 30 seconds to 5 minutes.
  • the resist structure with the desired pattern is obtained (developed) by contacting the resist layer with an alkaline solution which selectively dissolves the areas of the resist which were exposed to radiation.
  • alkaline solutions are aqueous solutions of tetramethyl ammonium hydroxide.
  • the pattern from the resist structure may then be transferred to the exposed portions of the layer of antireflective material of the invention by etching with CF 4 or other suitable etchant using techniques known in the art.
  • the underlying material layer to be patterned may then be etched using an etchant appropriate to the material layer composition.
  • the material layer is a metal (e.g., Cr)
  • a combination of CI 2 /0 2 may be used as a dry etchant.
  • any remaining resist may be removed using conventional stripping techniques. If the composition of the invention is being used strictly as a hardmask or non-planarizing antireflective coating, the composition of the invention may be removed by contacting with a CF O2 plasma.
  • compositions of the invention and resulting lithographic structures can be used to create patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • the compositions are especially useful in the context of creating patterned metal structures, especially Cr-based structures useful as masks.
  • Glycoluril resin POWDERLINK crosslinking agent
  • nitrobenzyl tosylate acid generator
  • HM/ARC hardmask antireflective layer
  • HMDS hexamethyldisilazane
  • UV-80 photoresist (sold by Shipley Company) was spin-coated over the cured layer at 3000 rpm.
  • the photoresist layer was soft baked at 130°C for 60 seconds.
  • Thickness measurements were made with a profilometer. To make the thickness measurements, a 13.0 nm Al strip was used as a mask; this Al is not etched in the CI 2 /0 2 or in the CF-/O2 plasmas.
  • a generic oxide etch process was used in the CF O2 etch with the conditions of Table I.
  • a low pressure, high density plasma process using an inductively coupled plasma (ICP) was used.
  • Flow rate, pressure, power and Ar dilution were selected to give a relatively stable process without the oscillations frequently encountered with these electronegative discharges.
  • the DC self bias voltage was kept to 150 volts.
  • Table I Etch condition for CF O2
  • etch rate of HM/ARC is significantly lower than UV-80.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Wood Science & Technology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Polymers (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Antireflective compositions characterized by the presence of an SiO-containing polymer having pendant chromophore moieties are useful antireflective coating/hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions are especially useful in lithographic processes used to configure underlying material layers on a substrate, especially metal or semiconductor layers.

Description

Antireflective Silicon-Containing Compositions as Hardmask Layer
Background of the Invention
In the microelectronics industry as well as in other industries involving construction of microscopic structures (e.g. micromachines, magnetoresistive heads, etc.), there is a continued desire to reduce the size of structural features. In the microelectronics industry, the desire is to reduce the size of microelectronic devices and/or to provide greater amount of circuitry for a given chip size.
Effective lithographic techniques are essential to achieving reduction of feature sizes. Lithography impacts the manufacture of microscopic structures not only in terms of directly imaging patterns on the desired substrate, but also in terms of making masks typically used in such imaging. Typical lithographic processes involve formation of a patterned resist layer by patternwise exposing the radiation-sensitive resist to an imaging radiation. The image is subsequently developed by contacting the exposed resist layer with a material (typically an aqueous alkaline developer) to selectively remove portions of the resist layer to reveal the desired pattern. The pattern is subsequently transferred to an underlying material by etching the material in openings of the patterned resist layer. After the transfer is complete, the remaining resist layer is then removed.
For some lithographic imaging processes, the resist used does not provide sufficient resistance to subsequent etching steps to enable effective transfer of the desired-pattern to a layer underlying the resist. In many instances (e.g., where an ultrathin resist layer is desired, where the underlying material to be etched is thick, where a substantial etching depth is required, and/or where it is desired to use certain etchants for a given underlying material), a so-called hardmask layer is used intermediate between the resist layer and the underlying material to be patterned by transfer from the patterned resist. The hardmask layer receives the pattern from the patterned resist layer and should be able withstand the etching processes needed to transfer the pattern to the underlying material.
Also, where the underlying material layer is excessively reflective of the imaging radiation used to pattern the resist layer, typically a thin antireflective coating may be applied between the underlying layer and the resist layer. In some instances, the antireflection and hardmask functions may be served by the same material.
While many hardmask and antireflective coating materials exist in the prior art, there is a continued desire for improved compositions. Many of the prior art materials are difficult to apply to the substrate, e.g., they may require use of chemical or physical vapor deposition, and/or high temperature baking. It would be desirable to have antireflective coating/hardmask compositions which could be applied by spin-coating techniques without need for a high temperature bake. Additionally, it is desirable to have hardmask compositions which can be easily etched selective to the overlying photoresist while being resistant to the etch process needed to pattern the underlying layer, especially where the underlying layer is a metal layer.
Summary of the Invention
The invention encompasses novel antireflective coating/hardmask compositions which are useful in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The antireflective compositions are characterized by the presence of an SiO containing polymer having pendant chromophore moieties. The invention also encompasses lithographic structures containing the antireflective coating/hardmask composition of the invention, methods of making such lithographic structures and methods of using such lithographic structures to pattern underlying material layers on a substrate. In one aspect, the invention encompasses a composition suitable for formation of a spin-on antireflective layer, the composition comprising:
(a) a polymer containing SiO moieties and chromophore moieties,
(b) a crosslinking component, and (c) an acid generator.
The SiO moieties are preferably selected from the group consisting of siloxane moieties and silsesquioxane moieties. The SiO moieties are preferably in a backbone portion of the polymer. The SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component. The acid generator is preferably a thermally activated acid generator.
In another aspect, the invention encompasses a lithographic structure on a substrate, the structure comprising:
(a) an antireflective layer comprising a crosslinked polymer containing
SiO moieties and chromophore moieties, and
(b) a radiation-sensitive imaging layer over the antireflective layer.
In another aspect, the invention encompasses method of forming a patterned material feature on a substrate, the method comprising: (a) providing a material layer on a substrate,
(b) forming an antireflective layer over the material layer, the antireflective layer comprising a crosslinked polymer containing SiO moieties and chromophore moieties,
(c) forming a radiation-sensitive imaging layer over the antireflective layer,
(d) patternwise exposing the imaging layer to radiation thereby creating a pattern of radiation-exposed regions in the imaging layer, (e) selectively removing portions of the imaging layer and the antireflective layer to expose portions of the material layer, and
(f) etching the exposed portions of the material layer, thereby forming the patterned material feature.
The material to be patterned is preferably a conductive, semiconductive, magnetic or insulative material, more preferably a metal. The SiO moieties are preferably in a backbone portion of the polymer. The SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
The invention also encompasses methods of making lithographic structures.
These and other aspects of the invention are discussed in further detail below.
Detailed Description of the Invention The invention encompasses novel antireflective coating/hardmask compositions which are useful in lithographic processes. These antireflective compositions are characterized by the presence of an SiO-containing polymer having pendant chromophore moieties. The invention also encompasses lithographic structures containing the antireflective coating/hardmask composition of the invention, methods of making such lithographic structures and methods of using such lithographic structures to pattern underlying material layers on a substrate.
The antireflective compositions of the invention generally comprise: (a) a polymer containing SiO moieties and chromophore moieties, (b) a crosslinking component, and
(c) an acid generator. The polymer containing SiO moieties may be a polymer containing SiO moieties in the polymer backbone and/or in pendant groups. Preferably, the polymer contains SiO moieties in its backbone. The polymer is preferably an organosiloxane, more preferably organosilsesquioxane. The polymer should have solution and film-forming characteristics conducive to forming a layer by conventional spin-coating. In addition to the chromophore moieties discussed below, the SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
Examples of suitable polymers include polymers having the silsesquioxane (ladder or network) structure. Such polymers preferably contain monomers having structures (I) and (II) below:
where Ri comprises a chromophore and R2 comprises a reactive site for reaction with the crosslinking component.
Alternatively, general linear organosiloxane polymers containing monomers (III) and (IV) can be used:
where Ri and R2 are as described above. In some cases, the polymer contain various combinations of monomers (I) - (IV) such that the average structure for Rrcontaining monomers may be represented as structure (V) below and the average structure for R2-containing monomers may be represented by structure (VI) below:
where x is from about 1 to about 1.5. In theory, x may be greater than 1.5, however, such composition generally do not possess characteristics suitable for spin-coating processes (e.g., they form undesirable gel or precipitate phases).
Generally, silsesquioxane polymers are preferred on the basis of superior etch resistance. If the ordinary organosiloxane polymers are used (e.g., monomers of structures (III) and (IV)), then preferably, the degree of crosslinking is increased compared to formulations based on silsesquioxanes.
The chromophore-containing groups Ri may contain any suitable chromophore which (i) can be grafted onto the SiO-containing polymer (ii) has suitable radiation absorption characteristics, and (iii) does not adversely affect the performance of the layer or any overlying photoresist layers. Preferred chromophore moieties include chrysenes, pyrenes, fluoranthrenes, anthrones, benzophenones, thioxanthones, and anthracenes. Anthracene derivatives, such as those described in U.S. Patent 4,371 ,605 may also be used; the disclosure of this patent is incorporated herein by reference. 9-anthracene methanol is a preferred chromophore. The chromophore moiety preferably does not contain nitrogen, except for possibly deactivated amino nitrogen such as in phenol thiazine. The chromophore moieties may be chemically attached to the SiO containing polymer by acid-catalyzed O-alkylation or C-alkylation such as by Friedel-Crafts alkylation. Alternatively, the chromophore moiety may be attached by an esterification mechanism. A preferred acid for Friedel-Crafts catalysis is HCI. Preferably, about 15 to 40% of the functional groups (Ri) contain chromophore moieties. In some instances, it may be possible to bond the chromophore to the monomer before formation of the SiO-containing polymer, however this is generally not preferred. The site for attachment of the chromophore is preferably an aromatic group such as a hydroxybenzyl or hydroxymethylbenzyl group. Alternatively, the chromophore may be attached by reaction with other moieties such as cyclohexanol or other alcohols. The reaction to attach the chromophore is preferably an esterification of the alcoholic OH group.
R2 comprises a reactive site for reaction with the crosslinking component. Preferred reactive moieties contained in R2 are alcohols, more preferably aromatic alcohols (e.g., hydroxybenzyl, phenol, hydroxymethylbenzyl, etc.) or cycloaliphatic alcohols (e.g., cyclohexanoyl). Alternatively, non-cyclic alcohols such as fluorocarbon alcohols, aliphatic alcohols, amino groups, vinyl ethers, and epoxides may be used.
Preferably, the SiO-containing polymer (before attachment of the chromophore) is poly(4-hydroxybenzylsilsesquioxane). Examples of other silsesquioxane polymers of the invention include: poly(p-hydroxyphenylethylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-p-hydroxy- α-methylbenzylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-t-butylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-cyclohexylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-phenylsilsesquioxane), poly(p-hydroxyphenylethylsilsesquioxane-co-bicycloheptylsilsesquioxane), poly(p-hydroxy-α-methylbenzylsilsesquioxane), poly(p-hydroxy- α-methylbenzylsilsesquioxane-co-p-hydroxybenzylsilsesquioxane), poly(p-hydroxy- α-methylbenzylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly(p-hydroxy- -methylbenzylsilsesquioxane-co-t-butylsilsesquioxane), poly(p-hydroxy-α-methylbenzylsilsesquioxane-co-cyclohexylsilsesquioxane), poly(p-hydroxy-α-methylbenzylsilsesquioxane-co-phenylsilsesquioxane), poly(p-hydroxy- α-methylbenzylsilsesquioxane-co-bicycloheptylsilsesquioxane), and poly(p-hydroxybenzylsilsesquioxane-co-p-hydroxyphenylethylsilsesquioxane). The polyorganosiloxane polymers described in US Patent 5,100,503 are generally not useful for creating low temperature bake compositions due to their very low reactivity with crosslinking components; the disclosure of this patent is incorporated herein by reference.
The SiO-containing polymers of the invention preferably have a weight average molecular weight, before reaction with the crosslinking component, of at least about 1000, more preferably a weight average molecular weight of about 1000-10000.
The crosslinking component is preferably a crosslinker that can be reacted with the SiO containing polymer in a manner which is catalyzed by generated acid and/or by heating. Generally, the crosslinking component used in the antireflective compositions of the invention may be any suitable crosslinking agent known in the negative photoresist art which is otherwise compatible with the other selected components of the composition. The crosslinking agents preferably act to crosslink the polymer component in the presence of a generated acid. Preferred crosslinking agents are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril, and methylphenyltetramethoxymethyl glycoluril, available under the POWDERLINK trademark from American Cyanamid Company. Other possible crosslinking agents include: 2,6-bis(hydroxymethyl)-p-cresol, compounds having the following structures:
CH 2QOCHQ 3
including their analogs and derivatives, such as those found in Japanese Laid-Open Patent Application (Kokai) No. 1-293339, as well as etherified amino resins, for example methylated or butylated melamine resins (N-methoxymethyl- or N-butoxymethyl- melamine respectively) or methylated/butylated glycolurils, for example as can be found in Canadian Patent No. 1 204 547. Other crosslinking agents such as bis-epoxies or bis-phenols (e.g., bisphenol-A) may also be used. Combinations of crosslinking agents may be used. The acid generator is preferably an acid generator compound is employed that liberates acid upon thermal treatment. A variety of known thermal acid generators are suitably employed such as e.g. 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, 2-nitrobenzyl tosylate and other alkyl esters of organic sulfonic acids. Compounds that generate a sulfonic acid upon activation are generally suitable. Other suitable thermally activated acid generators are described in US Patents 5,886,102 and 5,939,236; the disclosures of these two patents are incorporated herein by reference. If desired, a radiation-sensitive acid generator may be employed as an alternative to a thermally activated acid generator or in combination with a thermally activated acid generator. Examples of suitable radiation-sensitive acid generators are described in US Patents 5,886,102 and 5,939,236. Other radiation-sensitive acid generators known in the resist art may also be used as long as they are compatible with the other components of the antireflective composition. Where a radiation-sensitive acid generator is used, the cure (crosslinking) temperature of the composition may be reduced by application of appropriate radiation to induce acid generation which in turn catalyzes the crosslinking reaction. Even if a radiation-sensitive acid generator is used, it is preferred to thermally treat the composition to accelerate the crosslinking process (e.g., for wafers in a production line).
The antireflective compositions of the invention preferably contain (on a solids basis) (i) about 50-98 wt.% of the SiO-containing polymer, more preferably about 70-80 wt.%, (ii) about 1 -50 wt.% of crosslinking component, more preferably about 3-25%, most preferably about 5-25 wt.%, and (iii) about 1 -20 wt.% acid generator, more preferably about 1-15 wt.%.
The antireflective coating/hardmask compositions of the invention may be used in combination with any desired resist material in the forming of a lithographic structure. Preferably, the resist is imageable with ultraviolet radiation (e.g. < 400 nm wavelength) or with electron beam radiation. Examples of suitable resist materials are described in US Patents 5,861 ,231 ; 5,962, 84; and 6,037,097, the disclosures of which are incorporated herein by reference.
The antireflective compositions of the invention will typically contain a solvent prior to their application to the desired substrate. The solvent may be any solvent conventionally used with resists which otherwise does not have any excessively adverse impact on the performance of the antireflective composition. Preferred solvents are propylene glycol monomethyl ether acetate, cyclohexanone, and ethyl cellosolve acetate. The amount of solvent in the composition for application to a substrate is preferably sufficient to achieve a solids content of about 8-20 wt.%. Higher solids content formulations will generally yield thicker coating layers. The compositions of the invention may further contain minor amounts of auxiliary components (e.g., base additives, etc.) as may be known in the art.
The antireflective compositions of the invention can be prepared by combining the polymer, crosslinking component and acid generator, and any other desired ingredients using conventional methods. The compositions of the invention advantageously may be formed into antireflective layers on a substrate by spin-coating followed by baking to achieve crosslinking and solvent removal. The baking is preferably conducted at about 250°C or less, more preferably about 150°-200°C, most preferably about 170°-180°C. The baking time may be varied depending on the layer thickness and bake temperature. A typical time at 170° would be about two minutes.
The thickness of the antireflective composition of the invention may be varied depending on the desired function. For example, where the composition is used as a non-planarizing antireflective coating, the thickness may be about 50-500 nm. Where the composition is used as a planarizing hardmask, the thickness is preferably about 0.5-5.0 μm. If desired, the compositions of the invention may also be used as dielectric materials in a similar manner to conventional spin-on glass materials.
The compositions of the invention are especially useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates. The compositions are especially useful for lithographic processes using mid-UV, 248 nm deep UV, x-ray, or e-beam or other imaging radiation.
Semiconductor lithographic applications generally involve transfer of a pattern to a layer of material on the semiconductor substrate. The material layer of the semiconductor substrate may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material depending on the stage of the manufacture process and the desired material set for the end product. The composition of the invention is preferably applied directly over the material layer to be patterned, preferably by spin-coating. The composition is then baked to remove solvent and cure (crosslink) the composition. A radiation-sensitive resist layer can then be applied (directly or indirectly) over the cured antireflective composition of the invention.
Typically, the solvent-containing resist composition is applied using spin coating or other technique. The substrate with the resist coating is then preferably heated (pre-exposure baked) to remove the solvent and improve the coherence of the resist layer. The thickness of the applied layer is preferably as thin as possible with the provisos that the thickness is preferably substantially uniform and that the resist layer be sufficient to withstand subsequent processing (typically reactive ion etching) to transfer the lithographic pattern to the underlying substrate material layer. The pre-exposure bake step is preferably conducted for about 10 seconds to 15 minutes, more preferably about 15 seconds to one minute. The pre-exposure bake temperature may vary depending on the glass transition temperature of the photoresist. After solvent removal, the resist layer is then patternwise-exposed to the desired radiation (e.g. 248 nm ultraviolet radiation). Where scanning particle beams such as electron beam are used, pattemwise exposure may be achieved by scanning the beam across the substrate and selectively applying the beam in the desired pattern. More typically, where wavelike radiation forms such as 248 nm ultraviolet radiation, the pattemwise exposure is conducted through a mask which is placed over the resist layer. For 248 nm UV radiation, the total exposure energy is preferably about 100 millijoules/cm2or less, more preferably about 50 millijoules/cm2or less (e.g. 15-30 millijoules/cm2) .
After the desired pattemwise exposure, the resist layer is typically baked to further complete the acid-catalyzed reaction and to enhance the contrast of the exposed pattern. The post-exposure bake is preferably conducted at about 60-175°C, more preferably about 90-160°C. The post-exposure bake is preferably conducted for about 30 seconds to 5 minutes.
After post-exposure bake, the resist structure with the desired pattern is obtained (developed) by contacting the resist layer with an alkaline solution which selectively dissolves the areas of the resist which were exposed to radiation. Preferred alkaline solutions (developers) are aqueous solutions of tetramethyl ammonium hydroxide. The resulting lithographic structure on the substrate is then typically dried to remove any remaining developer solvent.
The pattern from the resist structure may then be transferred to the exposed portions of the layer of antireflective material of the invention by etching with CF4 or other suitable etchant using techniques known in the art.
After the opening of the layer of antireflective material of the invention and any underlying antireflective coating, the underlying material layer to be patterned may then be etched using an etchant appropriate to the material layer composition. Where the material layer is a metal (e.g., Cr) a combination of CI2/02 may be used as a dry etchant.
Once the desired pattern transfer has taken place, any remaining resist may be removed using conventional stripping techniques. If the composition of the invention is being used strictly as a hardmask or non-planarizing antireflective coating, the composition of the invention may be removed by contacting with a CF O2 plasma.
Thus, the compositions of the invention and resulting lithographic structures can be used to create patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices. The compositions are especially useful in the context of creating patterned metal structures, especially Cr-based structures useful as masks.
Examples of general lithographic processes where the composition of the invention may be useful are disclosed in US Patents 4,855,017; 5,362,663; 5,429,710; 5,562,801 ; 5,618,751 ; 5,744,376; 5,801 ,094; 5,821 ,469 and 5,948,570, the disclosures of which patents are incorporated herein by reference. Other examples of pattern transfer processes are described in Chapters 12 and 13 of "Semiconductor Lithography, Principles, Practices, and Materials" by Wayne Moreau, Plenum Press, (1988), the disclosure of which is incorporated herein by reference. It should be understood that the invention is not limited to any specific lithography technique or device structure.
Example 1
Ortho grafting of 9-anthracenemethyl group to poly(4-hydroxybenzylsilsesquioxane) and the formulation of hardmask/antireflective layer. 9-anthracene methanol 6.7g was reacted with 16g of poly(4-hydroxybenzylsilsesquioxane) in 150g of acetonitrile containing 0.4g of HCI. The solution was heated to reflux for several hours, and then water was added to precipitate the grafted polymer. The dried polymer was dissolved as a 14 wt.% solution in propylene glycol monomethyl ether acetate (PGMEA). Glycoluril resin (POWDERLINK crosslinking agent) and nitrobenzyl tosylate (acid generator) were added to the solution in amounts to achieve 10 wt.% of total solids and 5 wt.% of solids respectively. 200ppm of FC430 surfactant (sold by 3M Corporation) was also added to the solution.
Example 2
Etching the hardmask/antireflective layer vs. UV-80 with CF O2 gas
The hardmask antireflective layer (HM/ARC), formulated as described in Example 1 , was spin-coated on hexamethyldisilazane(HMDS)-primed wafer at 3000 rpm. The spun film was cured at 175°C for 3 minutes. A layer of
UV-80 photoresist (sold by Shipley Company) was spin-coated over the cured layer at 3000 rpm. The photoresist layer was soft baked at 130°C for 60 seconds.
Thickness measurements were made with a profilometer. To make the thickness measurements, a 13.0 nm Al strip was used as a mask; this Al is not etched in the CI2/02 or in the CF-/O2 plasmas.
A generic oxide etch process was used in the CF O2 etch with the conditions of Table I. A low pressure, high density plasma process using an inductively coupled plasma (ICP) was used. Flow rate, pressure, power and Ar dilution were selected to give a relatively stable process without the oscillations frequently encountered with these electronegative discharges. The DC self bias voltage was kept to 150 volts. Table I. Etch condition for CF O2
Table II. Etch thickness and etch rate
Example 3
Etching the hardmask/antireflective layer vs. UV80 with CI2/02 gas
Both HM/ARC and UV80 were processed as Example 2 except the etching process which is described in Table III.
Table III. Etch condition for CI2/O2
dc bias voltage -114 V
The etch rate of HM/ARC is significantly lower than UV-80.
Table IV. Etch thickness and etch rate

Claims

ClaimsWhat is claimed is:
1. A composition suitable for formation of a spin-on antireflective layer, said composition comprising: (a) a polymer containing SiO moieties and chromophore moieties, (b) a crosslinking component, and (c) an acid generator.
2. The composition of claim 1 wherein said SiO moieties are selected from the group consisting of siloxane moieties and silsesquioxane moieties.
3. The composition of claim 1 wherein said acid generator is a thermally activated acid generator.
4. The composition of claim 1 wherein said SiO-containing polymer further comprises a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.
5. The composition of claim 1 wherein said chromophore moieties are selected from the group consisting of chrysenes, pyrenes, fluoranthrenes, anthrones, benzophenones, thioxanthones, and anthracenes.
6. The composition of claim 1 wherein said crosslinking component comprises a glycoluril compound.
7. The composition of claim 1 wherein said SiO moieties are in a backbone portion of said polymer.
8. An antireflective layer on a substrate, said layer comprising the composition of any of claims 1 to 7 in crosslinked form.
9. A method of forming a patterned material feature on a substrate, said method comprising: (a) providing a material layer on a substrate, (b) forming an antireflective layer over said material layer, said antireflective layer comprising comprising the composition of any of claims 1 to 7 in crosslinked form, (c) forming a radiation-sensitive imaging layer over said antireflective layer, (d) pattemwise exposing said imaging layer to radiation thereby creating a pattern of radiation-exposed regions in said imaging layer, (e) selectively removing portions of said imaging layer and antireflective layer to expose portions of said material layer, and (f) etching said exposed portions of said material layer, thereby forming said patterned material feature.
10. The method of claim 9 wherein said radiation is selected from the group consisting of (a) ultraviolet radiation having a wavelength less than 250 nm, and (b) electron beam radiation and wherein said antireflective layer is formed in step (b) by spin-coating the composition of any of claims 1 to 7 followed by crosslinking said composition.
EP02765835A 2002-07-11 2002-07-11 Antireflective silicon-containing compositions as hardmask layer Withdrawn EP1521797A4 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/022176 WO2004007192A1 (en) 2000-06-23 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Publications (2)

Publication Number Publication Date
EP1521797A1 true EP1521797A1 (en) 2005-04-13
EP1521797A4 EP1521797A4 (en) 2006-12-20

Family

ID=34271593

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02765835A Withdrawn EP1521797A4 (en) 2002-07-11 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Country Status (3)

Country Link
EP (1) EP1521797A4 (en)
JP (1) JP4336310B2 (en)
AU (1) AU2002329596A1 (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101156200B1 (en) * 2003-05-23 2012-06-18 다우 코닝 코포레이션 Siloxane resin-based anti-reflective coating composition having high wet etch rate
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP5087807B2 (en) * 2006-02-22 2012-12-05 東京応化工業株式会社 Method for producing organic semiconductor element and composition for forming insulating film used therefor
DE602007000498D1 (en) 2006-04-11 2009-03-12 Shinetsu Chemical Co Silicon-containing, film-forming composition, silicon-containing film, silicon-containing, film-carrying substrate and structuring method
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
KR100802226B1 (en) * 2006-12-21 2008-02-11 주식회사 하이닉스반도체 Method for forming dual damascene pattern
JP5035770B2 (en) * 2007-02-16 2012-09-26 東レ・ファインケミカル株式会社 Silicone copolymer having condensed polycyclic hydrocarbon group and method for producing the same
JP2008266576A (en) * 2007-03-29 2008-11-06 Air Water Inc Polysiloxane compound, manufacturing method of the same and use of the same
US8652750B2 (en) 2007-07-04 2014-02-18 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7875417B2 (en) 2007-07-04 2011-01-25 Shin-Etsu Chemical Co., Ltd. Silicone-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
JP4793592B2 (en) 2007-11-22 2011-10-12 信越化学工業株式会社 Metal oxide-containing film forming composition, metal oxide-containing film, metal oxide-containing film-forming substrate, and pattern forming method using the same
JP5015892B2 (en) 2008-10-02 2012-08-29 信越化学工業株式会社 Silicon-containing film-forming composition, silicon-containing film-forming substrate, and pattern forming method
JP5015891B2 (en) 2008-10-02 2012-08-29 信越化学工業株式会社 Metal oxide-containing film forming composition, metal oxide-containing film forming substrate, and pattern forming method
JP4790786B2 (en) 2008-12-11 2011-10-12 信越化学工業株式会社 Method for peeling coating-type silicon-containing film
JP5399347B2 (en) 2010-09-01 2014-01-29 信越化学工業株式会社 Silicon-containing film-forming composition, silicon-containing film-forming substrate, and pattern forming method using the same
JP5782797B2 (en) 2010-11-12 2015-09-24 信越化学工業株式会社 Near infrared light absorbing dye compound, near infrared light absorbing film forming material, and near infrared light absorbing film formed thereby
JP5518772B2 (en) 2011-03-15 2014-06-11 信越化学工業株式会社 Pattern formation method
JP5785121B2 (en) 2011-04-28 2015-09-24 信越化学工業株式会社 Pattern formation method
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
JP5650086B2 (en) 2011-06-28 2015-01-07 信越化学工業株式会社 Resist underlayer film forming composition and pattern forming method
JP5453361B2 (en) 2011-08-17 2014-03-26 信越化学工業株式会社 Silicon-containing resist underlayer film forming composition and pattern forming method
JP5746005B2 (en) 2011-11-29 2015-07-08 信越化学工業株式会社 Silicon-containing resist underlayer film forming composition and pattern forming method
JP5798102B2 (en) 2011-11-29 2015-10-21 信越化学工業株式会社 Silicon-containing resist underlayer film forming composition and pattern forming method
JP5882776B2 (en) 2012-02-14 2016-03-09 信越化学工業株式会社 Resist underlayer film forming composition and pattern forming method
JP5739360B2 (en) 2012-02-14 2015-06-24 信越化学工業株式会社 Silicon-containing resist underlayer film forming composition and pattern forming method
JP5833492B2 (en) 2012-04-23 2015-12-16 信越化学工業株式会社 Silicon compound, polysiloxane compound, composition for forming resist underlayer film containing the same, and pattern forming method
JP5756134B2 (en) 2013-01-08 2015-07-29 信越化学工業株式会社 Metal oxide-containing film forming composition and pattern forming method
JP5830044B2 (en) 2013-02-15 2015-12-09 信越化学工業株式会社 Resist underlayer film forming composition and pattern forming method
US8759220B1 (en) 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
JP6189758B2 (en) 2013-03-15 2017-08-30 信越化学工業株式会社 Titanium-containing resist underlayer film forming composition and pattern forming method
JP5830048B2 (en) 2013-03-15 2015-12-09 信越化学工業株式会社 Titanium-containing resist underlayer film forming composition and pattern forming method
JP6114157B2 (en) 2013-10-02 2017-04-12 信越化学工業株式会社 Silicon-containing resist underlayer film forming composition and pattern forming method
JP6158754B2 (en) 2014-06-04 2017-07-05 信越化学工業株式会社 Resist underlayer film forming composition and pattern forming method
JP6196194B2 (en) 2014-08-19 2017-09-13 信越化学工業株式会社 Ultraviolet absorber, resist underlayer film forming composition, and pattern forming method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061560A2 (en) * 1999-06-11 2000-12-20 Shipley Company LLC Antireflective hard mask compositions
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US20020042020A1 (en) * 2000-10-10 2002-04-11 Shipley Company L.L.C. Antireflective composition
US20020076642A1 (en) * 2000-09-19 2002-06-20 Shipley Company, L.L.C. Antireflective composition
WO2002091083A1 (en) * 2001-05-08 2002-11-14 Shipley Company, L.L.C. Photoimageable composition
WO2003089992A1 (en) * 2002-04-16 2003-10-30 International Business Machines Corporation Antireflective sio-containing compositions for hardmask layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
EP1061560A2 (en) * 1999-06-11 2000-12-20 Shipley Company LLC Antireflective hard mask compositions
US20020076642A1 (en) * 2000-09-19 2002-06-20 Shipley Company, L.L.C. Antireflective composition
US20020042020A1 (en) * 2000-10-10 2002-04-11 Shipley Company L.L.C. Antireflective composition
WO2002091083A1 (en) * 2001-05-08 2002-11-14 Shipley Company, L.L.C. Photoimageable composition
WO2003089992A1 (en) * 2002-04-16 2003-10-30 International Business Machines Corporation Antireflective sio-containing compositions for hardmask layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2004007192A1 *

Also Published As

Publication number Publication date
EP1521797A4 (en) 2006-12-20
JP4336310B2 (en) 2009-09-30
JP2005520354A (en) 2005-07-07
AU2002329596A1 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
US6503692B2 (en) Antireflective silicon-containing compositions as hardmask layer
EP1521797A1 (en) Antireflective silicon-containing compositions as hardmask layer
US6730454B2 (en) Antireflective SiO-containing compositions for hardmask layer
US7223517B2 (en) Lithographic antireflective hardmask compositions and uses thereof
US7172849B2 (en) Antireflective hardmask and uses thereof
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
US7326442B2 (en) Antireflective composition and process of making a lithographic structure
US6927015B2 (en) Underlayer compositions for multilayer lithographic processes
US20060134547A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
US8323871B2 (en) Antireflective hardmask composition and a method of preparing a patterned material using same
KR100666023B1 (en) Antireflective silicon-containing compositions as hardmask layer
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20040108

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LI LU MC NL PT SE SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20061117

RIC1 Information provided on ipc code assigned before grant

Ipc: C08K 5/34 20060101ALN20061113BHEP

Ipc: C08K 5/42 20060101ALN20061113BHEP

Ipc: G03F 7/09 20060101ALI20061113BHEP

Ipc: G03F 7/075 20060101ALI20061113BHEP

Ipc: C08L 83/06 20060101ALI20061113BHEP

Ipc: C08L 83/04 20060101ALI20061113BHEP

Ipc: C08G 77/14 20060101ALI20061113BHEP

Ipc: C08G 77/38 20060101ALI20061113BHEP

Ipc: C08G 77/04 20060101AFI20040131BHEP

17Q First examination report despatched

Effective date: 20070308

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20070719