EP1379977A1 - Hdl preprocessor - Google Patents

Hdl preprocessor

Info

Publication number
EP1379977A1
EP1379977A1 EP01924969A EP01924969A EP1379977A1 EP 1379977 A1 EP1379977 A1 EP 1379977A1 EP 01924969 A EP01924969 A EP 01924969A EP 01924969 A EP01924969 A EP 01924969A EP 1379977 A1 EP1379977 A1 EP 1379977A1
Authority
EP
European Patent Office
Prior art keywords
statements
cores
projects
input data
source code
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01924969A
Other languages
German (de)
French (fr)
Inventor
Olexandr Pochayevets
Johann Siegl
Herbert Eichele
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of EP1379977A1 publication Critical patent/EP1379977A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Definitions

  • the present invention relates to a preprocessor for code written in a hardware description language, preferably VHDL.
  • the present invention relates to methods, apparatuses and systems for configuring projects and/or IP cores written in a hardware description language.
  • VHDL Very high speed integrated circuits Hardware Description Language
  • VHDL Very high speed integrated circuits Hardware Description Language
  • VHDL was standardized in IEEE 1076 in 1987, extended in 1993 (IEEE 1164), and further extended in 1999 in IEEE 1076.1 , also known as VHDL-AMS (Analog and Mixed Signals).
  • VHDL can be used for documentation, verification, and synthesis of large digital hardware designs. This is actually one of the key features of VHDL, since the same VHDL code can achieve all three of these goals, thus saving a lot of effort and reducing the introduction of errors between translating a specification into an implementation.
  • VHDL-Model consists of three parts: an entity, an architecture, and one or more configurations. Sometimes also packages are used and test benches must be written to test models.
  • An entity declaration in VHDL is a statement that defines the external specification of a circuit or sub-circuit. Using the information provided in an entity declaration (the port names and the data type and direction of each port), one has all the information needed to connect that portion of a circuit into other, higher-level circuits, or to design input stimulus for testing purposes. The actual operation of the circuit, however, is not included in the entity declaration.
  • a very simple example is a NAND gate as shown in Fig. 1.
  • a library clause LIBRARY IEEE ,-
  • a use clause USE IEEE . std_logic_H64 .ALL; ).
  • the entity declaration includes the name of the entity and a set of generic and port declarations. GENERICS are constants passed into components, usually counts or delays.
  • the PORT statement allows to define system I/O.
  • a port may correspond to a pin on a IC, an edge connector on a board, etc.
  • BUFFER A register attached to an output. (It is normally impossible to read an output, but a buffer allows this.)
  • the generic in the shown example is defined with type time because it is a delay value of 5 ns, although it is possible to pass in any VHDL type.
  • VHDL-model The second part of a VHDL-model is the architecture declaration. Every entity declaration must be accompanied by at least one corresponding architecture in order to describe how the model operates. VHDL allows to create more than one alternate architecture for each entity.
  • An architecture declaration consists of zero or more declarations (of items such as intermediate signals, components that will be referenced in the architecture, local functions and procedures and constants) followed by a begin statement and a series of concurrent statements.
  • the name of the architecture body is just an arbitrary label selected by the user. Within an architecture all statements are concurrent.
  • Fig. 2 gives an example of how a complete system can be build hierarchically by combining structural and behavioral models.
  • a behavioral model is one which defines the behavior of a system, i.e., how a system acts.
  • the used statements are PROCESS, WAIT, IF, CASE, FOR-LOOP.
  • the NAND gate of Fig. 1 can be described in a behavioral model as follows:
  • the architecture contains a concurrent signal assignment which describes the function of the design entity.
  • the concurrent assignment executes, whenever one of the ports a or b changes its value.
  • the order in which concurrent signals are written has no effect on their execution.
  • the signal assignment from the example has a delay, that means that the signal on the left hand side is updated after the given delay.
  • a structural model can be many levels deep, starting with primitive gates and building to describe a complete system.
  • a RS- FlipFlop can be constructed from the simple NAND gate above, as can be seen in Fig. 3.
  • the FlipFlop is defined as two interconnected NAND instantiations. These are defined in separate VHDL code. This allows to use different levels in coding. For example, it would be possible to instantiate the RS-FF component to form a shift register. It is necessary that the ports in a component declaration match the ports in the entity declaration one for one. The names, order, mode and types of the ports to be used are defined in the component declaration.
  • a component has to be declared only once within an architecture, but may be instanced several times (two times in this example).
  • the component NAND has two inputs (a and b) and an output (c).
  • the first line of the component instantiation statement gives this instance a name, nandi, and specifies that it is an instance of the component NAND.
  • the second line describes how the component is connected to the rest of the design using the port map clause.
  • the port map clause specifies what signals of the design to connect to the interface of the component in the same order as they are listed in the component declaration.
  • the interface is specified in order as a, b, and then c, so this instance connects r to a, qb to b, and q to c.
  • the second instance, named nand2 connects q to a, s to b, and qb to c of a different instance of the same NAND component.
  • VHDL The next type of design unit available in VHDL is called a configuration declaration.
  • a configuration can be thought of as being roughly analogous to a parts list for a design. It specifies which architectures are to be bound to which entities. This allows to have different architectures bound to an entity statement and to change how components are connected in a design description at the time of simulation or synthesis. Configurations are optional, the VHDL standard specifies a set of rules that provides a default configuration, e. g. if more than one architecture for an entity is provided, the last architecture compiled will take precedence and will be bound to the entity.
  • a so-called package is used to collect commonly used declarations for use globally among different design units. It is identified by the PACKAGE keyword and can consist of two parts, a package declaration and an optional package body. Packages allow convenient ways of defining functions and constants which are used in more than one VHDL programs. They can be thought of as being a common storage area. Items defined within a package can be made visible to any other design unit in the complete VHDL design. They also can be compiled into libraries for later use.
  • Packages act like an entity, i.e., they declare the interfaces to the functions and sub-programs found in the package body.
  • Packages defining one or more deferred constants or containing declarations of sub-programs additionally require a package body.
  • the relationship between a package and package body is similar to the relationship between an entity and its architecture. Like an architecture a package body must have the same name as its corresponding package declaration.
  • VHDL models When modeling a circuit design, usually pre-designed models, for example VHDL models, available on the market are used (for example models for Fast Fourier Transform, Fast Cosine Transform etc.). These models are however predefined. This is to some extent disadvantageous as it is required that some characteristics can be flexibly configured, i.e., having variable parameters.
  • a hardware description language project e.g., VHDL-project
  • contains hardly configurable modules for example:
  • Fig. 4 shows two conventional methods, i.e., "standard solution 1" and "standard solution 2" for providing such a flexibility in configuration.
  • Both standard ways of VHDL project configuration require some external generation program (for example C) that creates a data file or directly a VHDL file.
  • the standard of VHDL includes native configuration facilities expressed via "GENERIC", “GENERATE” and “AGGREGATE” directives but these do not satisfy all configuration needs: most sophisticated parts of VHDL code are to be configured via external software that prepares text files to be imported into VHDL source through the "textio" package. This is inconvenient and inefficient.
  • the present invention provides a preprocessor for configuring projects and IP cores written in a hardware description language, and preferably written in VHDL.
  • the present invention is based on the general idea that a configurable and thus flexible hardware description language source code, for example a VHDL source code, is obtained by first adding to the source statements that are then evaluated during the preprocessing operation and substituted by specific values depending on the specifically required configuration. Thus, a plurality of different specific cores can be obtained dependent on the requirements, and all unnecessary components are cancelled.
  • These included statements are preferably LISP statements.
  • the statements are preferably included surrounded by back single quotas ('"") in order to allow an identification of such configurable statements.
  • the statements are a general description or terms representing parameters that are allowable at the particular location of the statement in the source code (for example the statement "wordwidttT being a general variable term for the range, e.g., 8 to 32 bits).
  • the preprocessor scans the source code for statements included in back single quotas ('""), evaluates said statements, and replaces said general statements by calculated specific values dependent on the particular requirements.
  • a single configurable and thus flexible source can be used for different applications and is adapted for each specific application by the present preprocessor.
  • LISP is capable of performing as a self-modified program via its artificial intelligent functions like (mapcar). This feature provides a convenient way of evaluating the statements included into the VHDL source.
  • a LISP statement returns a single value after evaluation of the included statement. This value is then inserted into the VHDL source like a substitution of an included statement so that the VHDL source in its general form is transferred into a specific VHDL source dependent on the required configuration. All possible outputs that might be issued during the evaluation of the LISP statement are redirected as a prefix of the returned value.
  • a test sequence of the IP core obtained with the VHDL preprocessor according to the present invention is automatically generated.
  • the preprocessor according to the present invention can be loaded into and operated in a general purpose computer or a dedicated computer, either of which includes both a processor and a memory, and is not limited in practice on any one particular type of computer.
  • the preprocessor according to the present invention has the following advantages.
  • the preprocessor solves all configuration needs of a Hardware Description Language project, no additional external generation programs are required. Having the proposed preprocessor principle included into existent packages (for example, VHDL packages) vital problems of code configuration with respect to flexibility will be solved.
  • the present preprocessor can also be used as a generator of IP cores, which:
  • a method for configuring projects and/or IP cores written in a hardware description language comprising the steps of: providing source code of projects and/or IP cores to be configured; including in said source code statements representing configurable parameters; evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values.
  • An advantage of the method according to the first aspect is that existing sources, for example VHDL sources, can be modified in order for obtaining flexible and configurable sources.
  • a method for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said method comprising the steps of: evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values.
  • the source code is written in Very High Speed Integrated Circuit Hardware Description Language (VHDL) source code.
  • said statements are LISP statements.
  • a test sequence of the specifically configured project and/or IP core is generated.
  • an apparatus for configuring projects and/or IP cores written in a hardware description language comprising storage means for providing source code of projects and/or IP cores to be configured; means for including in said source code statements representing configurable parameters; evaluating means for evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and computing means replacing said statements by said calculated specific values.
  • an apparatus for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said apparatus comprising valuating means for evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and computing means for replacing said statements by said calculated specific values.
  • a system for configuring projects and/or IP cores written in a hardware description language comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) providing source code of projects and/or IP cores to be configured; (ii) including in said source code statements representing configurable parameters; (iii) evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and (iv) replacing said statements by said calculated specific values.
  • a system for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters
  • said system comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and (ii) replacing said statements by said calculated specific values.
  • a computer program comprising program code means for performing all the steps of any one of the methods of the first or second aspect when said program is run on a computer.
  • Fig. 1 shows the schematic and entity of a NAND gate
  • Fig. 2 shows an example of a hierarchical VHDL-model
  • Fig. 3 shows a structural description of a RS-FlipFlop
  • Fig. 4 shows two conventional methods of VHDL project configuration
  • Fig. 5 is a flow diagram showing the function (process_file) according to the present invention
  • Fig. 6 is a flow diagram showing the function (process_hierarchy) according to the present invention
  • Fig. 7 is a flow diagram showing the main function (VHDL_preprocessor) according to the present invention
  • Fig. 8 shows the internal architecture of the VHDL preprocessor according to the present invention
  • Fig. 1 shows the schematic and entity of a NAND gate
  • Fig. 2 shows an example of a hierarchical VHDL-model
  • Fig. 3 shows a structural description of a RS-FlipFlop
  • Fig. 4 shows two conventional methods of VHDL project configuration
  • Fig. 5
  • FIG. 9 shows the principle of the VHDL preprocessor according to the present invention
  • Fig. 10 is a flow diagram showing the LISP subset runtime environment implemented according to the present invention
  • Fig. 11 is a flow diagram showing the function lisp_parse() according to the present invention
  • Fig. 12 is a flow diagram showing the function lisp_compile() according to the present invention
  • Fig. 13 is a flow diagram showing the function lisp_link() according to the present invention
  • Fig. 14 is a flow diagram showing the function lisp_run() according to the present invention
  • Fig. 15 is a schematic diagram showing the use of the VHDL preprocessor according to the invention for generating IP cores.
  • the internal architecture of the VHDL preprocessor according to the present invention is shown in Fig. 8.
  • the internal architecture of the VHDL preprocessor according to the present invention comprises three layers that provide flexibility and portability for various computer platforms.
  • the top layer is implemented in LISP subset and comprises special statements that are included to VHDL project files.
  • the second layer i.e., the medium layer is also implemented in LISP subset and comprises the VHDL hierarchy preprocessing routine.
  • the LISP subset runtime environment is provided at the low layer that is implemented in ANSI C.
  • the implemented LISP subset runtime environment is described later.
  • Fig. 9 shows the principle of the VHDL preprocessor according to the present invention. Starting point are the VHDL/LISP project sources.
  • Source represents the VHDL model including LISP statements, denoted in Fig. 9 with “unit.vhl”. Every section that requires some modification is substituted by a LISP-like statement. Thereafter, the VHDL/LISP project sources are preprocessed using the present preprocessor. The parameters required for a specific configuration are input via a VHDL/LISP project configuration menu. Subsequently, all LISP entries in the target VHDL project (denoted with "unit.vhd”) are evaluated.
  • Fig. 7 is a flow diagram showing the main function (VHDL_preprocessor) according to the present invention.
  • VHDL_preprocessor Upon start of the main function (VHDL_preprocessor), the individual parameters, the location of the source, the destination of the target, the top level file and the test bench file are entered via an interactive menu. Subsequently, the function (process_hierarchy) is invoked for said top level VHDL file and the function (process_file) is invoked for said test bench file.
  • the function (process_hierarchy) requests from the user a file name, and then invokes the function (process_file) for a VHDL file (PASS1). Thereafter it looks through the already preprocessed VHDL file and recursively invokes itself for all other VHDL files referenced in "COMPONENT" native VHDL directives (PASS2). Usually it starts from the top level of a hierarchy and it also can start from any level of a hierarchy with the purpose of interactive iterative debugging. Such a sequence of the preprocessing (PASS1 , PASS2) is important for the automatic configuration of the hierarchy of the target project sources.
  • processing_file is shown in the flow diagram of Fig. 5. This function gets the contents of the file SOURCE/filename.vhl from the hard disk, checks it and substitutes in an iterative process all included LISP statements by their calculated values. However, in case an error occurs, the included LISP statement is replace with the error.
  • the following example shows the function of the VHDL preprocessor with reference to an 8-bit adder.
  • IP core sources and test bench sources are provided.
  • the following statements describe a general model of an adder that can be flexibly configured depending on the specific demand.
  • the ROM for the adder is described as follows:
  • test bench script The simplest test bench script is described as follows:
  • the present VHDL preprocessor assumes that configurable VHDL sources include special statements surrounded by back single quotas " " ". These particular statements are evaluated during the preprocessing of the VHDL sources, and are substituted by their specific calculated values. For example, the statement “ (if use_rom l ( - - wordwidth) ) " used in the above IP core for an adder is replaced by "7" as shown in the following example of an 8-bit adder without ROMs. Statements that are not required for the specific application/configuration are canceled. In case a 8-bit version of the adder without ROMs is considered, the data as shown in the following are entered via a configuration menu by the user:
  • ARCHITECTURE str OF device IS BEGIN s ⁇ a + b; END str;
  • test bench script is as follows:
  • the generated specific IP core for such a 32-bit adder then has the following form:
  • SIGNAL opa, opb STD_LOGIC_ _VECTOR(31 DOWNTO 0) ;
  • the following example shows the function of the inventive VHDL preprocessor as a generator of the Fast Cosine Transform (FCT) processor cores which can be customized via a configuration menu.
  • FCT Fast Cosine Transform
  • Test number sequences "Yes” to generate or "No” not to generate test set
  • the LISP subset runtime environment implemented in the present invention and shown in Fig. 10 has the following features:
  • Tiny size of the LISP kernel 120KB for CISC (Intel Pentium processor), 330KB for RISC (SPARC or MIPS processors) due to minimal set of functions and optimized internal architecture.
  • the LISP kernel can be easily embedded into other software systems like a CAD or a simulation suite due to separate functions lisp_parse() (see Fig. 11), lisp_compile() (see Fig. 12), lispJinkQ (see Fig. 13), and lisp_run() (see Fig. 14).
  • Runtime standard output can be redirected as a result of evaluation.
  • the implemented LISP subset has the traditional prefix form and supports integer, float, string, list and tree data types.
  • the following functions are chosen according to the invention as a minimal set:
  • Fig. 15 is a schematic diagram showing the use of the VHDL preprocessor according to the invention for generating IP cores.
  • the IP cores obtained by the present preprocessor are optimized having no redundant units and switchers, are prepared to be compiled and mapped fast, hide all architectural scalability from the end user and have their particular test benches.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Devices For Executing Special Programs (AREA)

Abstract

The present invention describes a VHDL preprocessor. Configurable and flexible VHDL sources comprise statements that are replaceable by specific values dependent on design requirements.

Description

HDL PREPROCESSOR
FIELD OF THE INVENTION The present invention relates to a preprocessor for code written in a hardware description language, preferably VHDL. In particular, the present invention relates to methods, apparatuses and systems for configuring projects and/or IP cores written in a hardware description language.
BACKGROUND OF THE INVENTION Since digital computer consisting of digital circuits are available engineers were looking for an appropriate form to describe such digital circuits by means of computers. The aim was to simulate circuits and to test their function before the circuits were transferred into hardware. Such form is, for example, HDL (Hardware Description Language). The latest step in this respect is the development of VHDL (Very high speed integrated circuits Hardware Description Language). VHDL was standardized in IEEE 1076 in 1987, extended in 1993 (IEEE 1164), and further extended in 1999 in IEEE 1076.1 , also known as VHDL-AMS (Analog and Mixed Signals). VHDL can be used for documentation, verification, and synthesis of large digital hardware designs. This is actually one of the key features of VHDL, since the same VHDL code can achieve all three of these goals, thus saving a lot of effort and reducing the introduction of errors between translating a specification into an implementation.
Usually a VHDL-Model consists of three parts: an entity, an architecture, and one or more configurations. Sometimes also packages are used and test benches must be written to test models.
An entity declaration in VHDL is a statement that defines the external specification of a circuit or sub-circuit. Using the information provided in an entity declaration (the port names and the data type and direction of each port), one has all the information needed to connect that portion of a circuit into other, higher-level circuits, or to design input stimulus for testing purposes. The actual operation of the circuit, however, is not included in the entity declaration.
A very simple example is a NAND gate as shown in Fig. 1. At the top of the example is a library clause (LIBRARY IEEE ,- ) and a use clause (USE IEEE . std_logic_H64 .ALL; ). This gives the entity access to all names declared within package STD_LOGIC_1164 in the library IEEE. The entity declaration includes the name of the entity and a set of generic and port declarations. GENERICS are constants passed into components, usually counts or delays. The PORT statement allows to define system I/O. A port may correspond to a pin on a IC, an edge connector on a board, etc. The following are signal types which can be declared in the port statement:
IN Input to the system
OUT Output from the system
INOUT A bi-directional signal
BUFFER A register attached to an output. (It is normally impossible to read an output, but a buffer allows this.)
The generic in the shown example is defined with type time because it is a delay value of 5 ns, although it is possible to pass in any VHDL type.
The second part of a VHDL-model is the architecture declaration. Every entity declaration must be accompanied by at least one corresponding architecture in order to describe how the model operates. VHDL allows to create more than one alternate architecture for each entity. An architecture declaration consists of zero or more declarations (of items such as intermediate signals, components that will be referenced in the architecture, local functions and procedures and constants) followed by a begin statement and a series of concurrent statements. The name of the architecture body is just an arbitrary label selected by the user. Within an architecture all statements are concurrent. There are two commonly used approaches for an architecture description, structural and behavioral. Fig. 2 gives an example of how a complete system can be build hierarchically by combining structural and behavioral models.
A behavioral model is one which defines the behavior of a system, i.e., how a system acts. The used statements are PROCESS, WAIT, IF, CASE, FOR-LOOP. The NAND gate of Fig. 1 can be described in a behavioral model as follows:
architecture behavior of NAND is begin c <= NOT (a AND b) after DELAY; end behavior ;
The architecture contains a concurrent signal assignment which describes the function of the design entity. The concurrent assignment executes, whenever one of the ports a or b changes its value. The order in which concurrent signals are written has no effect on their execution. The signal assignment from the example has a delay, that means that the signal on the left hand side is updated after the given delay.
As already mentioned, a structural model can be many levels deep, starting with primitive gates and building to describe a complete system. A RS- FlipFlop can be constructed from the simple NAND gate above, as can be seen in Fig. 3.
The FlipFlop is defined as two interconnected NAND instantiations. These are defined in separate VHDL code. This allows to use different levels in coding. For example, it would be possible to instantiate the RS-FF component to form a shift register. It is necessary that the ports in a component declaration match the ports in the entity declaration one for one. The names, order, mode and types of the ports to be used are defined in the component declaration. A component has to be declared only once within an architecture, but may be instanced several times (two times in this example).
In this example the component NAND has two inputs (a and b) and an output (c). There are two instances of the NAND component in this architecture. The first line of the component instantiation statement gives this instance a name, nandi, and specifies that it is an instance of the component NAND. The second line describes how the component is connected to the rest of the design using the port map clause. The port map clause specifies what signals of the design to connect to the interface of the component in the same order as they are listed in the component declaration. The interface is specified in order as a, b, and then c, so this instance connects r to a, qb to b, and q to c. The second instance, named nand2, connects q to a, s to b, and qb to c of a different instance of the same NAND component.
The next type of design unit available in VHDL is called a configuration declaration. A configuration can be thought of as being roughly analogous to a parts list for a design. It specifies which architectures are to be bound to which entities. This allows to have different architectures bound to an entity statement and to change how components are connected in a design description at the time of simulation or synthesis. Configurations are optional, the VHDL standard specifies a set of rules that provides a default configuration, e. g. if more than one architecture for an entity is provided, the last architecture compiled will take precedence and will be bound to the entity.
A so-called package is used to collect commonly used declarations for use globally among different design units. It is identified by the PACKAGE keyword and can consist of two parts, a package declaration and an optional package body. Packages allow convenient ways of defining functions and constants which are used in more than one VHDL programs. They can be thought of as being a common storage area. Items defined within a package can be made visible to any other design unit in the complete VHDL design. They also can be compiled into libraries for later use.
Packages act like an entity, i.e., they declare the interfaces to the functions and sub-programs found in the package body. Packages defining one or more deferred constants or containing declarations of sub-programs additionally require a package body. The relationship between a package and package body is similar to the relationship between an entity and its architecture. Like an architecture a package body must have the same name as its corresponding package declaration.
When modeling a circuit design, usually pre-designed models, for example VHDL models, available on the market are used (for example models for Fast Fourier Transform, Fast Cosine Transform etc.). These models are however predefined. This is to some extent disadvantageous as it is required that some characteristics can be flexibly configured, i.e., having variable parameters. The problem here is that a hardware description language project (e.g., VHDL-project) contains hardly configurable modules, for example:
GENERIC (width)
IF in_adr = "XX. .X" THEN out_d <= "XXXXXXX. . .XXX" ; -- COS (2*Pi*I) , l=0...n
< width >
ELSIF in_adr = nXX~X" THEN
END IF;
There is thus a demand that modules are configurable or flexible, such as models of CPUs or FFTs (Fast Fourier Transform). Fig. 4 shows two conventional methods, i.e., "standard solution 1" and "standard solution 2" for providing such a flexibility in configuration. Both standard ways of VHDL project configuration require some external generation program (for example C) that creates a data file or directly a VHDL file. The standard of VHDL includes native configuration facilities expressed via "GENERIC", "GENERATE" and "AGGREGATE" directives but these do not satisfy all configuration needs: most sophisticated parts of VHDL code are to be configured via external software that prepares text files to be imported into VHDL source through the "textio" package. This is inconvenient and inefficient.
SUMMARY OF THE INVENTION Thus, it is the object of the present invention to provide a more simple and convenient way of flexibly configuring modules written in a hardware description language, for example under VHDL. This object is achieved with the features of the claims.
The present invention provides a preprocessor for configuring projects and IP cores written in a hardware description language, and preferably written in VHDL. The present invention is based on the general idea that a configurable and thus flexible hardware description language source code, for example a VHDL source code, is obtained by first adding to the source statements that are then evaluated during the preprocessing operation and substituted by specific values depending on the specifically required configuration. Thus, a plurality of different specific cores can be obtained dependent on the requirements, and all unnecessary components are cancelled. These included statements are preferably LISP statements. The statements are preferably included surrounded by back single quotas ('"") in order to allow an identification of such configurable statements. The statements are a general description or terms representing parameters that are allowable at the particular location of the statement in the source code (for example the statement "wordwidttT being a general variable term for the range, e.g., 8 to 32 bits). In other words, the preprocessor according to the present invention scans the source code for statements included in back single quotas ('""), evaluates said statements, and replaces said general statements by calculated specific values dependent on the particular requirements. Hence, a single configurable and thus flexible source can be used for different applications and is adapted for each specific application by the present preprocessor.
The use of LISP statements in the present (e.g., VHDL) preprocessor is advantageous for several reasons. First, LISP is capable of performing as a self-modified program via its artificial intelligent functions like (mapcar). This feature provides a convenient way of evaluating the statements included into the VHDL source. Second, a LISP statement returns a single value after evaluation of the included statement. This value is then inserted into the VHDL source like a substitution of an included statement so that the VHDL source in its general form is transferred into a specific VHDL source dependent on the required configuration. All possible outputs that might be issued during the evaluation of the LISP statement are redirected as a prefix of the returned value.
Preferably, a test sequence of the IP core obtained with the VHDL preprocessor according to the present invention is automatically generated.
The preprocessor according to the present invention can be loaded into and operated in a general purpose computer or a dedicated computer, either of which includes both a processor and a memory, and is not limited in practice on any one particular type of computer.
The preprocessor according to the present invention has the following advantages. The preprocessor solves all configuration needs of a Hardware Description Language project, no additional external generation programs are required. Having the proposed preprocessor principle included into existent packages (for example, VHDL packages) vital problems of code configuration with respect to flexibility will be solved. The present preprocessor can also be used as a generator of IP cores, which:
• will be optimized having no redundant units and switchers;
• will be compiled and mapped fast;
• will hide all architectural scalability from the end user;
• will have their particular test benches.
According to a first aspect of the present invention there is provided a method for configuring projects and/or IP cores written in a hardware description language, said method comprising the steps of: providing source code of projects and/or IP cores to be configured; including in said source code statements representing configurable parameters; evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values.
An advantage of the method according to the first aspect is that existing sources, for example VHDL sources, can be modified in order for obtaining flexible and configurable sources.
According to a second aspect of the present invention, there is provided a method for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said method comprising the steps of: evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values. Preferably, the source code is written in Very High Speed Integrated Circuit Hardware Description Language (VHDL) source code. Preferably, said statements are LISP statements. According to a preferred embodiment, a test sequence of the specifically configured project and/or IP core is generated.
According to a third aspect of the present invention, there is provided an apparatus for configuring projects and/or IP cores written in a hardware description language, said apparatus comprising storage means for providing source code of projects and/or IP cores to be configured; means for including in said source code statements representing configurable parameters; evaluating means for evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and computing means replacing said statements by said calculated specific values.
According to a fourth aspect of the present invention, there is provided an apparatus for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said apparatus comprising valuating means for evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and computing means for replacing said statements by said calculated specific values.
According to a fifth aspect of the present invention, there is provided a system for configuring projects and/or IP cores written in a hardware description language, said system comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) providing source code of projects and/or IP cores to be configured; (ii) including in said source code statements representing configurable parameters; (iii) evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and (iv) replacing said statements by said calculated specific values.
According to a sixth aspect of the present invention, there is provided a system for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said system comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and (ii) replacing said statements by said calculated specific values.
According to a seventh aspect of the present invention there is provided a computer program comprising program code means for performing all the steps of any one of the methods of the first or second aspect when said program is run on a computer.
BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 shows the schematic and entity of a NAND gate; Fig. 2 shows an example of a hierarchical VHDL-model; Fig. 3 shows a structural description of a RS-FlipFlop; Fig. 4 shows two conventional methods of VHDL project configuration; Fig. 5 is a flow diagram showing the function (process_file) according to the present invention; Fig. 6 is a flow diagram showing the function (process_hierarchy) according to the present invention; Fig. 7 is a flow diagram showing the main function (VHDL_preprocessor) according to the present invention; Fig. 8 shows the internal architecture of the VHDL preprocessor according to the present invention; Fig. 9 shows the principle of the VHDL preprocessor according to the present invention; Fig. 10 is a flow diagram showing the LISP subset runtime environment implemented according to the present invention; Fig. 11 is a flow diagram showing the function lisp_parse() according to the present invention; Fig. 12 is a flow diagram showing the function lisp_compile() according to the present invention; Fig. 13 is a flow diagram showing the function lisp_link() according to the present invention; Fig. 14 is a flow diagram showing the function lisp_run() according to the present invention; and Fig. 15 is a schematic diagram showing the use of the VHDL preprocessor according to the invention for generating IP cores.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS AND EXAMPLES
The internal architecture of the VHDL preprocessor according to the present invention is shown in Fig. 8. The internal architecture of the VHDL preprocessor according to the present invention comprises three layers that provide flexibility and portability for various computer platforms. The top layer is implemented in LISP subset and comprises special statements that are included to VHDL project files. The second layer, i.e., the medium layer is also implemented in LISP subset and comprises the VHDL hierarchy preprocessing routine. Finally, at the low layer that is implemented in ANSI C the LISP subset runtime environment is provided. The implemented LISP subset runtime environment is described later. Fig. 9 shows the principle of the VHDL preprocessor according to the present invention. Starting point are the VHDL/LISP project sources. "Source" represents the VHDL model including LISP statements, denoted in Fig. 9 with "unit.vhl". Every section that requires some modification is substituted by a LISP-like statement. Thereafter, the VHDL/LISP project sources are preprocessed using the present preprocessor. The parameters required for a specific configuration are input via a VHDL/LISP project configuration menu. Subsequently, all LISP entries in the target VHDL project (denoted with "unit.vhd") are evaluated.
Fig. 7 is a flow diagram showing the main function (VHDL_preprocessor) according to the present invention. Upon start of the main function (VHDL_preprocessor), the individual parameters, the location of the source, the destination of the target, the top level file and the test bench file are entered via an interactive menu. Subsequently, the function (process_hierarchy) is invoked for said top level VHDL file and the function (process_file) is invoked for said test bench file.
As shown in the flow diagram of Fig. 6, the function (process_hierarchy) requests from the user a file name, and then invokes the function (process_file) for a VHDL file (PASS1). Thereafter it looks through the already preprocessed VHDL file and recursively invokes itself for all other VHDL files referenced in "COMPONENT" native VHDL directives (PASS2). Usually it starts from the top level of a hierarchy and it also can start from any level of a hierarchy with the purpose of interactive iterative debugging. Such a sequence of the preprocessing (PASS1 , PASS2) is important for the automatic configuration of the hierarchy of the target project sources. During PASS1 after conditional processing in the LISP statements required "COMPONENT" directives will be inserted to the preprocessed VHDL file that will define how the function (process_hierarchy) will dive recursively during PASS2. The function (process_file) is shown in the flow diagram of Fig. 5. This function gets the contents of the file SOURCE/filename.vhl from the hard disk, checks it and substitutes in an iterative process all included LISP statements by their calculated values. However, in case an error occurs, the included LISP statement is replace with the error.
Example 1
The following example shows the function of the VHDL preprocessor with reference to an 8-bit adder. First, the IP core sources and test bench sources are provided. The following statements describe a general model of an adder that can be flexibly configured depending on the specific demand.
-- device.vhl ==> device. hd
(if use_rom (progn (outf I a [2 ] | b [2] \n" 0) (outf I I \n" 0) (outf \n" 0) (outf U0 ul \n" 0) (outf const ROM const ROM \n" 0) (outf \n" 0) (outf - + I I + I \n" 0) (outf \n" 0) (outf j opa [%021d] " wordwidth) (outf j opb [%02ld] - -\n" wordwidth)
)
(progn (outf " a [%02ld] " wordwidth) (outf "• b [%02ld] - -\n" wordwidth)
II II
)
- + -
A D D E R | + ■ I
I s P (str fmt " %021d" wordwidth) " ]
-- Generation time: "(time)
LIBRARY IEEE; USE IEEE. Std_logic_1164.ALL; USE IEEE. std_logic_signed. LL; USE IEEE. std_logic_arith.ALL;
ENTITY device IS
PORT (a, b : IN STD_LOGIC_VECTOR r (if use_rom 1 (-- wordwidth))" DOWNTO 0) s: OUT STD_LOGIC_VECTOR ( " ( - - wordwidth)" DOWNTO 0) ) ; END device;
ARCHITECTURE str OF device IS " (if use_rom (progn
(outf " COMPONENT rom\n" nil)
(outf " PORT(in_adr : IN STD_LOGIC_VECTOR(l DOWNTO 0);\n" nil)
(outf " out_d : OUT STD_LOGIC_VECTOR(%ld DOWNTO 0));\n"
( - - wordwidth) ) (outf " END COMPONENT; \n" nil)
(outf " SIGNAL opa, opb : STD_LOGIC_VECTOR(%ld DOWNTO 0);\n" (-- wordwidth) )
)
) "BEGIN " (if use_rom (progn
(outf " uO : rom\n" nil)
(outf " PORT MAP(in_adr => a, out_d => opa);\n" nil) (outf " ul: rom\n" nil)
" PORT MAP(in_adr => b, out_d => opb) ;\n" )
)" s <= "(if use_rom "op" "")"a + "(if use_rom "op" "")"b; END str;
The ROM for the adder is described as follows:
-- rom.vhl ==> ro .vhd
in_adr[2] | | out_d [" (str_fmt "%02ld" wordwidth)"] > + const ROM + >
Generation time: "(time)
LIBRARY IEEE;
USE IEEE. std_logic_1164.ALL; USE IEEE. std_logic_signed.ALL; USE IEEE. std_logic_arith.ALL;
ENTITY rom IS
PORT(in_adr : IN STD_LOGIC_VECTOR(l DOWNTO 0) ; out d : OUT STD LOGIC VECTOR ("(-- wordwidth)" DOWNTO 0) END rom;
ARCHITECTURE str OF rom IS BEGIN run: PROCESS (in_adr) BEGIN " (progn
(defun coeff_gen (progn
(setq wordwidth $1) (setq i $2) (setq n $3) (setq ret_line "\"0") (setq weight 0) (for j 2 1 wordwidth (progn (setq weight (<< weight 1) ) (setq weight (++ weight) ) ))
(setq coeff (ival (*. weight (cos (/. (*. (pi) (++ (* 2 i) ) ) (* 2 n) ) ) ) ) )
(setq j (<< 1 (- wordwidth 2))) (while (>= j 1) (progn
(setq ret_line (cat ret_line (if (> (/ coeff j) 0) "1" "0"))) (setq coeff (% coeff j)) (setq j (>> j 1) ) ))
(cat (cat ret_line "\"; -- R") (cat (str i) (cat "(" (cat (str n) ")"))))
(outf " IF in_adr = "00" THEN\n" 0)
(outf " out_d <= %s\n" (coeff_gen wordwidth 0 8))
(outf " ELSIF in_adr = "01" THEN\n" 0)
(outf " out_d <= %s\n" (coeff_gen wordwidth 1 8) )
(outf " ELSIF in_adr = "10" THEN\n" 0)
(outf " out_d <= %s\n" (coeff_gen wordwidth 3 8) )
(outf " ELSIF in_adr = "11" THEN\n" 0)
(outf " out_d <= %s\n" (coeff_gen wordwidth 2 8) )
" END IF;\n"
) " END PROCESS run;
The simplest test bench script is described as follows:
# devtst.dl ==> devtst.do radix dec wave * " (progn
(if use_rom
(setq weight 4) (progn
(setq weight 0) (for i 2 1 wordwidth (progn (setq weight (<< weight 1) ) (setq weight (++ weight) ) )) (for i 1 1 10 (progn
(outf "\nforce a %ld\n" (irnd weight) (outf "force b %ld\n" (irnd weight) ) (outf "run 1000\n" nil)
# <E0F>
The present VHDL preprocessor assumes that configurable VHDL sources include special statements surrounded by back single quotas """. These particular statements are evaluated during the preprocessing of the VHDL sources, and are substituted by their specific calculated values. For example, the statement " (if use_rom l ( - - wordwidth) ) " used in the above IP core for an adder is replaced by "7" as shown in the following example of an 8-bit adder without ROMs. Statements that are not required for the specific application/configuration are canceled. In case a 8-bit version of the adder without ROMs is considered, the data as shown in the following are entered via a configuration menu by the user:
VHDL Preprocessor (IP Core Generator) !
* Device IP Generator *
* Configuration Menu *
0. Wordwidth "8'
1. Use ROM "No"
2. Source file directory " SOURCE/ "
3. Target file directory "TARGET/"
4. TopLevel file "device"
5. TestBench file "devtst"
G. Generate the Device.
Q. Quit the program.
Enter choice: G
SOURCE/device ==> TARGET/deΛ /-ice
SOURCE/devtst ==> TARGET/deΛ rtst
The final, i.e., generated IP core for the 8-bit version without ROMs has the following form: device. vhl ==> device. hd
a[08] b[08]
- + -
A D D E R
s[08]
Generation time:
LIBRARY IEEE;
USE IEEE. std_logic_1164.ALL; USE IEEE. std_logic_signed. LL; USE IEEE. std_logic_arith.ALL;
ENTITY device IS
PORT (a, b : IN STD__LOGIC_VECTOR (7 DOWNTO 0) ; s: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END device;
ARCHITECTURE str OF device IS BEGIN s <= a + b; END str;
The simplest test bench script is as follows:
# devtst.dl ==> devtst.do radix dec wave * force a 65 force b 22 run 1000 force a 39 force b 67 run 1000 force a 120 force b 21 run 1000 force a 89 force b 28 run 1000 force a 62 force b 15 run 100C force a 10 force b 49 run 100C force a 35 force b 46 run 100C force a 124 force b 67 run 100C force a 97 force b 82 run 100C force a 97 force b 99 run 100C
# <EOF>
Example 2:
Based on the same general model shown above, a specific model for a 32-bit version with ROMs can be obtained according to the VHDL-preprocessor of the present invention by entering the following parameters:
VHDL Preprocessor (IP Core Generator) !
* Device IP Generator *
* Configuration Menu *
0. Wordwidth "32'
1. Use ROM "Yes"
2. Source file directory "SOURCE/"
3. Target file directory "TARGET/"
4. TopLevel file "device"
5. TestBench file "devtst"
G. Generate the Device .
Q. Quit the program.
Enter choice : G
SOURCE/device ==> TARGET/device
SOURCE/ om ==> TARGET/rom
SOURCE/devtst ==> TARGET/deΛ rtst
The generated specific IP core for such a 32-bit adder then has the following form:
-- device.vhl ==> device.vhd
I a [2] I b[2]
I I uO | | ul const ROM const ROM
- + - opa [32 ] opb [32 ]
-- Generation time: --
LIBRARY IEEE;
USE IEEE. std_logic_1164.ALL;
USE IEEE. std_logic_signed.ALL,
USE IEEE. std_logic_arith.ALL;
ENTITY device IS
PORT(a,b : IN STD_LOGIC_VECTOR (1 DOWNTO 0) ; s: OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ) ;
END device;
ARCHITECTURE str OF device IS
COMPONENT rom
PORT(in_adr : IN STD_LOGIC_ _VECTOR(l DOWNTO 0) ; out_d : OUT STD_LOGIC~ _VECTOR(31 DOWNTO 0) ) ;
END COMPONENT;
SIGNAL opa, opb : STD_LOGIC_ _VECTOR(31 DOWNTO 0) ;
BEGIN u0 : rom
PORT MAP(in_adr => a, out_d => opa) ; ul : rom
PORT MAP(in_adr => b, out_d => opb) ; s <= opa + opb;
END str;
The model of the associated ROMs is as follows:
rom.vhl ==> rom.vhd
in_adr [2 ] | ] out_d [32 ] > + const ROM + >
Generation time
LIBRARY IEEE;
USE IEEE. std_logic_1164.ALL; USE IEEE. std_logic_signed.ALL; USE IEEE. std_logic_arith.ALL;
ENTITY rom IS
PORT(in_adr : IN STD_LOGIC_VECTOR (1 DOWNTO 0) ; out_d : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ) ; END rom;
ARCHITECTURE str OF rom IS BEGIN run: PROCESS (in_adr) BEGIN
IF in_adr = "00" THEN out_d <= "01111101100010100101111100111110"; R0 (8)
ELSIF in_adr = "01" THEN out_d <= "01101010011011011001100010100011"; Rl(8)
ELSIF in_adr = "10" THEN out_d <= "00011000111110001011100000111100"; R3 (8)
ELSIF in_adr = "11" THEN out_d <= "01000111000111001110110011100110"; R2 (8)
END IF; END PROCESS run; END str; The simplest test bench script is as follows:
# devtst . dl == => devtst. do radix dec wave * force a 2 force b 0 run 1000 force a 1 force b 2 run 1000 force a 3 force b 0 run 1000 force a 2 force b 0 run 1000 force a 1 force b 0 run 1000 force a 0 force b 1 run 1000 force a 1 force b 1 run 1000 force a 3 force b 2 run 1000 force a 3 force b 2 run 1000 force a 3 force b 3 run 1000
# <EOF> Example 3
The following example shows the function of the inventive VHDL preprocessor as a generator of the Fast Cosine Transform (FCT) processor cores which can be customized via a configuration menu. The parameters of the configuration menu and examples of generated cores are enumerated below.
• FCT word width: [2..32]bits
• FCT sizeO: [2,4,8,16,32,64,128,256] points for the first dimension
• FCT sizel : [2,4,8,16,32,64,128,256] points for the second dimension
• Dimensioning: 1 D for one-dimensional or 2D for two-dimensional transform
• Test number sequences: "Yes" to generate or "No" not to generate test set
In comparison to standard LISP, the LISP subset runtime environment implemented in the present invention and shown in Fig. 10 has the following features:
• Tiny size of the LISP kernel (120KB for CISC (Intel Pentium processor), 330KB for RISC (SPARC or MIPS processors)) due to minimal set of functions and optimized internal architecture.
• The LISP kernel can be easily embedded into other software systems like a CAD or a simulation suite due to separate functions lisp_parse() (see Fig. 11), lisp_compile() (see Fig. 12), lispJinkQ (see Fig. 13), and lisp_run() (see Fig. 14). • Runtime standard output can be redirected as a result of evaluation.
The implemented LISP subset has the traditional prefix form and supports integer, float, string, list and tree data types. The following functions are chosen according to the invention as a minimal set:
Category Functions
Complex progn) User Defined defun) Variable/array setq), (arsetq), (index) creator/evaluator Input/output, file accept), (scan_console), (outf), (file_create), access file_open), (file_write), (file_read), (file_close), file_remove)
Conditional execution if) Iteration execution while), (for) Built-in comparison ,equal7"=="), ("notequal"/"!="), ("Iess7"<"), and logical "more ">"), ("lessorequar,/"<="), ;"moreorequal"/">="), ("and'7"&&"), ("or"/"H"), ("not"/"!")
Built-in integer ival), (indices), (irnd), ("iadd "+"), ("isub"/"-"), imul"/"*"), ("idiv'V"/"), ("ima7"*+"), ("imod"/"%"), "iincr,7,,++"), ("idecr"/"--"), ("ineg'TO-"), (iabs), ;,,iand"/"&")> ("ior 'l"), ("ixor"/"Λ"), ("inot7"~"), "ishr7"»"), ("ishl7"«")
Built-in float ;fval), (frnd), ("fadd7"+."), ("fsub"/"-."), ("fmul"/"*."), ;"fdiv"/7."), ("fma7"*+."), (fabs), ("fint"/"int"), "froundTround"), ("fcosTcos"), ("fsinVsin"), :"fcas7"cas"), ("fatnTatn"), ("fexpTexp"), "fpowT'pow"/'^."/"**"), ("fln'V'ln"), ("fsqrtTsqrt'V'sqr")
Built-in string str), (chr), (asc), (type), (dump_i2s), (dump_f2s), dump_s2i), (dump_s2f), (notempty), (len), (at), (rat), cat), (space), (replicate), (left), (leftr), (right), (rightl), (substr), (strtran), (str_raw), (str_unraw), (str_dump), (str_fmt), (Itrim), (rtrim), (alltrim), (pack), (head), (tail), (upper), (lower), (rev), (padl), (padr), (padc), (time), (getenv)
Built-in constant (ee), (gamma), (phi), (pi), (pm_integer_fmt), (pm_float_fmt), (pm_string_fmt), (reinit_terminal), (term_type), (lines_term), (columns_term), (clrscr_term), (reverse_term), (blink_term), (bold_term), (normal_term), (hidecursor_term), (showcursor_term), (gotocursor_term), (gotocursor1_term), (version_fstlisp), (version_termcap), (version_strglib), (versionjnempool), (compiled_on), (compiled_by)
Built-in artificial (mapcar) intelligence
Fig. 15 is a schematic diagram showing the use of the VHDL preprocessor according to the invention for generating IP cores. The IP cores obtained by the present preprocessor are optimized having no redundant units and switchers, are prepared to be compiled and mapped fast, hide all architectural scalability from the end user and have their particular test benches.

Claims

1. A method for configuring projects and/or IP cores written in a hardware description language, said method comprising the steps of: providing source code of projects and/or IP cores to be configured; including in said source code statements representing configurable parameters; evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values.
2. A method for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said method comprising the steps of: evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and replacing said statements by said calculated specific values.
3. The method of claim 1 or 2, wherein the source code is written in Very High Speed Integrated Circuit Hardware Description Language (VHDL) source code.
4. The method of any of claims 1 to 3, wherein said statements are LISP statements.
5. The method of any of claims 1 to 4 , wherein said input data are input by a user.
6. The method of any of claims 1 to 5 , further comprising the step of generating a test sequence of the specifically configured project and/or IP core.
7. Apparatus for configuring projects and/or IP cores written in a hardware description language, said apparatus comprising: storage means for providing source code of projects and/or IP cores to be configured; means for including in said source code statements representing configurable parameters; evaluating means for evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and computing means replacing said statements by said calculated specific values.
8. Apparatus for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said apparatus comprising: valuating means for evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and computing means for replacing said statements by said calculated specific values.
9. The apparatus of claim 7 or 8, wherein the source code is written in Very High Speed Integrated Circuit Hardware Description Language (VHDL) source code.
10. The apparatus of any of claims 7 to 9, wherein said statements are LISP statements.
11. The apparatus of any of claims 7 to 10 , further comprising an input terminal for inputting said input data by a user.
12. The apparatus of any of claims 7 to 11 , further comprising generating means for generating a test sequence of the specifically configured project and/or IP core.
13. System for configuring projects and/or IP cores written in a hardware description language, said system comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) providing source code of projects and/or IP cores to be configured; (ii) including in said source code statements representing configurable parameters; (iii) evaluating said included statements based on input data representing a specific configuration desired by a user for obtaining specific values for said configurable parameters based on said input data; and (iv) replacing said statements by said calculated specific values.
14. System for obtaining configured projects and/or IP cores written in a hardware description language as desired by a user based on flexibly configurable projects and/or IP cores, said flexibly configurable projects and/or IP cores comprising source code having statements representing configurable parameters, said system comprising a computer having a processor and a memory, said memory having stored therein a preprocessing program for (i) evaluating said included statements based on input data representing a specific configuration for obtaining specific values for said configurable parameters based on said input data; and (ii) replacing said statements by said calculated specific values.
15. The system of claim 13 or 14, wherein the code is written in Very High Speed Integrated Circuit Hardware Description Language (VHDL) source code.
16. The system of any of claims 13 to 15, wherein said statements are LISP statements.
17. The system of any of claims 13 to 16 , further comprising an input terminal for inputting said input data by a user.
18. The system of any of claims 13 to 17 , further comprising generating means for generating a test sequence of the specifically configured project and/or IP core.
9. A computer program comprising program code means for performing all the steps of any one of the claims 1 to 6 when said program is run on a computer.
EP01924969A 2001-04-11 2001-04-11 Hdl preprocessor Withdrawn EP1379977A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2001/011931 WO2002084538A1 (en) 2001-04-11 2001-04-11 Hdl preprocessor

Publications (1)

Publication Number Publication Date
EP1379977A1 true EP1379977A1 (en) 2004-01-14

Family

ID=21742494

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01924969A Withdrawn EP1379977A1 (en) 2001-04-11 2001-04-11 Hdl preprocessor

Country Status (2)

Country Link
EP (1) EP1379977A1 (en)
WO (1) WO2002084538A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1426885B1 (en) * 2002-12-04 2017-01-04 Mentor Graphics Corporation Generation of a multiplicity of parameterised HDLs
JP3923920B2 (en) * 2003-03-31 2007-06-06 株式会社東芝 Integrated circuit design system and integrated circuit design method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963454A (en) * 1996-09-25 1999-10-05 Vlsi Technology, Inc. Method and apparatus for efficiently implementing complex function blocks in integrated circuit designs
US6120549A (en) * 1997-01-06 2000-09-19 Xilinx, Inc. Method and apparatus for generating optimized functional macros
KR100775547B1 (en) 1999-02-05 2007-11-09 텐실리카 인코포레이티드 Automated processor generation system for designing a configurable processor and method for the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO02084538A1 *

Also Published As

Publication number Publication date
WO2002084538A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
Ferrandi et al. Bambu: an open-source research framework for the high-level synthesis of complex applications
Wang et al. Hardware/software instruction set configurability for system-on-chip processors
US6701501B2 (en) Structured algorithmic programming language approach to system design
US7006960B2 (en) Design apparatus and a method for generating an implementable description of a digital system
KR100818826B1 (en) A computerized apparatus for generating a design of an integrated circuit and a method for generating a hierarchy within an integrated circuit design having a plurality of components
Bombieri et al. HIFSuite: Tools for HDL code conversion and manipulation
US20130152031A1 (en) Method and apparatus for managing the configuration and functionality of a semiconductor design
Augé et al. Platform-based design from parallel C specifications
WO2000046704A2 (en) Automated processor generation system and method for designing a configurable processor
US7206730B2 (en) HDL preprocessor
Wolf Yosys manual
Han et al. ShakeFlow: Functional Hardware Description with Latency-Insensitive Interface Combinators
Russinoff et al. Formal verification of floating-point RTL at AMD using the ACL2 theorem prover
WO2002084538A1 (en) Hdl preprocessor
IL142342A (en) Method and apparatus for managing the configuration and functionality of a semiconductor design
Saint-Mleux et al. SHard: a Scheme to hardware compiler
Verheij Co-simulation between CλaSH and traditional HDLs
Lovic et al. HDLRuby: A Ruby Extension for Hardware Description and its Translation to Synthesizable Verilog HDL
Vossen Offloading Haskell functions onto an FPGA
Huang Design and Implementation of the Pyrope Hardware Language
Wang Verik: Reinterpreting Kotlin as a Hardware Description Language
Liu et al. Implicit state machines
Savaton et al. Behavioral vhdl styles and high-level synthesis for ips
Marwedel et al. Improving the performance of high-level synthesis
Huang et al. Automatic Platform Synthesis and Application Mapping for Multiprocessor Systems On-Chip

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20031107

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

17Q First examination report despatched

Effective date: 20061213

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20140306