EP0797842A1 - A method of fabricating ldd mos transistors utilizing high energy ion implant through an oxide layer - Google Patents

A method of fabricating ldd mos transistors utilizing high energy ion implant through an oxide layer

Info

Publication number
EP0797842A1
EP0797842A1 EP95942891A EP95942891A EP0797842A1 EP 0797842 A1 EP0797842 A1 EP 0797842A1 EP 95942891 A EP95942891 A EP 95942891A EP 95942891 A EP95942891 A EP 95942891A EP 0797842 A1 EP0797842 A1 EP 0797842A1
Authority
EP
European Patent Office
Prior art keywords
semiconductor substrate
layer
gate electrode
oxide layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP95942891A
Other languages
German (de)
French (fr)
Inventor
K. Y. Chang
Mark I. Gardner
Fred Hause
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of EP0797842A1 publication Critical patent/EP0797842A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • This invention relates to the field of MOS technology devices, particularly MOS technology devices which employ lightly doped drain (LDD) structures. More specifically, this invention describes a new method of forming LDD structures to create a unique transistor structure having improved transistor performance and reliability.
  • LDD lightly doped drain
  • LDD lightly doped drain
  • Figures 1(a) through l( ) illustrate a typical method for fabricating CMOS transistors employing LDD structures.
  • a P-channel transistor 110 and an N-channel transistor 160 in a silicon wafer 100 are shown after formation of gate structures but prior to source, drain and LDD ion implantation.
  • a polysilicon gate 112 of the P-channel transistor 110 is formed overlying a region of N-doped substrate 114.
  • a polysilicon gate 162 of the N-channel transistor 160 is formed overlying a region of P-doped substrate 164.
  • a first masking step and a first ion implant step shown in Figure 1(b)
  • N- ions are implanted to form N-channel transistor LDD regions 166 which are self-aligned with the polysilicon gate 162.
  • a second masking step and a second ion implant step shown in Figure 1(c)
  • P- ions are implanted to form P- channel transistor LDD regions 116 which are self- aligned with the polysilicon gate 112.
  • a layer of spacer oxide 102 is deposited overlying the silicon wafer 100 and the polysilicon gates 112 and 162.
  • the spacer oxide layer 102 is etched in a first etching step to form spacers 118 on the sides of polysilicon gate 112 and spacers 168 on the sides of silicon gate 162, shown in Figure 1(e).
  • An anisotropic dry etching process is typically used to form spacers since wet etching processes are usually isotropic and generally unable to construct spacers having a suitable form.
  • dry etching of spacers is performed using a single etch chamber. Compared with batch wet processing to form spacers, dry etching using the single etch chamber is time consuming and hence increases manufacturing costs.
  • the form of the LDD region which determines the hot carrier performance of the device, is established by the spacer profile.
  • the spacer profile varies as a function of the spacer oxide etch time and the spacer oxide thickness.
  • a particular amount of over-etch is necessary to form the spacers.
  • excessive over-etching reduces the width and height of the spacers and causes gouging into the silicon. Control of the over-etch process becomes more difficult as the deposited spacer oxide layer thickness increases.
  • a source/drain mask is applied and N+ ions are implanted to form N-channel transistor source and drain regions 170 which are self- aligned with the polysilicon gate 162 and spacers 168.
  • the implant energy for implanting As-f- ions typically ranges from 40 KeV to 80 KeV.
  • a source/drain mask is applied and P+ ions are implanted to form P-channel transistor source and drain regions 120 which are self-aligned with the polysilicon gate 112 and spacers 118.
  • the implant energy for implanting BF 2 + ions typically ranges from 40 KeV to 80 KeV.
  • a typical source/drain P+ ion implant process is a shallow implant and therefore utilizes an implantation of BF 2 ions rather than boron ions.
  • Boron ions are very light ions and a very low energy must be used to provide a shallow boron ion implant. If too high an energy is used, the light ions are implanted at too great a depth. However, when the implanting energy is low, the beam current is also too low so that the time taken to perform the implant is excessive.
  • BF 2 ions are larger and heavier ions so that a higher energy implant achieves a shallow depth. Unfortunately, fluorine atoms of the BF causes unwanted defects in the silicon when it is implanted.
  • an additional layer of oxide 104 is deposited to form resistors in various selected locations on the surface of the silicon wafer 100.
  • oxide layers are etched to the surface of the silicon wafer 100. This silicon etch operation is the second of two silicon etching operations. Silicon etching gouges and damages the silicon surface, degrading performance of the device.
  • titanium suicide is formed on the polysilicon gate electrode and source and drain regions of a device which greatly reduces sheet resistance, thereby improving device performance. Accordingly, a titanium layer 108, shown in Figure l(j), is deposited overlying the surface of the silicon wafer 100, the gates 112 and 162 and the resistor 106. The titanium layer 108 is reacted with silicon to form a titanium suicide layer 190. The titanium does not react with the oxide of resistor 106. The titanium also does not react with the oxide of spacers 118 and 168 so that Tisi 2 is not formed in the region of the oxide spacers.
  • the sheet resistance in the area under which the TiSi 2 is not formed is typically in the range of 1500 ohms/cm 2 in comparison with a sheet resistance of about 5 ohms/cm 2 in the suicided areas.
  • the high sheet resistance in areas without silicidation degrades transistor performance.
  • a typical CMOS LDD fabrication process utilizes four masking steps to form the source, drain and LDD regions.
  • a typical MOS fabrication process which forms surface area resistors utilizes a resistor protect deposition operation and a resistor protect etch operation. These operations increase fabrication complexity.
  • a fabrication process that reduces fabrication complexity and maintains or improves device performance is always sought to reduce fabrication costs.
  • a typical MOS LDD fabrication process requires precise control of spacer oxide etch time and the spacer oxide thickness to form an LDD structure which ensures adequate device hot carrier performance.
  • a fabrication process which improves or simplifies control of LDD form and allows the usage of a reduced spacer oxide deposition thickness is beneficial for controlling etch profile.
  • LDD structures disadvantageously are characterized by an increased parasitic resistance of the source and drain regions caused by the lightly doped regions. This increase in resistance causes transistors to have a lower saturation current.
  • a fabrication process which reduces parasitic resistance through improved control of the LDD form and by silicidation of the silicon wafer surface to the edge of the gate improves MOS device performance.
  • a MOS transistor includes a semiconductor substrate of a first conductivity type, a gate electrode overlying a selected area of the semiconductor substrate, a lightly doped source region and a lightly doped drain region of a second conductivity type, and a heavily doped source region and a heavily doped drain region of the second conductivity type.
  • the gate electrode has substantially vertical lateral sides. The lightly doped source and drain regions are formed in a shallow region of the semiconductor substrate and are self- aligned with the gate electrode.
  • the heavily doped source and drain regions are formed in the shallow region and a deeper region of the semiconductor substrate and are self-aligned a controlled distance lateral to the gate electrode.
  • the MOS transistor further includes a thin nitride layer formed on the substantially vertical lateral sides of the gate electrode. Furthermore, the transistor includes a layer of titanium suicide formed on the semiconductor substrate in areas other polysilicon gate areas. In these areas, the layer of titanium suicide is formed on the gate electrode.
  • multiple transistors having the structure of the first embodiment of the invention are included in an integrated circuit device.
  • the integrated circuit device has a resistor including a selected resistor protect area of the semiconductor substrate, an oxide insulating layer overlying the resistor protect area of the semiconductor substrate and a silicon nitride layer overlying the oxide insulating layer.
  • a method of fabricating an integrated circuit device includes the steps of forming a polysilicon gate on a surface of a semiconductor substrate, forming a thin silicon nitride layer overlying the polysilicon gate and the surface of the semiconductor substrate, depositing a layer of spacer oxide on the polysilicon gate and the surface of the semiconductor substrate and applying a source/drain photoresist mask overlying the layer of spacer oxide.
  • the method also includes the steps of implanting a heavily doped ion implant region in the semiconductor substrate using a high energy, high current implant machine to form transistor source and drain regions which are self-aligned with the polysilicon gate and with an increased thickness spacer oxide layer adjacent to the sides of the polysilicon gate.
  • the spacer oxide layer is removed in areas which are not protected by the source/drain photoresist mask and a lightly doped ion implant region is implanted in the semiconductor substrate to form transistor LDD regions which are self-aligned with the polysilicon gate.
  • the fabrication process as described above has several advantages.
  • One advantage is that the number of masking steps to form the source, drain and LDD regions is reduced from four steps to two in a CMOS technology, thereby reducing the fabrication complexity of the devices.
  • the number of masking steps to form the source, drain and LDD regions is reduced from two steps to one in NMOS and PMOS devices.
  • a silicon nitride layer is utilized to protect the surface of the silicon wafer against damage arising from subsequent etching operations. Additional advantages follow because spacers are not utilized to form implanted LDD regions. Rather than having spacers on the sides of the polysilicon gates, in the present invention a silicon nitride layer forms a straight vertical profile on a gate. This straight vertical profile has substantial thickness uniformity and controllability, thereby facilitating control of subsequent LDD doping procedures.
  • the spacers are formed using time-consuming and costly anisotropic dry etch processes.
  • the straight vertical profile of the gate sides fabricated using the improved process of the present invention employ much cheaper and faster wet etch processes.
  • a further advantage of the fabrication process of the present invention is that the high energy implant through a spacer oxide layer for source/drain implanting of P-channel transistors enables implanting of boron ions rather than the BF 2 ions utilized in a conventional process.
  • Figures 1(a) through l(k) illustrate a conventional process flow for fabricating CMOS transistors in an integrated circuit device
  • Figures 2 (a) through 2 (m) depict a process flow for fabricating CMOS transistors in an integrated circuit device in accordance with one embodiment of the present invention.
  • Figures 2(a) through 2 (m) illustrate an embodiment of an improved method for fabricating CMOS transistors employing LDD structures.
  • a P-channel transistor 210 and an N-channel transistor 260 in a silicon wafer 200 are implemented using a P-well, N- well or twin-tub technology.
  • the starting material is a silicon wafer 200.
  • the silicon wafer 200 is a lightly doped ⁇ 100> wafer or a heavily doped ⁇ 100> wafer with a lightly doped epitaxial layer at the surface.
  • the P-channel transistor 210 is fabricated in an N-doped substrate 214 which is formed in a typical manner as either lightly doped N-substrate or a more heavily doped N-well structure.
  • the N- channel transistor 260 is fabricated in a P-doped substrate 264 which is formed in a typical manner as either lightly doped P-substrate or a more heavily doped P-well structure.
  • Well structures are formed in a conventional manner by growing a thermal protection oxide layer, applying a mask which generally protects the silicon surface but exposes the well areas, and implanting ions into the well areas.
  • Well ions are driven into the silicon by high temperature cycling while an oxide layer is grown in the well areas.
  • a V ⁇ threshold-adjust implant is applied.
  • the surface of the silicon wafer 200 is stripped of the oxide layer and a new pad-oxide/nitride layer for forming isolation structures is formed.
  • a mask is applied to pattern the pad-oxide/nitride layer to define active device regions including the N-doped substrate region 214 and the P- doped substrate region 264 and to define field regions.
  • Field oxide is then grown to form field oxide regions such as region 250 for isolating active device regions.
  • the nitride/oxide layer is then removed from the active device regions.
  • a gate oxide layer 252 is grown overlying the surface of the silicon wafer 200.
  • a polysilicon gate layer is deposited by chemical vapor deposition (CVD) and a mask is applied to pattern the polysilicon into gate structures 212 and 262.
  • Figure 2(a) shows the silicon wafer 200 after formation of gate structures but prior to source, drain and LDD ion implantation.
  • a polysilicon gate 212 of the P-channel transistor 210 is formed overlying a region of N-doped substrate 214.
  • a polysilicon gate 212 of the P-channel transistor 210 is formed overlying a region of N-doped substrate 214.
  • CMOS fabrication process up to and including the step of forming the gate structures are typical CMOS fabrication steps.
  • a silicon nitride layer 254 is deposited overlying the surface of the silicon wafer 200 and overlying the polysilicon gates 212 and 262.
  • the silicon nitride layer 254 is depicted in Figure 2(b) .
  • a suitable thickness of the nitride layer 254 ranges from lOoA to 50 ⁇ A.
  • a preferred thickness is 25 ⁇ A.
  • the silicon nitride layer 254 is substantially impervious to oxide etchants so that the surface of the silicon wafer 200 is protected against damage from subsequent etching operations.
  • the silicon nitride layer 254 has a thickness which is easily controlled and forms a straight vertical profile on the lateral sides of the polysilicon gates 212 and 262. Uniformity and controllability of the silicon nitride layer 254 thickness facilitates control of subsequent LDD doping procedures.
  • a layer of spacer oxide 202 is deposited overlying the silicon wafer 200, the polysilicon gates 212 and 262, and the nitride layer 254.
  • a suitable thickness of the spacer oxide layer 202 ranges from lOOoA to 200 ⁇ A. A preferred thickness is 150 ⁇ A.
  • the thickness of the spacer oxide layer 202 in the improved CMOS process is generally smaller than the thickness of the spacer oxide layer 102 of the typical CMOS process because the typical process requires the spacer oxide thickness to be sufficient to avoid excessive etch loss.
  • the spacer width is determined by the thickness of the deposited spacer oxide rather than by the size and form of the spacers as a result of etching.
  • the deposited spacer has a well-defined rectangular profile rather than the tapered profile which results from the etching process. Precise control of the size and form of the spacers leads to a well-defined profile of the LDD implant.
  • an N+ source/drain photoresist mask 256 is applied and N+ ions are implanted using a high energy, high current implant machine (not shown) to form N-channel transistor source and drain regions 270 which are self-aligned with the polysilicon gate 262 and with the increased thickness spacer oxide layer 202 adjacent to the sides of the polysilicon gate 262. N+ ions are also implanted in the polysilicon gate 262.
  • the N+ ion implant is a high energy implant which allows N+ ions to punch through the spacer oxide layer 202 in regions where the layer 202 is the deposited thickness but which substantially prevents the N+ ions from implanting in silicon beneath the thick regions of spacer oxide layer 202 adjacent to the sides of the polysilicon gate 262. In areas where the spacer oxide layer 202 overlies the polysilicon gate 262, the combined thickness of the gate 262 and oxide layer 202 essentially prevents N+ ion implanting.
  • the implant energies for implanting N+ ions through various spacer oxide thicknesses are shown in Table 1.
  • the spacer oxide layer 202 is removed in the areas which are not protected by the source/drain photoresist mask 256, so that the N-channel transistor 260 takes the form shown in Figure 2(e).
  • the spacer oxide 202 is removed by performing a wet etching process such as a buffered oxide dip etch or alternatively by using a dry isotropic etch operation.
  • An exemplary wet etch operation for removing silicon oxide is a 100:1 solution of hydrofluoric acid (HF) , applied at room temperature and containing a buffering agent such as ammonium fluoride (NH 4 F) .
  • Utilization of a wet etch process improves the etch time hundredfold or more over the dry etch processes utilized to form spacers in typical CMOS LDD fabrication.
  • An example of a dry isotropic etch procedure is etching of Si0 2 in a fluorocarbon plasma. These etching operations cleanly remove the spacer oxide layer 202 to the nitride layer 254. The silicon wafer 200 is protected because nitride is not soluble in the buffered hydrofluoric acid solution. No oxide spacers are left on the sides of the polysilicon gate 262 in contrast to the spacers 118 and 168 shown in Figures 1(e) through l(k).
  • the breadth of the spacers 118 and 168 depends on the spacer oxide thickness and etch time and is difficult to control. Because the spacer oxide layer 202 is cleanly removed in the improved CMOS LDD fabrication process, the form of the subsequently implanted LDD structures is tightly controlled and the alignment of the source/drain and LDD implants is enhanced.
  • an N- LDD implant step shown in Figure 2(f) is applied without additional masking and etching to form N-channel transistor LDD regions 266 which are self- aligned with the polysilicon gate 262.
  • the improved CMOS process eliminates an LDD masking and etching step, reducing fabrication complexity and reducing damage to the silicon surface.
  • Phosphorus or arsenic N-type ions are implanted in the N- LDD implant step.
  • P-channel transistors including P-channel transistor 210
  • a P+ source/drain photoresist mask 258 is applied and P+ ions are implanted using a high energy, high current implant machine to form P-channel transistor source and drain regions 220.
  • P-channel source and drain regions 220 are self-aligned with the polysilicon gate 212 and with the thick portion of spacer oxide layer 202 adjacent to the polysilicon gate 212.
  • P+ ions are also implanted in the polysilicon gate 212.
  • the P+ ion implant is a high energy implant so that P+ ions generally punch through the spacer oxide layer 202 but P+ ions are blocked from implanting in silicon beneath thick regions of spacer oxide layer 202 adjacent to the polysilicon gate 212 and beneath the polysilicon gate 212.
  • the implant energies for implanting P+ ions through various spacer oxide thicknesses are shown in Table 2.
  • CMOS LDD fabrication process advantageously allows for boron ion implanting.
  • High energy implanting through the spacer oxide layer 202 enables the usage of a boron ion implant because the spacer oxide layer 202 impedes the passage of boron ions, advantageously forcing the ions to implant in shallow regions of the silicon wafer 200.
  • spacer oxide layer 202 is removed in the areas not protected by the source/drain photoresist mask 258, so that the P-channel transistor 210 takes the form shown in Figure 2(h).
  • Spacer oxide 202 is removed as in removal of the spacer oxide layer 202 overlying N- channel transistor 260 using a wet etching process such as a buffered oxide dip etch or alternatively by a dry isotropic etch operation.
  • a fourth ion implant step a P- LDD implant step shown in Figure 2(i) is applied without additional masking and etching to form P-channel transistor LDD regions 216 which are self-aligned with the polysilicon gate 212.
  • the improved CMOS process eliminates an LDD masking and etching step, reducing fabrication complexity and reducing damage to the silicon surface.
  • Phosphorus or arsenic N-type ions are implanted in the N- LDD implant step.
  • the photoresist layer 258 is stripped leaving remnants of the spacer oxide layer 202 which can be removed easily by an additional quick wet dip etch.
  • the implants are annealed using a rapid thermal annealing (RTA) process.
  • RTA rapid thermal annealing
  • resistor protect areas are provided to form resistors.
  • a resistor protect area 280 is depicted in Figure 2(j) with the spacer oxide layer in the area 280 removed.
  • a nitride spacer and resistor etch step is achieved by masking and etching to remove gate oxide layer 252 and silicon nitride layer 254 from the surface of the silicon wafer 200.
  • Nitride spacers 222 and 272 on the sides of the transistor gates 212 and 262 are protected, as is a resistor 282.
  • the resistor 282 includes a resistor nitride layer 284 and a resistor oxide layer 286 which are respectively patterned from the gate oxide layer 252 and the silicon nitride layer 254.
  • the etching process of the nitride spacer and the oxide spacer is an anisotropic dry etch process.
  • the anisotropic dry etch process is reasonably controllable and minimizes gouging into the silicon wafer 200 because typical dry etchants have a high selectivity to etch only nitride and oxide.
  • the reduced thicknesses of the nitride and oxide films overlying the silicon in comparison with thicknesses typically employed to form spacers in a conventional process allow better control of etching, resulting in limited silicon gouging.
  • a titanium layer 208 shown in Figure 2(1), is deposited overlying the surface of the silicon wafer 200, the gates 212 and 262 and the resistor 282 in preparation for forming titanium silicide (TiSi 2 ) on the polysilicon gate electrode and the source and drain regions to reduce sheet resistance.
  • the titanium layer 208 is reacted with silicon to form a titanium silicide layers 290 and 292.
  • Silicide (TiSi 2 ) is typically formed by furnace annealing in an inert gas atmosphere, for example argon gas for approximately 30 minutes. In another example, TiSi 2 is formed by rapid thermal annealing at 600-800°C in Ar.
  • the titanium does not react with the nitride of spacers 222 and 272 and the resistor nitride layer 284 of resistor 282.
  • the structure resulting from reacting of the titanium to form titanium silicide is shown in Figure 2 (m) .
  • a titanium silicide layer 290 is formed on the surface of the silicon wafer 200 and a titanium silicide layer 292 is formed on the surface of the polysilicon gates 212 and 262. Because the silicon nitride spacers 222 and 272 and the nitride layer 284 of resistor 282 are constructed from a dielectric material which does not react with the titanium, titanium silicide is not formed on the nitride spacers 222 and 272 and the resistor 282.
  • the unfavorable aspect of the TiSi 2 process of the conventional process in which TiSi 2 is not formed in the region of the oxide spacers and sheet resistance in this area is greatly increased, is avoided.
  • the titanium silicide layer 290 extends fully to the edge of the gates 212 and 262, improving the performance of transistors 210 and 260.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of fabricating a MOS integrated circuit device utilizes high energy, high current implanting of ions through a layer of oxide to form heavily doped source and drain regions which are self-aligned with a polysilicon gate. A thick portion of the oxide layer adjacent to the polysilicon gate prevents heavy doping in the substrate next to the gate. The oxide layer is removed and a liightly doped drain (LDD) implant forms an LDD region which is self-aligned with the gate. Using this method the source/drain and LDD implants are performed using only a single mask and etch operation, rater than two mask and etch operations which are necessary using a conventional process.

Description

A Method of Fabricating LDD MOS Transistors Utilizing High Energy Ion Implant Through an Oxide Layer
Field of the Invention
This invention relates to the field of MOS technology devices, particularly MOS technology devices which employ lightly doped drain (LDD) structures. More specifically, this invention describes a new method of forming LDD structures to create a unique transistor structure having improved transistor performance and reliability.
Background of the Invention
Hot-carrier effects cause unacceptable performance degradation in MOS devices built with conventional drain structures when channel lengths are short. To remedy this problem, alternative drain structures such as lightly doped drain (LDD) structures have been developed.
Figures 1(a) through l( ) illustrate a typical method for fabricating CMOS transistors employing LDD structures. In Figure 1(a), a P-channel transistor 110 and an N-channel transistor 160 in a silicon wafer 100 are shown after formation of gate structures but prior to source, drain and LDD ion implantation. A polysilicon gate 112 of the P-channel transistor 110 is formed overlying a region of N-doped substrate 114. A polysilicon gate 162 of the N-channel transistor 160 is formed overlying a region of P-doped substrate 164. In a first masking step and a first ion implant step, shown in Figure 1(b), an LDD mask is applied and N- ions are implanted to form N-channel transistor LDD regions 166 which are self-aligned with the polysilicon gate 162. Similarly in a second masking step and a second ion implant step, shown in Figure 1(c), an LDD mask is applied and P- ions are implanted to form P- channel transistor LDD regions 116 which are self- aligned with the polysilicon gate 112.
A layer of spacer oxide 102, shown in Figure 1(d), is deposited overlying the silicon wafer 100 and the polysilicon gates 112 and 162. The spacer oxide layer 102 is etched in a first etching step to form spacers 118 on the sides of polysilicon gate 112 and spacers 168 on the sides of silicon gate 162, shown in Figure 1(e). An anisotropic dry etching process is typically used to form spacers since wet etching processes are usually isotropic and generally unable to construct spacers having a suitable form. To achieve good uniformity across a wafer, dry etching of spacers is performed using a single etch chamber. Compared with batch wet processing to form spacers, dry etching using the single etch chamber is time consuming and hence increases manufacturing costs.
The form of the LDD region, which determines the hot carrier performance of the device, is established by the spacer profile. The spacer profile varies as a function of the spacer oxide etch time and the spacer oxide thickness. A particular amount of over-etch is necessary to form the spacers. However, excessive over-etching reduces the width and height of the spacers and causes gouging into the silicon. Control of the over-etch process becomes more difficult as the deposited spacer oxide layer thickness increases.
In a third masking step and a third ion implant step, shown in Figure 1(f), a source/drain mask is applied and N+ ions are implanted to form N-channel transistor source and drain regions 170 which are self- aligned with the polysilicon gate 162 and spacers 168. The implant energy for implanting As-f- ions typically ranges from 40 KeV to 80 KeV. In a corresponding fourth masking step and a fourth ion implant step, shown in Figure 1(g), a source/drain mask is applied and P+ ions are implanted to form P-channel transistor source and drain regions 120 which are self-aligned with the polysilicon gate 112 and spacers 118. The implant energy for implanting BF2+ ions typically ranges from 40 KeV to 80 KeV. Generally, a typical source/drain P+ ion implant process is a shallow implant and therefore utilizes an implantation of BF2 ions rather than boron ions. Boron ions are very light ions and a very low energy must be used to provide a shallow boron ion implant. If too high an energy is used, the light ions are implanted at too great a depth. However, when the implanting energy is low, the beam current is also too low so that the time taken to perform the implant is excessive. BF2 ions are larger and heavier ions so that a higher energy implant achieves a shallow depth. Unfortunately, fluorine atoms of the BF causes unwanted defects in the silicon when it is implanted.
Referring to Figure 1(h), an additional layer of oxide 104 is deposited to form resistors in various selected locations on the surface of the silicon wafer 100. In a resistor masking step and a resistor etching step, shown in Figure l(i), oxide layers are etched to the surface of the silicon wafer 100. This silicon etch operation is the second of two silicon etching operations. Silicon etching gouges and damages the silicon surface, degrading performance of the device.
In some MOS processes, titanium suicide (TiSi2) is formed on the polysilicon gate electrode and source and drain regions of a device which greatly reduces sheet resistance, thereby improving device performance. Accordingly, a titanium layer 108, shown in Figure l(j), is deposited overlying the surface of the silicon wafer 100, the gates 112 and 162 and the resistor 106. The titanium layer 108 is reacted with silicon to form a titanium suicide layer 190. The titanium does not react with the oxide of resistor 106. The titanium also does not react with the oxide of spacers 118 and 168 so that Tisi2 is not formed in the region of the oxide spacers. The sheet resistance in the area under which the TiSi2 is not formed is typically in the range of 1500 ohms/cm2 in comparison with a sheet resistance of about 5 ohms/cm2 in the suicided areas. The high sheet resistance in areas without silicidation degrades transistor performance.
A typical CMOS LDD fabrication process utilizes four masking steps to form the source, drain and LDD regions. A typical MOS fabrication process which forms surface area resistors utilizes a resistor protect deposition operation and a resistor protect etch operation. These operations increase fabrication complexity. A fabrication process that reduces fabrication complexity and maintains or improves device performance is always sought to reduce fabrication costs. A typical MOS LDD fabrication process requires precise control of spacer oxide etch time and the spacer oxide thickness to form an LDD structure which ensures adequate device hot carrier performance. A fabrication process which improves or simplifies control of LDD form and allows the usage of a reduced spacer oxide deposition thickness is beneficial for controlling etch profile.
In a typical MOS process, silicon gouging due to excessive oxide etch during etching of the spacer oxide layer and silicon loss in resistor etching damage the silicon wafer, thereby degrading device performance. It is advantageous for a fabrication process to avoid damage to the silicon surface.
In a typical MOS fabrication process, LDD structures disadvantageously are characterized by an increased parasitic resistance of the source and drain regions caused by the lightly doped regions. This increase in resistance causes transistors to have a lower saturation current. A fabrication process which reduces parasitic resistance through improved control of the LDD form and by silicidation of the silicon wafer surface to the edge of the gate improves MOS device performance.
Summary of the Invention
In accordance with the present invention, the development of high energy, high current ion implant machines makes possible simplification of a MOS LDD fabrication process. In accordance with a first embodiment of the invention, a MOS transistor includes a semiconductor substrate of a first conductivity type, a gate electrode overlying a selected area of the semiconductor substrate, a lightly doped source region and a lightly doped drain region of a second conductivity type, and a heavily doped source region and a heavily doped drain region of the second conductivity type. The gate electrode has substantially vertical lateral sides. The lightly doped source and drain regions are formed in a shallow region of the semiconductor substrate and are self- aligned with the gate electrode. The heavily doped source and drain regions are formed in the shallow region and a deeper region of the semiconductor substrate and are self-aligned a controlled distance lateral to the gate electrode. The MOS transistor further includes a thin nitride layer formed on the substantially vertical lateral sides of the gate electrode. Furthermore, the transistor includes a layer of titanium suicide formed on the semiconductor substrate in areas other polysilicon gate areas. In these areas, the layer of titanium suicide is formed on the gate electrode.
In accordance with a second embodiment of the present invention, multiple transistors having the structure of the first embodiment of the invention are included in an integrated circuit device. In addition to the multiple transistors the integrated circuit device has a resistor including a selected resistor protect area of the semiconductor substrate, an oxide insulating layer overlying the resistor protect area of the semiconductor substrate and a silicon nitride layer overlying the oxide insulating layer. In accordance with a third embodiment of the present invention, a method of fabricating an integrated circuit device includes the steps of forming a polysilicon gate on a surface of a semiconductor substrate, forming a thin silicon nitride layer overlying the polysilicon gate and the surface of the semiconductor substrate, depositing a layer of spacer oxide on the polysilicon gate and the surface of the semiconductor substrate and applying a source/drain photoresist mask overlying the layer of spacer oxide. The method also includes the steps of implanting a heavily doped ion implant region in the semiconductor substrate using a high energy, high current implant machine to form transistor source and drain regions which are self-aligned with the polysilicon gate and with an increased thickness spacer oxide layer adjacent to the sides of the polysilicon gate. The spacer oxide layer is removed in areas which are not protected by the source/drain photoresist mask and a lightly doped ion implant region is implanted in the semiconductor substrate to form transistor LDD regions which are self-aligned with the polysilicon gate.
The fabrication process as described above has several advantages. One advantage is that the number of masking steps to form the source, drain and LDD regions is reduced from four steps to two in a CMOS technology, thereby reducing the fabrication complexity of the devices. The number of masking steps to form the source, drain and LDD regions is reduced from two steps to one in NMOS and PMOS devices.
Another advantage is that a silicon nitride layer is utilized to protect the surface of the silicon wafer against damage arising from subsequent etching operations. Additional advantages follow because spacers are not utilized to form implanted LDD regions. Rather than having spacers on the sides of the polysilicon gates, in the present invention a silicon nitride layer forms a straight vertical profile on a gate. This straight vertical profile has substantial thickness uniformity and controllability, thereby facilitating control of subsequent LDD doping procedures.
In a conventional process which employs spacers, the spacers are formed using time-consuming and costly anisotropic dry etch processes. In the present invention, the straight vertical profile of the gate sides fabricated using the improved process of the present invention employ much cheaper and faster wet etch processes.
A further advantage of the fabrication process of the present invention is that the high energy implant through a spacer oxide layer for source/drain implanting of P-channel transistors enables implanting of boron ions rather than the BF2 ions utilized in a conventional process.
Brief Description of the Drawings
Figures 1(a) through l(k) illustrate a conventional process flow for fabricating CMOS transistors in an integrated circuit device; and
Figures 2 (a) through 2 (m) depict a process flow for fabricating CMOS transistors in an integrated circuit device in accordance with one embodiment of the present invention.
Detailed Description
Figures 2(a) through 2 (m) illustrate an embodiment of an improved method for fabricating CMOS transistors employing LDD structures. In Figure 2(a), a P-channel transistor 210 and an N-channel transistor 260 in a silicon wafer 200 are implemented using a P-well, N- well or twin-tub technology. The starting material is a silicon wafer 200. Typically , the silicon wafer 200 is a lightly doped <100> wafer or a heavily doped <100> wafer with a lightly doped epitaxial layer at the surface. The P-channel transistor 210 is fabricated in an N-doped substrate 214 which is formed in a typical manner as either lightly doped N-substrate or a more heavily doped N-well structure. Similarly, the N- channel transistor 260 is fabricated in a P-doped substrate 264 which is formed in a typical manner as either lightly doped P-substrate or a more heavily doped P-well structure. Well structures are formed in a conventional manner by growing a thermal protection oxide layer, applying a mask which generally protects the silicon surface but exposes the well areas, and implanting ions into the well areas. Well ions are driven into the silicon by high temperature cycling while an oxide layer is grown in the well areas. A Vτ threshold-adjust implant is applied. The surface of the silicon wafer 200 is stripped of the oxide layer and a new pad-oxide/nitride layer for forming isolation structures is formed. A mask is applied to pattern the pad-oxide/nitride layer to define active device regions including the N-doped substrate region 214 and the P- doped substrate region 264 and to define field regions. Field oxide is then grown to form field oxide regions such as region 250 for isolating active device regions. The nitride/oxide layer is then removed from the active device regions. A gate oxide layer 252 is grown overlying the surface of the silicon wafer 200. A polysilicon gate layer is deposited by chemical vapor deposition (CVD) and a mask is applied to pattern the polysilicon into gate structures 212 and 262.
Figure 2(a) shows the silicon wafer 200 after formation of gate structures but prior to source, drain and LDD ion implantation. A polysilicon gate 212 of the P-channel transistor 210 is formed overlying a region of N-doped substrate 214. A polysilicon gate
262 of the N-channel transistor 260 is formed overlying a region of P-doped substrate 264. All of the steps of the CMOS fabrication process up to and including the step of forming the gate structures are typical CMOS fabrication steps.
In a first step which differs from the steps of a typical CMOS, a silicon nitride layer 254 is deposited overlying the surface of the silicon wafer 200 and overlying the polysilicon gates 212 and 262. The silicon nitride layer 254 is depicted in Figure 2(b) . A suitable thickness of the nitride layer 254 ranges from lOoA to 50θA. A preferred thickness is 25θA. The silicon nitride layer 254 is substantially impervious to oxide etchants so that the surface of the silicon wafer 200 is protected against damage from subsequent etching operations. In addition, the silicon nitride layer 254 has a thickness which is easily controlled and forms a straight vertical profile on the lateral sides of the polysilicon gates 212 and 262. Uniformity and controllability of the silicon nitride layer 254 thickness facilitates control of subsequent LDD doping procedures.
A layer of spacer oxide 202, shown in Figure 2(c), is deposited overlying the silicon wafer 200, the polysilicon gates 212 and 262, and the nitride layer 254. A suitable thickness of the spacer oxide layer 202 ranges from lOOoA to 200θA. A preferred thickness is 150θA. Adjacent to the lateral sides of the polysilicon gates 212 and 262, spacer oxide is deposited to an thickness which is increased by approximately the thickness of the gates 212 and 262. The thickness of the spacer oxide layer 202 in the improved CMOS process is generally smaller than the thickness of the spacer oxide layer 102 of the typical CMOS process because the typical process requires the spacer oxide thickness to be sufficient to avoid excessive etch loss. In the improved CMOS process, the spacer width is determined by the thickness of the deposited spacer oxide rather than by the size and form of the spacers as a result of etching. Thus a much more precise control of spacer size and form is achieved because variability inherent in the etching process, is eliminated. Furthermore, the deposited spacer has a well-defined rectangular profile rather than the tapered profile which results from the etching process. Precise control of the size and form of the spacers leads to a well-defined profile of the LDD implant. Following deposition of the spacer oxide layer 202, N-channel transistors, including N-channel transistor 260 are fabricated. In the improved CMOS process first masking step and a first ion implant step, shown in Figure 2(d), an N+ source/drain photoresist mask 256 is applied and N+ ions are implanted using a high energy, high current implant machine (not shown) to form N-channel transistor source and drain regions 270 which are self-aligned with the polysilicon gate 262 and with the increased thickness spacer oxide layer 202 adjacent to the sides of the polysilicon gate 262. N+ ions are also implanted in the polysilicon gate 262. The N+ ion implant is a high energy implant which allows N+ ions to punch through the spacer oxide layer 202 in regions where the layer 202 is the deposited thickness but which substantially prevents the N+ ions from implanting in silicon beneath the thick regions of spacer oxide layer 202 adjacent to the sides of the polysilicon gate 262. In areas where the spacer oxide layer 202 overlies the polysilicon gate 262, the combined thickness of the gate 262 and oxide layer 202 essentially prevents N+ ion implanting. The implant energies for implanting N+ ions through various spacer oxide thicknesses are shown in Table 1.
Table 1
Spacer oxide thickness P+ As+ lOOOA 80KeV 200KeV
Following the high energy N+ source/drain implant step, the spacer oxide layer 202 is removed in the areas which are not protected by the source/drain photoresist mask 256, so that the N-channel transistor 260 takes the form shown in Figure 2(e). The spacer oxide 202 is removed by performing a wet etching process such as a buffered oxide dip etch or alternatively by using a dry isotropic etch operation. An exemplary wet etch operation for removing silicon oxide is a 100:1 solution of hydrofluoric acid (HF) , applied at room temperature and containing a buffering agent such as ammonium fluoride (NH4F) . Utilization of a wet etch process improves the etch time hundredfold or more over the dry etch processes utilized to form spacers in typical CMOS LDD fabrication. An example of a dry isotropic etch procedure is etching of Si02 in a fluorocarbon plasma. These etching operations cleanly remove the spacer oxide layer 202 to the nitride layer 254. The silicon wafer 200 is protected because nitride is not soluble in the buffered hydrofluoric acid solution. No oxide spacers are left on the sides of the polysilicon gate 262 in contrast to the spacers 118 and 168 shown in Figures 1(e) through l(k). The breadth of the spacers 118 and 168 depends on the spacer oxide thickness and etch time and is difficult to control. Because the spacer oxide layer 202 is cleanly removed in the improved CMOS LDD fabrication process, the form of the subsequently implanted LDD structures is tightly controlled and the alignment of the source/drain and LDD implants is enhanced.
In the improved CMOS process a second ion implant step, an N- LDD implant step shown in Figure 2(f), is applied without additional masking and etching to form N-channel transistor LDD regions 266 which are self- aligned with the polysilicon gate 262. Thus, the improved CMOS process eliminates an LDD masking and etching step, reducing fabrication complexity and reducing damage to the silicon surface. Phosphorus or arsenic N-type ions are implanted in the N- LDD implant step.
The photoresist layer 256 is then stripped and P- channel transistors, including P-channel transistor 210, are fabricated. In the improved CMOS process second masking step and a third ion implant step, shown in Figure 2 (g) , a P+ source/drain photoresist mask 258 is applied and P+ ions are implanted using a high energy, high current implant machine to form P-channel transistor source and drain regions 220. P-channel source and drain regions 220 are self-aligned with the polysilicon gate 212 and with the thick portion of spacer oxide layer 202 adjacent to the polysilicon gate 212. P+ ions are also implanted in the polysilicon gate 212. The P+ ion implant is a high energy implant so that P+ ions generally punch through the spacer oxide layer 202 but P+ ions are blocked from implanting in silicon beneath thick regions of spacer oxide layer 202 adjacent to the polysilicon gate 212 and beneath the polysilicon gate 212. The implant energies for implanting P+ ions through various spacer oxide thicknesses are shown in Table 2.
Table 2
Spacer oxide thickness B+ BF2+ lOOoA 30KeV 120KeV
Although BF2 ions rather than boron ions are implanted in a typical CMOS LDD fabrication process because of lightness of the boron ions which prevents shallow implanting, the improved CMOS LDD fabrication process advantageously allows for boron ion implanting. High energy implanting through the spacer oxide layer 202 enables the usage of a boron ion implant because the spacer oxide layer 202 impedes the passage of boron ions, advantageously forcing the ions to implant in shallow regions of the silicon wafer 200.
Following the high energy P+ source/drain implant step, spacer oxide layer 202 is removed in the areas not protected by the source/drain photoresist mask 258, so that the P-channel transistor 210 takes the form shown in Figure 2(h). Spacer oxide 202 is removed as in removal of the spacer oxide layer 202 overlying N- channel transistor 260 using a wet etching process such as a buffered oxide dip etch or alternatively by a dry isotropic etch operation.
A fourth ion implant step, a P- LDD implant step shown in Figure 2(i), is applied without additional masking and etching to form P-channel transistor LDD regions 216 which are self-aligned with the polysilicon gate 212. Thus, the improved CMOS process eliminates an LDD masking and etching step, reducing fabrication complexity and reducing damage to the silicon surface. Phosphorus or arsenic N-type ions are implanted in the N- LDD implant step.
Referring to Figure 2(j), the photoresist layer 258 is stripped leaving remnants of the spacer oxide layer 202 which can be removed easily by an additional quick wet dip etch. The implants are annealed using a rapid thermal annealing (RTA) process.
In some embodiments, resistor protect areas are provided to form resistors. A resistor protect area 280 is depicted in Figure 2(j) with the spacer oxide layer in the area 280 removed. Referring to Figure 2 (k) , a nitride spacer and resistor etch step is achieved by masking and etching to remove gate oxide layer 252 and silicon nitride layer 254 from the surface of the silicon wafer 200. Nitride spacers 222 and 272 on the sides of the transistor gates 212 and 262 are protected, as is a resistor 282. The resistor 282 includes a resistor nitride layer 284 and a resistor oxide layer 286 which are respectively patterned from the gate oxide layer 252 and the silicon nitride layer 254. The etching process of the nitride spacer and the oxide spacer is an anisotropic dry etch process. The anisotropic dry etch process is reasonably controllable and minimizes gouging into the silicon wafer 200 because typical dry etchants have a high selectivity to etch only nitride and oxide. Furthermore, the reduced thicknesses of the nitride and oxide films overlying the silicon in comparison with thicknesses typically employed to form spacers in a conventional process allow better control of etching, resulting in limited silicon gouging.
A titanium layer 208, shown in Figure 2(1), is deposited overlying the surface of the silicon wafer 200, the gates 212 and 262 and the resistor 282 in preparation for forming titanium silicide (TiSi2) on the polysilicon gate electrode and the source and drain regions to reduce sheet resistance. The titanium layer 208 is reacted with silicon to form a titanium silicide layers 290 and 292. Silicide (TiSi2) is typically formed by furnace annealing in an inert gas atmosphere, for example argon gas for approximately 30 minutes. In another example, TiSi2 is formed by rapid thermal annealing at 600-800°C in Ar. The titanium does not react with the nitride of spacers 222 and 272 and the resistor nitride layer 284 of resistor 282. The structure resulting from reacting of the titanium to form titanium silicide is shown in Figure 2 (m) . A titanium silicide layer 290 is formed on the surface of the silicon wafer 200 and a titanium silicide layer 292 is formed on the surface of the polysilicon gates 212 and 262. Because the silicon nitride spacers 222 and 272 and the nitride layer 284 of resistor 282 are constructed from a dielectric material which does not react with the titanium, titanium silicide is not formed on the nitride spacers 222 and 272 and the resistor 282. Thus the unfavorable aspect of the TiSi2 process of the conventional process in which TiSi2 is not formed in the region of the oxide spacers and sheet resistance in this area is greatly increased, is avoided. Instead, in the illustrative process, the titanium silicide layer 290 extends fully to the edge of the gates 212 and 262, improving the performance of transistors 210 and 260.
The description of certain embodiments of this invention is intended to be illustrative and not limiting. Numerous other embodiments will be apparent to those skilled in the art, all of which are included within the broad scope of this invention. The apparatus and method according to this invention are not confined to a CMOS technology but also apply to NMOS and PMOS technologies. In particular for MOS technologies, the method is applicable to P-well, N- well and twin-tub CMOS technologies.

Claims

CLAIMS :
1. A MOS transistor comprising: a semiconductor substrate of a first conductivity type; a gate electrode formed on a surface of the semiconductor substrate and having substantially vertical lateral sides; a lightly doped source region and a lightly doped drain region of a second conductivity type formed in the semiconductor substrate and self-aligned with the gate electrode; a heavily doped source region and a heavily doped drain region of the second conductivity type formed in the semiconductor substrate and self-aligned a controlled distance lateral to the gate electrode; and a thin nitride layer formed on the substantially vertical lateral sides of the gate electrode.
2. A transistor as in Claim 1 wherein the lightly doped source region and the lightly doped drain region are formed to a shallow depth in the semiconductor substrate.
3. A transistor as in Claim 2 wherein the heavily doped source region and the heavily doped drain region extend to a depth greater than the depth of the shallow region in the semiconductor substrate.
4. A transistor as in Claim 1 further comprising: a gate insulating layer formed on the surface of the semiconductor substrate between the semiconductor substrate and the gate electrode.
5. A transistor as in Claim 1 wherein the semiconductor substrate surface includes an area overlaid by the gate electrode and an exposed area, further comprising: a layer of titanium silicide formed on the exposed area of the semiconductor substrate surface.
6. A transistor as in Claim 5 further comprising: a layer of titanium silicide formed on the gate electrode.
7. A transistor as in Claim 1 wherein the gate electrode is a polysilicon gate electrode.
8. A MOS integrated circuit device comprising: a semiconductor substrate of a first conductivity type; a gate electrode formed on a surface of the semiconductor substrate and having substantially vertical lateral sides; a lightly doped source region and a lightly doped drain region of a second conductivity type formed in the semiconductor substrate and self-aligned with the gate electrode; a heavily doped source region and a heavily doped drain region of the second conductivity type formed in the semiconductor substrate and self-aligned a controlled distance lateral to the gate electrode; and a thin nitride layer formed on the substantially vertical lateral sides of the gate electrode.
9. A device as in Claim 8 wherein the lightly doped source region and the lightly doped drain region are formed to a shallow depth in the semiconductor substrate.
10. A device as in Claim 9 wherein the heavily doped source region and the heavily doped drain region extend to a depth greater than the depth of the shallow region in the semiconductor substrate.
11. A device as in Claim 8 further comprising: a gate insulating layer overlying the selected area of the semiconductor substrate between the semiconductor substrate and the gate electrode.
12. A device as in Claim 8 wherein the semiconductor substrate surface includes an area overlaid by the gate electrode and an exposed area, further comprising: a layer of titanium silicide formed on the exposed area of the semiconductor substrate surface.
13. A device as in Claim 8 wherein the gate electrode is a polysilicon gate electrode.
14. A device as in Claim 8 further comprising: a layer of titanium silicide formed on the gate electrode.
15. A device as in Claim 8 wherein the area overlaid by the gate electrode is a first selected area, the device further comprising: a resistor including: a second selected area of the semiconductor substrate; an oxide insulating layer overlying the second selected area of the semiconductor substrate; and a silicon nitride layer overlying the oxide insulating layer.
16. A device as in Claim 8 wherein the device is a CMOS integrated circuit device.
17. A method of fabricating an integrated circuit device comprising the steps of: forming a polysilicon gate on a surface of a semiconductor substrate; depositing a layer of spacer oxide on the polysilicon gate and the surface of the semiconductor substrate; applying a source/drain photoresist mask overlying the layer of spacer oxide; implanting a heavily doped ion implant region in the semiconductor substrate using a high energy, high current implant machine to form transistor source and drain regions which are self-aligned with the polysilicon gate and with an increased thickness spacer oxide layer adjacent to the sides of the polysilicon gate; removing the spacer oxide layer in areas which are not protected by the source/drain photoresist mask; and implanting a lightly doped ion implant region in the semiconductor substrate to form transistor LDD regions which are self-aligned with the polysilicon gate.
18. A method as in Claim 17 further comprising the step of: forming a thin silicon nitride layer overlying the polysilicon gate and the surface of the semiconductor substrate precedent to the step of depositing a layer of spacer oxide.
19. A method as in Claim 18 further comprising the steps of: stripping the photoresist mask; and masking and etching to remove remaining portions of the spacer oxide layer and to generally remove the silicon nitride layer from the surface of the semiconductor substrate, leaving intact nitride spacers on the sides of the polysilicon gate.
20. A method as in Claim 19 further comprising the steps of: depositing a layer of titanium layer overlying the polysilicon gate and the surface of the semiconductor substrate; and reacting the titanium layer in areas where the titanium layer is adjacent to silicon to form a titanium silicide layer.
21. A method as in Claim 18 further comprising the steps of: forming a thin insulating oxide layer overlying the surface of the semiconductor substrate precedent to the step of forming the polysilicon gate.
22. A method as in Claim 21 wherein the source/drain photoresist mask also incorporates a resistor mask patterned to remove the spacer oxide layer in a resistor protect region.
23. A method as in Claim 22 further comprising the steps of: stripping the photoresist mask; and masking and etching to remove remaining portions of the spacer oxide layer and to generally remove the silicon nitride layer from the surface of the semiconductor substrate, leaving intact nitride spacers on the sides of the polysilicon gate and leaving intact the thin insulating oxide layer overlaid by the thin silicon nitride layer in the resistor protect region.
24. A method as in Claim 23 further comprising the steps of: depositing a layer of titanium layer overlying the polysilicon gate, the thin insulating oxide layer and thin silicon nitride layer in the resistor protect region, and the surface of the semiconductor substrate; and reacting the titanium layer in areas where the titanium layer is adjacent to silicon to form a titanium silicide layer, leaving the silicon nitride spacers and the silicon nitride layer in the resistor protect regions unreacted.
25. A method as in Claim 17 wherein the method is applied in a CMOS technology process.
26. A method of fabricating an integrated circuit device comprising the steps of: forming an oxide layer having varying selected thicknesses on the surface of a semiconductor substrate; and implanting ions through the oxide layer using a high energy, high current implant machine so that the concentration of implanted ions is dependent on the selected oxide layer thickness.
27. A method as in Claim 26 wherein the implanting step is a first implanting step and further comprising the steps of: removing the oxide layer; and implanting ions in the semiconductor substrate in a second implanting step so that regions of the semiconductor substrate which where not doped in the first implanting step due to blocking by the oxide layer are implanted in the second implanting step.
EP95942891A 1994-12-16 1995-11-22 A method of fabricating ldd mos transistors utilizing high energy ion implant through an oxide layer Withdrawn EP0797842A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35767694A 1994-12-16 1994-12-16
US357676 1994-12-16
PCT/US1995/015299 WO1996019011A1 (en) 1994-12-16 1995-11-22 A method of fabricating ldd mos transistors utilizing high energy ion implant through an oxide layer

Publications (1)

Publication Number Publication Date
EP0797842A1 true EP0797842A1 (en) 1997-10-01

Family

ID=23406583

Family Applications (1)

Application Number Title Priority Date Filing Date
EP95942891A Withdrawn EP0797842A1 (en) 1994-12-16 1995-11-22 A method of fabricating ldd mos transistors utilizing high energy ion implant through an oxide layer

Country Status (2)

Country Link
EP (1) EP0797842A1 (en)
WO (1) WO1996019011A1 (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
US5166087A (en) * 1991-01-16 1992-11-24 Sharp Kabushiki Kaisha Method of fabricating semiconductor element having lightly doped drain (ldd) without using sidewalls
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO9619011A1 *

Also Published As

Publication number Publication date
WO1996019011A1 (en) 1996-06-20

Similar Documents

Publication Publication Date Title
KR100352715B1 (en) Submicron metal gate MOS transistor and method of formation thereof
EP0127725B1 (en) Method of manufacturing a semiconductor device having lightly doped regions
EP0480446B1 (en) Method of fabricating a high-performance insulated-gate-field-effect transistor and transistor fabricated by it
US6632718B1 (en) Disposable spacer technology for reduced cost CMOS processing
US5736419A (en) Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
US6096591A (en) Method of making an IGFET and a protected resistor with reduced processing steps
US6316318B1 (en) Angled implant to build MOS transistors in contact holes
EP1315200B1 (en) Methods for CMOS semiconductor devices with selectable gate thicknesses
US5670397A (en) Dual poly-gate deep submicron CMOS with buried contact technology
US5994743A (en) Semiconductor device having different sidewall widths and different source/drain depths for NMOS &amp; PMOS structures
US6972222B2 (en) Temporary self-aligned stop layer is applied on silicon sidewall
JP4489467B2 (en) Method for forming semiconductor device
US6015740A (en) Method of fabricating CMOS devices with ultra-shallow junctions and reduced drain area
US6936520B2 (en) Method for fabricating semiconductor device having gate electrode together with resistance element
US20020164847A1 (en) Method of forming a CMOS type semiconductor device
US6207482B1 (en) Integration method for deep sub-micron dual gate transistor design
US5747852A (en) LDD MOS transistor with improved uniformity and controllability of alignment
US6218224B1 (en) Nitride disposable spacer to reduce mask count in CMOS transistor formation
US6008100A (en) Metal-oxide semiconductor field effect transistor device fabrication process
US6191044B1 (en) Method for forming graded LDD transistor using controlled polysilicon gate profile
US6110788A (en) Surface channel MOS transistors, methods for making the same, and semiconductor devices containing the same
US6635522B2 (en) Method of forming a MOS transistor in a semiconductor device and a MOS transistor fabricated thereby
JP2002543609A (en) Method of manufacturing shallow junction semiconductor device
US6312999B1 (en) Method for forming PLDD structure with minimized lateral dopant diffusion
US6184099B1 (en) Low cost deep sub-micron CMOS process

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 19970417

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE

17Q First examination report despatched

Effective date: 19971205

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20020601