DE2633906A1 - MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes - Google Patents

MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes

Info

Publication number
DE2633906A1
DE2633906A1 DE19762633906 DE2633906A DE2633906A1 DE 2633906 A1 DE2633906 A1 DE 2633906A1 DE 19762633906 DE19762633906 DE 19762633906 DE 2633906 A DE2633906 A DE 2633906A DE 2633906 A1 DE2633906 A1 DE 2633906A1
Authority
DE
Germany
Prior art keywords
layer
silicon
silicon nitride
polycrystalline silicon
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE19762633906
Other languages
German (de)
Inventor
Dietrich Dr Ing Widmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE19762633906 priority Critical patent/DE2633906A1/en
Publication of DE2633906A1 publication Critical patent/DE2633906A1/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A semiconductor element is made using the silicon gate technique, in which a substrate is coated with insulation and then a third layer of polycrystalline Si(polsi). A mask pref. of Si3N4 is made using photolacquer; and zones are removed from third layer to form individual conductor patterns and electrodes. The zones are removed by oxidn. to SiO2, followed by etching. Insulation may be covered by 30 70 nm of Si3N4 before the third layer is applied. Mfr. of integrated circuits, esp. MOS memory circuits where the invention produces polsi conductor paths and electrodes with a flank angle below 60 degrees, and without overhang.

Description

Verfahren zur Herstellung eines Halbleiterbauelementes nachMethod for producing a semiconductor component according to

der Si-Gate-Technik.Si gate technology.

Die Erfindung betrifft ein Verfahren zur Herstellung eines Halbleiterbauelementes nach der Si-Gate-Technik, wie es im Oberbegriff des Patentanspruches 1 näher bezeichnet ist.The invention relates to a method for producing a semiconductor component according to the Si gate technology, as described in more detail in the preamble of claim 1 is.

Zur Herstellung integrierter NOS-Schaltungen, insbesondere auch zur Herstellung von MOS-Speflcherschaltungen, wird heute bevorzugt die Polysilizium-Gate-Technik eingesetzt. Bei dieser Technik werden die Gate-Elektroden von Feldeffekttransistoren sowie Leiterbahnen zum Anschluß solcher Elektroden aus Polysilizium gebildet. Die wesentlichen Vorteile dieser Technologie bestehen gegenüber einer Technik, bei der diese Elektroden und Leiterbahnen aus Aluminium bestehen, darin, daß die störenden Gate-Source- und Gate-Drain-überlappungskapazitäten sehr klein gehalten werden können und daß in Gestalt des Polysiliziums eine zusätzliche "Leiterbahn"-Ebene vorhanden ist.For the production of integrated NOS circuits, in particular also for Manufacture of MOS memory circuits, the polysilicon gate technology is preferred today used. In this technique, the gate electrodes of field effect transistors are used and conductor tracks for connecting such electrodes are formed from polysilicon. the There are significant advantages of this technology over a technology in which These electrodes and conductor tracks are made of aluminum, in that the interfering Gate-source and gate-drain overlap capacitances can be kept very small and that in the form of the polysilicon there is an additional "conductor track" level is.

Bei der üblichen Polysilizium-Gate-Technik bereitet allerdings die Ätzung des Polysiliziums Schwierigkeiten, da durch Herausätzen die Leiterbahnen aus Polysilizium nur schwer mit einer glatten, nicht zu steilen Böschung hergestellt werden können. Da aber in vielen Fällen über die Polysilizium-Bahnen hinweg andere Schichten haftend aufgebracht werden sollen, müssen die Polysilizium-Bahnen selbst mit glatten Böschungen versehen sein, die nicht steiler als etwa 600 verlaufen. Eine weitere Schwierigkeit entsteht bei der Ätzung von Polysilizium aufgrund der Unterätzungen der verwendeten Ätzmasken, da bei serienmäßiger Herstellung die Unterätzung nicht bei jedem Chip gleichmäßig konstant ist, sondern jeweils anders und unreproduzierbar ausfällt.With the usual polysilicon gate technology, however, the Etching of the polysilicon Difficulties because the conductor tracks are etched out made of polysilicon only with difficulty with a smooth, not too steep slope can be. But in many cases there are others across the polysilicon tracks If layers are to be applied in an adhesive manner, the polysilicon tracks themselves must be applied with smooth slopes be provided that are not steeper than about 600 run. Another difficulty arises when etching polysilicon due to the undercutting of the etching masks used, as in series production the undercutting is not uniformly constant for each chip, but different in each case and turns out to be unreproducible.

Aus dem Stand der Technik ist bekannt, daß zum Abflachen der steilen, oft sogar überhängenden Polysilizium-Böschungen eine Phosphorpentoxid-haltige Siliziumdioxidschicht (Phosphorsilikatglas) auf die herausgeätzten Siliziumbahnen abgeschieden wird und sodann auf 1050 bis 11000C erhitzt wird. Bei Erhitzen auf solche Temperaturen gerät die Schicht aus Phosphorsilikatglas in einen zähflüssigen Zustand, so daß die steilen bzw. gezackten Polysiliziumstufen und Böschungen mit einer Phosphorsilikatglasschicht überzogen und dadurch fast vollständig eingeebnet werden. Dieses Verfahren hat jedoch den erheblichen Nachteil, daß bei größerem Phosphorgehalt des Phosphorsilikatglases Korrosionserscheinungen an dem Halbleiterbaueiement auftreten, und daß weiter eine Ätzung von Kontaktlöchern durch die Phosphorsilikatglasschicht schwierig ist.From the prior art it is known that to flatten the steep, often even overhanging polysilicon slopes a phosphorus pentoxide-containing silicon dioxide layer (Phosphosilicate glass) is deposited on the etched silicon tracks and then heated to 1050 to 11000C. When heated to such temperatures device the layer of phosphosilicate glass in a viscous state, so that the steep or jagged polysilicon steps and slopes with a phosphosilicate glass layer covered and thereby almost completely leveled. However, this procedure has the significant disadvantage that with a larger phosphorus content of the phosphosilicate glass Corrosion phenomena occur on the semiconductor component, and that further a Etching of contact holes through the phosphosilicate glass layer is difficult.

Aufgabe der Erfindung ist es, ein Verfahren zur Herstellung eines HalbX;iterbauelementes nach der Silizium-Gate-Technik anzugeben, durch das erreicht wird, daß die bei dieser Technik gebildeten Elektroden und Leiterbahnen aus Polysilizium mit Böschungswinkeln kleiner als 600 und ohne Überhänge versehen sind.The object of the invention is to provide a method for producing a HalfX; iterbauelementes according to the silicon gate technology, achieved by the is that the electrodes and conductor tracks formed in this technique are made of polysilicon are provided with slope angles smaller than 600 and without overhangs.

Diese Aufgabe wird bei einem wie im Oberbegriff des Patentanspruches 1 angegebenen Verfahren erfindungsgemäß nach der im kennzeichnenden Teil des Patentanspruches 1 angegebenen Weise gelöst~ Die Erfindung beruht auf der Erkenntnis, daß bei einer selektiven Oxidation einer Polysiliziumschicht durch eine Oxidationsmaske hindurch die Seitenflächen der dabei entstehenden Siliziumdioxidbahnen einen Neigungswinkel aufweisen, der etwa 450 beträgt. Werden nun die zu entfernenden Bereiche der Polysiliziumschicht nicht durch ohemisches Ätzen, sondern mit Hilfe selektiver Oxidation und anschließenden Abätzen des dabei entstehenden Siliziumdioxids entfernt, so sind die verbleibenden Polysiliziumbahnen und Polysilizium-Elektroden mit Böschur.gswinkeln von etwa 450 versehen. Sie weisen ferner auch keine Uberhänge oder starke Zacken auf.This task is as in the preamble of the claim 1 specified method according to the invention according to the in the characterizing part of claim 1 specified way solved ~ The invention is based on the knowledge that in a selective oxidation of a polysilicon layer through an oxidation mask the side surfaces of the resulting silicon dioxide webs a Have an angle of inclination which is approximately 450. Now are the areas to be removed the polysilicon layer not by ohemical etching, but with the help of selective Oxidation and subsequent etching of the resulting silicon dioxide removed, so are the remaining polysilicon tracks and polysilicon electrodes with Böschur.gswangeln of about 450 provided. They also have no overhangs or strong jagged edges on.

Besondere Ausgestaltungen der Erfindung ergeben sich aus den Unteransprüchen. Es ist insbesondere von Vorteil, als Oxidationsmaske eine Schicht aus Siliziumnitrid zu verwenden. Um zu verhindern, daß bei dem Entfernen der nach der Oxidation des Polysiliziums entstandenen Siliziumdioxidbereiches die unter der Polysiliziumschicht liegende Siliziumdioxid-Isolierschicht so stark angegriffen wird, daß dabei Unterätzungen der verbleibenden Polysiliziumbahnen entstehen, wird nach einer weiteren Ausgestaltung der Erfindung vor dem Abscheiden des Polysiliziums auf die Siliziumdioxidschicht zunächst eine dünne Schicht aus Siliziunnitrid aufgebracht, und sodann die Schicht aus Polysilizium abgeschieden. Diese Schicht aus Siliziumnitrid wirkt als Ätzbremse beim Entfernen der Siliziumdioxidbahnen, die bei der selektiven Oxidation der Polysiliziumschicht entstehen. Bei einer nachfolgenden Ätzung kann dann diese erste Siliziumnitridschicht entfernt werden, wobei die dabei auftretende Unterätzung der Siliziumnitridschicht so klein gehalten werden kant, daß sie nicht mehr stört.Special refinements of the invention emerge from the subclaims. It is particularly advantageous to use a layer made of silicon nitride as the oxidation mask to use. In order to prevent the removal of the after oxidation of the Polysilicon formed silicon dioxide area under the polysilicon layer The silicon dioxide insulating layer lying on the ground is so severely attacked that it is undercut of the remaining polysilicon tracks are created according to a further embodiment of the invention prior to depositing the polysilicon on the silicon dioxide layer first a thin layer of silicon nitride is applied, and then the layer deposited from polysilicon. This layer of silicon nitride acts as an etching brake during the removal of the silicon dioxide tracks, which occurs during the selective oxidation of the polysilicon layer develop. In a subsequent etching, this first silicon nitride layer can then are removed, the undercutting of the silicon nitride layer that occurs edges are kept so small that they are no longer bothersome.

Im folgenden wird beschrieben und anhand der Figuren näher erläutert, wie das erfindungsgemäße Verfahren durchgeführt wird.In the following it is described and explained in more detail with reference to the figures, how the method according to the invention is carried out.

Fig.1 zeigen eine Ausführungsart des erfindungsgemäßen Verbis 5 fahrens, Fig.6 zeigen eine zweite Ausführungsart.1 show an embodiment of the verb 5 according to the invention, Fig. 6 show a second embodiment.

bis 10 Gemäß der ersten Ausführungsart des erfindungsgemäßen Verfahrens wird auf einem Halbleitersubstrat 1, das beispielsweise aus Silizium besteht, als erste Isolierschicht 2 eine. Siliziumdioxidschicht, deren Dicke bereichsweise zwischen 30 und 120 nm (Gate-Oxidbereiche) und bereichsweise zwischen 300 nm und 1200 nm, insbesondere etwa 600 nm (Feld-Oxidbereiche), beträgt, abgeschieden. In Fig.1 ist nur die Feld-Oxidschicht dargestellt. Auf diese Siliziumdioxidschicht 2 wird eine Schicht 3 aus polykri stallinem Silizium abgeschieden. Das Abscheiden dieser Polysiliziumschicht erfolgt beispielsweise durch Aufdampfen oder Aufsputtern. Diese Schicht 3 aus Polysilizium hat eine Dicke æwischen etwa 300 nm und 500 nm. Auf diese Schicht 3 aus polykristallinem Silizium wird eine Schicht 4 aus Siliziumnitrid (Si3N4) mit einer Dicke von etwa 100 nm abgeschieden. Auf diese Schicht 4 aus Siliziumnitrid wird eine Fotolackschicht 5 abgeschieden, durch eine Fotomaske belichtet und entwickelt, so daß diese Fotolackschicht 5 mit Strukturen versehen wird (Fig.1). An den vom Fotolack befreiten Stellen wird mit einem Ätzmittel, z.B. mit heißer Phosphorsäure, die Siliziumnitridschicht 4 entfernt. Sodann werden die Reste der Fotolackschicht 5 entfernt und es werden diejenigen Teile der Polysiliziumschicht 3, die von der Siliziumnitridschicht 4 befreit worden sind, durch Erhitzen in Gegenwart von Sauerstoff und Wasserdampf in Siliziumdioxidgebiete 6 verwandelt. Da diese Oxidation des Polysiliziums nicht nur in der zur Substratoberfläche senkrechten Richtung, sondern auch zur Seite hin drfolgt, sind die bei der Oxidation des Polysiliziums entstehenden Siliziumdioxidgebiete 6 flächenmäßig etwas größer als die in der Siliziumnitridschicht 4 erzeugten Fenster 8. Die Oxidation des Polysiliziums zu Siliziumdioxid ist mit einer Volumenvergrößerung verbunden. Dies bewirkt, daß die als Oxidation maske dienenden Teile 10 der Silizi'umnitridschicht 4 an ihren Rändern 9 von dem erzeugten Siliziumdioxid nach oben gedrUckt werden. Die Oxidation erfolgt so lange, daß die Schicht 3 aus Polysilizium unter den Fenstern 8 durchoxidiert wird, so daß die dabei erzeugten Gebiete 6 aus Siliziumdioxid bis an die Siliziumdioxidschicht 2 heranreichen (Fig.3).to 10 According to the first embodiment of the method according to the invention is on a semiconductor substrate 1, for example made of silicon exists, as the first insulating layer 2 a. Silicon dioxide layer, the thickness of which in certain areas between 30 and 120 nm (gate oxide areas) and in areas between 300 nm and 1200 nm, in particular about 600 nm (field oxide areas) is deposited. In Fig.1 only shows the field oxide layer. On this silicon dioxide layer 2, a layer 3 of polycrystalline silicon is deposited. The deposit this polysilicon layer takes place, for example, by vapor deposition or sputtering. This layer 3 made of polysilicon has a thickness between approximately 300 nm and 500 nm. A layer 4 made of silicon nitride is placed on this layer 3 made of polycrystalline silicon (Si3N4) deposited with a thickness of about 100 nm. On this layer 4 made of silicon nitride a photoresist layer 5 is deposited, exposed through a photomask and developed, so that this photoresist layer 5 is provided with structures (FIG. 1). On the from Areas that have been removed from the photoresist are treated with an etching agent, e.g. with hot phosphoric acid, the silicon nitride layer 4 is removed. Then the remnants of the photoresist layer 5 removed and there are those parts of the polysilicon layer 3, which are from the Silicon nitride layer 4 have been freed by heating in the presence of oxygen and water vapor is transformed into silicon dioxide regions 6. Because this oxidation of the polysilicon not only in the direction perpendicular to the substrate surface, but also to the side Then there are the silicon dioxide areas formed during the oxidation of the polysilicon 6 somewhat larger in area than the windows produced in the silicon nitride layer 4 8. The oxidation of the polysilicon to silicon dioxide is with an increase in volume tied together. This has the effect that the parts 10 of the silicon nitride layer serving as an oxidation mask 4 are pressed upwards at their edges 9 by the silicon dioxide produced. The oxidation takes place so long that the layer 3 of polysilicon under the windows 8 is through-oxidized, so that the regions 6 made of silicon dioxide up to reach up to the silicon dioxide layer 2 (FIG. 3).

Im nächsten Verfahrensschritt wird die als Oxidationsmaske die nende Siliziumnitridschicht 4 entfernt (Fig¢4). Als letzter Verfahrensschritt erfolgt dann das Entfernen der Siliziumdioxidgebiete 6, was beispielsweise durch Ätzen in gepufferter Flußsäure erfolgt. Dadurch werden die vorgesehenen Elektroden bzw.In the next step, the oxidation mask is used Silicon nitride layer 4 removed (Fig. 4). As last one Process step the removal of the silicon dioxide regions 6 then takes place, for example by Etching takes place in buffered hydrofluoric acid. This will create the electrodes provided respectively.

Leiterbahnen 12 aus Polysilizium freigelegt. Die Seitenflächen 13 dieser Elektroden bzw. Leiterbahnen aus Polysilizium haben einen Böschungswinkel von etwa 45O. Um zu gewährleisten, daß die Siliziumdioxidgebiete 6 durch das Ätzen vollstandig entfernt werden, muß die Ätzzeit etwas größer bemessen werden als die zum Entfernen dieser Gebiete 6 minimal erforderliche Ätzdauer. Das hat zur Folge, daß auch die Siliziumdioxidschicht 2 angeätzt wird, so daß in ihr kleine Mulden 11 entstehen, die in Unterätsungsgebieten 7 unter die Bahnen aus Polysilizium 12 reichen.Conductor tracks 12 made of polysilicon exposed. The side surfaces 13 these electrodes or conductor tracks made of polysilicon have an angle of slope of about 45O. In order to ensure that the silicon dioxide regions 6 by the etching are completely removed, the etching time must be made somewhat longer than that the minimum etching time required to remove these areas 6. This has the consequence that the silicon dioxide layer 2 is etched, so that in her small hollows 11 arise, which in underlaid areas 7 under the tracks of polysilicon 12 are sufficient.

Nach der zweiten Ausführungsform des erfindungsgemäßen Verfahrens können diese Unterätzungen vermieden werden, wenn nach dem Aufbringen der Siliziumdioxidschicht 2 auf diese zunächst eine Hilfsschicht 14 aus Siliziumnitrid aufgebracht wird. Diese Hilfsschicht 14 hat eine Dicke zwischen 30 und 70 nm. Auf die Hilfsschicht 14 wird sodann die Schicht 3 aus polykristallinem Silizium aufgebracht, auf diese sodann die als spätere Oxidationsmaske dienende Siliziumnitridschicht 4, auf diese Siliziumnitridschicht 4 eine Fotolackschicht 5. Das weitere Verfahren zur Erzeugung der Bahnen 12 aus polykristallinem Silizium erfolgt wie bei der eben beschriebenen ersten Ausführungsform des erfindungsgemäßen Verfahrens (Fig.7, 8, 9).According to the second embodiment of the method according to the invention these undercuts can be avoided if after the application of the silicon dioxide layer 2, an auxiliary layer 14 made of silicon nitride is first applied to this. These Auxiliary layer 14 has a thickness between 30 and 70 nm then the layer 3 made of polycrystalline silicon is applied to it the silicon nitride layer 4, which will later serve as an oxidation mask, onto this silicon nitride layer 4 a photoresist layer 5. The further method for producing the tracks 12 from polycrystalline silicon takes place as in the first embodiment just described of the method according to the invention (FIGS. 7, 8, 9).

Nach dem Entfernen der Siliziumdioxidgebiete 6 wird dann zum Freilegen der Siliziumdioxidschicht 2 die Hilfsschicht 14 aus Siliziumnitrid an den zwischen den Bahnen aus polykristallinem Silizium 12 liegenden Teilen abgeätzt. Dabei werden die Bahnen bzw. Elektroden 12 aus polykristallinem Silizium als Ätzmäske verwendet. Bei dem Entfernen der Hilfsschicht 14 treten dann wiederum Unterätzungen 7 der Bahnen aus polykristallinem Silizium 12 auf, jedoch sind diese Unterätzungen sehr gering. Wenn die Hilfsschicht 14 aus Siliziumnitrid hinreichend dünn aufgebracht wird, z.B. mit einer Dicke von nur ca. 50 nm, sind diese Unterätzungen 7 so gering, daß sie vernachlässigt werden können.After the silicon dioxide regions 6 have been removed, it is then necessary to expose them the silicon dioxide layer 2, the auxiliary layer 14 made of silicon nitride on the between the tracks of polycrystalline silicon 12 lying parts are etched away. Be there the tracks or electrodes 12 made of polycrystalline silicon are used as etching masks. When the auxiliary layer 14 is removed, undercuts 7 of the tracks then occur again of polycrystalline silicon 12, but these undercuts are very small. If the auxiliary layer 14 made of silicon nitride is applied sufficiently thin, e.g. with a thickness of only about 50 nm, these are Undercuts 7 so small that they can be neglected.

6 Patentansprüche 10 Figuren6 claims 10 figures

Claims (6)

P a t e n t a n s p r ü c h e w Verfahren zur Herstellung eines Haibleiterbauelementes nach der Silizium-Gate-Technik, bei dem zuaufein Halbleitersubstrat eine ernte Isolierschicht und darauf eine Schicht aus polykristallinem Silizium abgeschieden wird, und bei dem unter Verwendung eines fotolithografischen Verfahrensschrittes zur Bildung einzelner Leiterbahnen und Elektroden von der Schicht aus polykristallinem Silizium Teilbereiche entfernt werden, dadurch g e k e n n z e i c h n e t , daß das Material der zu entfernenden Teilbereiche (6) der Schicht (3) aus poly kristallinem Silizium unter Verwendung einer Oxidationamaske (10) zu Siliziumdioxid oxidiert wird, und daß die so behandelten Teilbereiche (6) durch Ätzen entfernt werden. P a t e n t a n s p r ü c h e w Process for the production of a semiconductor component According to the silicon gate technology, in which a semiconductor substrate is added to a harvested insulating layer and depositing thereon a layer of polycrystalline silicon, and at that using a photolithographic process step to form individual Conductor tracks and electrodes from the layer of polycrystalline silicon partial areas be removed, indicated that the material to be removed Subregions (6) of the layer (3) made of poly crystalline silicon using an oxidation mask (10) is oxidized to silicon dioxide, and that the treated in this way Partial areas (6) are removed by etching. 2. Verfahren nach Anspruch 1, dadurch g e k e n n z e i c h -n e t , daß als Oxidationsmaske (10) eine strukturierte Schicht (4) aus Siliziumnitrid (SiN4) verwendet wird.2. The method according to claim 1, characterized in that g e k e n n z e i c h -n e t that the oxidation mask (10) is a structured layer (4) made of silicon nitride (SiN4) is used. 3. Verfahren nach Anspruch 2, dadurch g e k e n n z e i c h -n e t , daß zur Herstellung der Oxidationsmaske (10) auf die Schicht aus polykristallinem Silizium (3) die Schicht (4) aus Siliziumnitrid abgeschieden wird, und daß die Oxidationsmaske (10) aus dieser Schicht mittels eines fotolithografischen Verfahrensschrittes herausgeätzt wird.3. The method according to claim 2, characterized in that g e k e n n z e i c h -n e t that for the production of the oxidation mask (10) on the layer of polycrystalline Silicon (3) the layer (4) of silicon nitride is deposited, and that the oxidation mask (10) etched out of this layer by means of a photolithographic process step will. 4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch g e -k e n n z e i c h n e t , daß vor dem Aufbringen der Schicht (3) aus polykristallinem Silizium auf die erste Isolierschicht (2) eine zwischen 30 und 70 nm dicke Hilfsschicht (14) aus Siliziumnitrid abgeschieden wird, und daß auf dieser Schicht (14) die Schicht (3) aus polykristallinem Silizium aufgebracht wird.4. The method according to any one of claims 1 to 3, characterized g e -k e n n z e i c h n e t that before the application of the layer (3) made of polycrystalline Silicon on the first insulating layer (2) an auxiliary layer between 30 and 70 nm thick (14) of silicon nitride is deposited, and that on this layer (14) the layer (3) made of polycrystalline silicon is applied. 5. Verfahren nach Anspruch 3, g e k e n n z e i c h n e t durch den Ablauf der Verfahrensschritte: a) Aufbringen einer ersten Isolierschicht (2) aus Siliziumdioxid auf ein Halbleitersubstrat (1), b) Abscheiden einer Schicht (3) aus polykristallinem Silizium auf der Siliziumdioxidschicht (2), c) Abscheiden einer Schicht (4) aus Siliziumnitrid auf der Schicht (3) aus polykristallinem Silizium, d) Aufbringen einer Fotolackschicht (5) auf der Schicht (4) aus Siliziumnitrid, e) Belichten und Entwickeln der Fotolackschicht (5) (Fig.1), f) Herausätzen von Fenstern (8) an den vom Fotolack befreiten Gebieten der Siliziumnitridschicht (4) (Fig.2), g) Entfernen der Fotolackschicht (5), h) Erhitzen des mit den Schichten (2, 3, 4) versehenen Halb-0 leitersubstrates (1) bei einer Temperatur von etwa 1000 C in Gegenwart von Sauerstoff und Waserdampf so lange, bis die Schicht aus polykristallinem Silizium (3) an den Teilbereichen (6) durchoxidiert ist, i).Entfernen der Schicht (4) aus Siliziumnitrid, j) Abätzen der bei der Oxidation der Siliziumschicht (3) entstandenen Teilbereiche (6) aus Siliziumdioxid.5. The method of claim 3, g e k e n n z e i c h n e t by the Sequence of the process steps: a) Application of a first insulating layer (2) Silicon dioxide on a semiconductor substrate (1), b) depositing a layer (3) polycrystalline silicon on the silicon dioxide layer (2), c) depositing a Layer (4) made of silicon nitride on the layer (3) made of polycrystalline silicon, d) applying a photoresist layer (5) on the layer (4) made of silicon nitride, e) exposure and development of the photoresist layer (5) (FIG. 1), f) etching out of Windows (8) in the areas of the silicon nitride layer (4) that have been freed from the photoresist (Fig.2), g) removing the photoresist layer (5), h) heating the with the layers (2, 3, 4) provided semiconductor substrate (1) at a temperature of about 1000 C in the presence of oxygen and water vapor until the layer of polycrystalline Silicon (3) is oxidized through in the subregions (6), i). Removal of the layer (4) made of silicon nitride, j) etching away during the oxidation of the silicon layer (3) resulting partial areas (6) made of silicon dioxide. 6. Verfahren nach Anspruch 4, g e k e n n z e i c h n e t durch den Ablauf der Verfahrensschritte: a) Aufbringen einer ersten Isolierschicht (2) aus Siliziumdioxid auf ein Halbleitersubstrat (i), b) Aufbringen einer Hilfsschicht (14) aus Siliziumnitrid auf die Siliziumdioxidschicht (2), c) Aufbringen einer Schicht aus polykristallinem Silizium auf die Hilfsschicht (14) aus Siliziumnitrid, d) Aufbringen einer Siliziumnitridschicht (4) auf die Schicht aus polykristallinem Silizium (3), e) Aufbringen einer Fotolackschicht (5) auf die Siliziumnitridschicht (4), f) Belichten und Entwickeln der F#otolackschicht (5) (Fig.6), g) Herausätzen von Fenstern (8) an den vom Fotolack befreiten Gebieten der Siliziumnitridschicht (4), h) Entfernen des Fotolackes (5), 0 i) Erhitzen der Anordnung auf eine Temperatur von etwa 1000 in Gegenwart von Sauerstoff und Wasserdampf so lange, daß die unter den freigelegten Gebieten (8) liegenden Teile der Siliziumnitridschicht (3) zu Siliziumdioxid aufoxidiert werden, so daß die dabei entstehenden Siliziumdioxidgebiete (6) bis an die Hilfsschicht (14) aus Siliziumnitrid heranreichen, j) Entfernen der Siliziumnitridsöhicht (4), k) Abätzen der Siliziumdioxidgebiete (6), 1) Abätzen der zwischen den Silizium-Elektroden bzw. Leiterbahnen (12> liegenden Teile der Hilfsschicht (14) aus Siliziumnitrid (Fig.10).6. The method according to claim 4, g e k e n n z e i c h n e t by the Sequence of the process steps: a) Application of a first insulating layer (2) Silicon dioxide on a semiconductor substrate (i), b) application of an auxiliary layer (14) made of silicon nitride on the silicon dioxide layer (2), c) application of a layer made of polycrystalline silicon on the auxiliary layer (14) made of silicon nitride, d) application a silicon nitride layer (4) on the layer of polycrystalline silicon (3), e) applying a photoresist layer (5) to the silicon nitride layer (4), f) Exposure and development of the photoresist layer (5) (Fig. 6), g) etching out of windows (8) on the areas of the silicon nitride layer (4) freed from the photoresist, h) remove of the photoresist (5), 0 i) heating the arrangement to a temperature of about 1000 in the presence of oxygen and water vapor so long that the exposed Areas (8) lying parts of the silicon nitride layer (3) oxidized to silicon dioxide so that the resulting silicon dioxide areas (6) up to the auxiliary layer (14) reach from silicon nitride, j) remove the silicon nitride layer (4), k) etching away the silicon dioxide regions (6), 1) etching away the areas between the silicon electrodes or conductor tracks (12> lying parts of the auxiliary layer (14) made of silicon nitride (Fig. 10).
DE19762633906 1976-07-28 1976-07-28 MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes Ceased DE2633906A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19762633906 DE2633906A1 (en) 1976-07-28 1976-07-28 MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19762633906 DE2633906A1 (en) 1976-07-28 1976-07-28 MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes

Publications (1)

Publication Number Publication Date
DE2633906A1 true DE2633906A1 (en) 1978-02-02

Family

ID=5984134

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19762633906 Ceased DE2633906A1 (en) 1976-07-28 1976-07-28 MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes

Country Status (1)

Country Link
DE (1) DE2633906A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0005351A1 (en) * 1978-04-27 1979-11-14 Xerox Corporation A method of making a narrow gate MESFET

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0005351A1 (en) * 1978-04-27 1979-11-14 Xerox Corporation A method of making a narrow gate MESFET

Similar Documents

Publication Publication Date Title
DE2620155C2 (en)
DE3851125T2 (en) Method for producing a semiconductor component with a groove filled with circuit material.
DE69429978T2 (en) Process for the production of semiconductor devices with isolation zones
DE3327301A1 (en) INTEGRATED SEMICONDUCTOR CIRCUIT AND METHOD FOR THEIR PRODUCTION
DE2153103A1 (en) Integrated circuit arrangement and method of making the same
DE3135815A1 (en) "METHOD FOR PRODUCING INTEGRATED CIRCUITS"
DE3136009A1 (en) METHOD FOR PRODUCING INTEGRATED CIRCUITS
DE2636971C2 (en) Method for producing an insulating layer with a flat surface on an uneven surface of a substrate
DE2351437B2 (en) Method for producing semiconductor components with at least two layers of electrically conductive material
DE2227344C3 (en)
DE69324524T2 (en) Method of manufacturing a semiconductor memory device
DE3689971T2 (en) PRODUCTION OF A SEMICONDUCTOR ARRANGEMENT.
DE68928748T2 (en) Method for producing a semiconductor integrated circuit with a connecting conductor integrated in a protective layer
EP0026376A2 (en) Method of making integrated semiconductor circuits, particularly CCD circuits with self-aligned, non-overlapping polysilicon electrodes
DE19853432A1 (en) Semiconductor device and method of manufacturing the same
DE2331393C2 (en) Process for the simultaneous manufacture of field effect transistors and charge coupled semiconductor devices
DE4408564C2 (en) Method for producing a multilayer line structure in a semiconductor device
DE10237522A1 (en) Method of manufacturing a semiconductor device
DE2633906A1 (en) MOS integrated circuits mfr., esp. for memories - using layer of polycrystalline silicon to make conductors and electrodes
DE102004063590A1 (en) Formation of silicon quantum dot for semiconductor memory device, involves applying isotropic etching to substrate using barrier film as mask, and oxidizing isotropic etched substrate with thermal treatment to form gate oxide film
DE2703618A1 (en) METHOD FOR PRODUCING AN INTEGRATED SEMICONDUCTOR CIRCUIT
DE3112215A1 (en) Process for producing a semiconductor device
DE4339466C2 (en) Process for pattern formation using a multilayer resist
DE4316266C2 (en) Semiconductor device having a multilayer interconnect structure and method of manufacturing the same
DE19846691C1 (en) Microstructured glass used in the production of sensors

Legal Events

Date Code Title Description
OAM Search report available
OC Search report available
8110 Request for examination paragraph 44
8131 Rejection