DE19950852B4 - Method and apparatus for producing a thin layer of low resistance - Google Patents

Method and apparatus for producing a thin layer of low resistance Download PDF

Info

Publication number
DE19950852B4
DE19950852B4 DE1999150852 DE19950852A DE19950852B4 DE 19950852 B4 DE19950852 B4 DE 19950852B4 DE 1999150852 DE1999150852 DE 1999150852 DE 19950852 A DE19950852 A DE 19950852A DE 19950852 B4 DE19950852 B4 DE 19950852B4
Authority
DE
Germany
Prior art keywords
manufacturing
power supply
bias
plasma
layer material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE1999150852
Other languages
German (de)
Other versions
DE19950852A1 (en
Inventor
Yoshido Yokohama Suzuki
Fumihito Yokohama Niino
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Stanley Electric Co Ltd
Original Assignee
Stanley Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP10301099A external-priority patent/JP2000144389A/en
Application filed by Stanley Electric Co Ltd filed Critical Stanley Electric Co Ltd
Publication of DE19950852A1 publication Critical patent/DE19950852A1/en
Application granted granted Critical
Publication of DE19950852B4 publication Critical patent/DE19950852B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • C23C14/325Electric arc evaporation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

Herstellungsverfahren für die Ausbildung einer dünnen Schicht mit geringem Widerstand auf einem Substrat (12) unter Verwendung einer Lichtbogenionenbeschichtungsvorrichtung, dadurch gekennzeichnet, daß eine Hochfrequenzvorspannung an eine Vorspannungselektrode, und zwar entweder eine Anode (7) oder eine Kathode (2) der Lichtbogenionenbeschichtungsvorrichtung angelegt wird und eine Entladung bewirkt wird.production method for the Training a thin Low resistance layer on a substrate (12) using an arc ion coating apparatus, characterized in that a high frequency bias to a bias electrode, either an anode (7) or a cathode (2) of the arc ion deposition apparatus is applied and a discharge is effected.

Figure 00000001
Figure 00000001

Description

Gebiet der ErfindungTerritory of invention

Die vorliegende Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung für die Herstellung einer dünnen Schicht mit niedrigem Widerstand, die bei der Herstellung von transparenten, leitenden dünnen Schichten anwendbar sind.The The present invention relates to a method and an apparatus for the Making a thin Low resistance layer used in the manufacture of transparent, conductive thin Layers are applicable.

Beschreibung des Standes der Technikdescription of the prior art

7 ist eine Ansicht einer herkömmlichen Dünnschichtvorrichtung, die Lichtbogenionenplatierung bzw. Plasmabeschichtung verwendet, wie sie in JP 08 003 735 A beschrieben ist. In 7 bezeichnet das Bezugszeichen 1 einen Gaseinlaß für die Einführung von Inertgas, das Bezugszeichen 2 eine Kathode, das Bezugszeichen 3 einen Permanentringmagneten, das Bezugszeichen 4 eine Luftkernspule, das Bezugszeichen 5 eine Gleichstromhochspannungsleistungsversorgung für eine Entladung verbunden mit der Kathode 2, das Bezugszeichen 6 eine Vakuumkammer und das Bezugszeichen 7 eine Quelle (Ofen), die auch als Anode dient, die innerhalb der Vakuumkammer 6 installiert ist und unter Verwendung von Kühlwasser gekühlt wird. Bezugszeichen 8 bezeichnet einen magnetischen Kreis, der einen Permanentmagneten verwendet, der integral mit der Quelle 7 vorgesehen ist, Das Bezugszeichen 9 bezeichnet einen Abgasauslass (Ausstoßauslass) für das Abführen von Gas aus der Vakuumkammer 6, das Bezugszeichen 10 einen Reaktivgaseinlaß, das Bezugszeichen 12 ein über der Quelle 7 positioniertes Substrat, das sich in der Kammer 6 parallel zur Quelle 7 während die Schichtabscheidung fortbewegt, das Bezugszeichen 13 einen Entladungsplasmastrom, der durch eine Lichtbogenentladung erzeugt wird, das Bezugszeichen 14 eine zusätzliche bzw. Hilfsluftkernspule für die Fokussierung des Plasmas und Bezugszeichen 15 ein Schichtmaterial auf der Quelle 7. 7 FIG. 14 is a view of a conventional thin film device using arc ion plating as shown in FIG JP 08 003 735 A is described. In 7 denotes the reference numeral 1 a gas inlet for the introduction of inert gas, the reference numeral 2 a cathode, the reference numeral 3 a permanent ring magnet, the reference numeral 4 an air core coil, the reference numeral 5 a DC high voltage power supply for discharge connected to the cathode 2 , the reference number 6 a vacuum chamber and the reference numeral 7 a source (oven) that also serves as an anode inside the vacuum chamber 6 is installed and cooled using cooling water. reference numeral 8th denotes a magnetic circuit using a permanent magnet integral with the source 7 is provided, the reference numeral 9 denotes an exhaust gas outlet (discharge outlet) for discharging gas from the vacuum chamber 6 , the reference number 10 a reactive gas inlet, the reference numeral 12 one over the source 7 positioned substrate, located in the chamber 6 parallel to the source 7 while the layer deposition is moving, the reference numeral 13 a discharge plasma current generated by an arc discharge, the reference numeral 14 an additional air core coil for focusing the plasma and reference numerals 15 a layer material on the source 7 ,

In der Vakuumkammer 6 dieser Vorrichtung sind die Kathode 2 für die Lichtbogen- bzw. Bogenentladung und die Quelle 7 in einem Abstand voneinander positioniert und mit einem vorbestimmten Winkel zwischen ihnen. Wenn das Schichtmaterial 15 verdampft wird, wird zuerst die Vakuumkammer 6 auf einen Druck von 1,33·10–4 Pa (10–6 Torr) bis 1,33·10–5 Pa (10–7 Torr) evakuiert. Nicht-reaktives Gas (Inertgas), wie beispielsweise Ar usw., wird dann über den Gaseinlaß 1 auf der Rückseite von der Kathode 2 in die Vakuumkammer 6 eingeleitet. Der Druck der Vakuumkammer 6 wird dann auf 1,33·10–2 Pa (10–4 Torr) bis 1,33·10–1 Pa (10–3 Torr) eingestellt und über die Gleichstromleistungsversorgung 5 wird eine Hochspannung über die Kathode 2 und die Quelle 7 als eine Anode angelegt, um so eine Entladung zu erzeugen.In the vacuum chamber 6 This device is the cathode 2 for the arc discharge and the source 7 positioned at a distance from each other and at a predetermined angle between them. If the layer material 15 is evaporated, first the vacuum chamber 6 to 1.33 · 10 -4 Pa (10 -6 torr) to 1.33 · 10 -5 Pa (10 -7 torr). Non-reactive gas (inert gas), such as Ar, etc., is then passed through the gas inlet 1 on the back of the cathode 2 in the vacuum chamber 6 initiated. The pressure of the vacuum chamber 6 is then set to 1.33 · 10 -2 Pa (10 -4 Torr) to 1.33 · 10 -1 Pa (10 -3 Torr) and via the DC power supply 5 becomes a high voltage across the cathode 2 and the source 7 as an anode so as to generate a discharge.

Zu diesem Zeitpunkt fließt ein Entladungsplasmastrom 13 von der Kathode 2 entlang der Linien des magnetischen Flusses und fällt nach unten in Richtung auf den N-Pol des magnetischen Kreises 8 innerhalb der Quelle 7. Da das Schichtmaterial 15 dort positioniert ist, wo der Entladungsplasmastrom 13 nach unten fällt, verdampft ein Teil des Schichtmaterials 15 mit einigen wenigen Quadratzentimetern, und zwar nachdem es Sublimation oder thermischer Fusion an Stellen unterzogen ist, wo der Entladungsplasmastrom 13 mit dem Schichtmaterial kollidiert. Das Substrat 12, auf welches das verdampfte Material abgeschieden werden soll, wird mit einem geeigneten Abstand über der Quelle 7 positioniert.At this time, a discharge plasma current flows 13 from the cathode 2 along the lines of the magnetic flux and falls down towards the N pole of the magnetic circuit 8th within the source 7 , Because the layer material 15 is positioned where the discharge plasma current 13 falls down, evaporates a portion of the coating material 15 with a few square centimeters after undergoing sublimation or thermal fusion at locations where the discharge plasma current is 13 collided with the layer material. The substrate 12 to which the vaporized material is to be deposited is placed at a suitable distance above the source 7 positioned.

Wenn die Schicht ausgebildet wird, wird eine Lichtbogenentladung mit einem Strom von 120 A und einer Spannung von 58 V über die Kathode und die Anode erzeugt, und das Schichtmaterial (ITO (Indium Zinnoxid)-Tabletten) 15, das bei der Anode (der Quelle 7) positioniert ist, verdampft. Hier wird ein als Substrat 12 verwendetes Glassubstrat auf eine Temperatur von 200 Grad Celsius erhitzt.When the layer is formed, an arc discharge with a current of 120 A and a voltage of 58 V is generated across the cathode and the anode, and the laminate (ITO (indium tin oxide) tablets) 15 located at the anode (the source 7 ) is vaporized. Here is a substrate 12 used glass substrate heated to a temperature of 200 degrees Celsius.

Auf diese Weise ausgebildete dünne Schichten besitzen Oberflächen mit überragender Glätte bzw. Ebenheit, jedoch ist ihr Widerstand relativ hoch, wenn solche dünnen Schichten als transparente, leitende Schichten oder ähnliches verwendet werden.On this way trained thin Layers have surfaces with outstanding Smoothness or Flatness, however, its resistance is relatively high when such thin layers be used as transparent, conductive layers or the like.

Probleme, wie beispielsweise Spannungsabfälle und Übersprechen usw., treten daher in einem solchen Ausmaß auf, dass sie die Verwendung als eine transparente Elektrode unmöglich machen, und zwar aufgrund des hohen Widerstands, wenn diese transparenten, leitenden Schichten bei einer STN-LCD (Flüssigkristallanzeige) mit großer Oberfläche verwendet werden, die eine besonders hohe Präzision erfordert.problems such as voltage drops and crosstalk etc., therefore occur to such an extent that they affect the use as a transparent electrode impossible because of of high resistance, when these transparent, conductive layers with a STN-LCD (liquid crystal display) with big ones surface be used, which requires a very high precision.

Zusammenfassung der ErfindungSummary the invention

Da sich die vorliegende Erfindung zum Ziel gesetzt hat, die zuvor genannten Probleme zu lösen, ist es ein Ziel der vorliegenden Erfindung, ein Herstellungsverfahren für dünne Schichten mit niedrigem Widerstand vorzusehen, das geeignet ist, für die Herstellung von transparenten, leitenden Schichten mit geringem Widerstand, insbesondere geeignet für die Verwendung als transparente Elektroden.There the present invention has set itself the goal of the aforementioned Solving problems is It is an object of the present invention to provide a manufacturing method for thin layers Provide low resistance, which is suitable for the production transparent, low resistance conductive layers, especially suitable for the use as transparent electrodes.

Das zuvor genannte Ziel wird durch das Vorsehen eines Verfahrens zur Ausbildung einer dünnen Schicht mit geringem Widerstand auf einem Substrat unter Verwendung einer Lichtbogenionenplatierungsvorrichtung bzw. Lichtbogenionenbeschichtungsvorrichtung erreicht, wobei eine hochfrequente Vorspannung an entweder eine Anode oder eine Kathode der Lichtbogenionenbeschichtungsvorrichtung angelegt wird, um so eine Entladung zu bewirken, und zwar charakterisiert dadurch, dass die Vorspannungselektrode in der Nähe der Stelle des schichtbildenden Materials, das verdampft werden soll, positioniert ist, und die Vorspannungsfrequenz eine variable Radio- bzw. Hochfrequenz ist.The above object is achieved by providing a method of forming a thin film of low resistance on a substrate using an arc ion plating device, wherein a high frequency bias is applied to either an anode or a cathode of the arc ion plating device so as to cause a discharge, characterized in that the bias electrode is in the vicinity of the location of the layer forming material to be evaporated , is positioned, and the bias frequency is a variable radio frequency.

Kurze Beschreibung der ZeichnungenShort description the drawings

1 ist eine Ansicht, die die grundlegende Konfiguration einer schichtbildenden Vorrichtung gemäß der vorliegenden Erfindung zeigt. 1 Fig. 10 is a view showing the basic configuration of a film forming apparatus according to the present invention.

2 ist ein Schaltkreisdiagramm, das eine Konfiguration einer Anpassungsschaltung zeigt, die in die schichtbildenden Vorrichtung der vorliegenden Erfindung verwendet wird. 2 Fig. 12 is a circuit diagram showing a configuration of a matching circuit used in the film forming apparatus of the present invention.

3(a) ist ein Diagramm, das die Struktur eines ersten Ausführungsbeispiels der vorliegenden Erfindung zeigt. 3 (a) Fig. 10 is a diagram showing the structure of a first embodiment of the present invention.

3(b) ist eine vereinfachte Ansicht der Struktur des ersten Ausführungsbeispiels der 3(a). 3 (b) is a simplified view of the structure of the first embodiment of 3 (a) ,

4(a) ist ein Diagramm, das die Struktur eines zweiten Ausführungsbeispiels der vorliegenden Erfindung zeigt. 4 (a) Fig. 15 is a diagram showing the structure of a second embodiment of the present invention.

4(b) ist eine vereinfachte Ansicht der Struktur des zweiten Ausführungsbeispiels der 4(a). 4 (b) is a simplified view of the structure of the second embodiment of 4 (a) ,

5(a) ist ein Diagramm, das die Struktur eines dritten Ausführungsbeispiels der vorliegenden Erfindung zeigt. 5 (a) Fig. 16 is a diagram showing the structure of a third embodiment of the present invention.

5(b) ist eine vereinfachte Ansicht der Struktur des dritten Ausführungsbeispiels der 5(a). 5 (b) is a simplified view of the structure of the third embodiment of 5 (a) ,

6 ist ein Graph, der die Beziehung zwischen dem Widerstand und der Vorspann-HF-Leistung des ersten bevorzugten Ausführungsbeispiels der vorliegenden Erfindung zeigt. 6 Fig. 12 is a graph showing the relationship between the resistance and the bias RF power of the first preferred embodiment of the present invention.

7 ist ein Diagramm, das die Struktur einer herkömmlichen schichtbildenden Vorrichtung unter Verwendung von Lichtbogenionenbeschichtung zeigt. 7 Fig. 10 is a diagram showing the structure of a conventional film forming apparatus using arc ion plating.

8 ist ein Graph, der die Beziehung zwischen der HF-Vorrichtung und den ITO-Schichtcharakteristika des bevorzugten Ausführungsbeispiels der vorliegenden Erfindung zeigt. 8th Fig. 12 is a graph showing the relationship between the RF device and the ITO layer characteristics of the preferred embodiment of the present invention.

9 ist ein Diagramm, das die Beziehung zwischen der P-GUN-Position und der Quelle in einer herkömmlichen schichtbildenden Vorrichtung unter Verwendung von Lichtbogenionenbeschichtung zeigt. 9 Fig. 12 is a diagram showing the relationship between the P-GUN position and the source in a conventional film forming apparatus using arc ion plating.

10 ist ein Diagramm, das die Beziehung zwischen der P-GUN-Position und der Quelle einer schichtbildenden Vorrichtung unter Verwendung von Lichtbogenionenbeschichtung gemäß der vorliegenden Erfindung zeigt. 10 Fig. 10 is a diagram showing the relationship between the P-GUN position and the source of a film forming apparatus using arc ion plating according to the present invention.

Tabelle 1 zeigt die Schichtbildungsbedingungen der Experimente gemäß der vorliegenden Erfindung. Die Daten sind in einem Graph in 8 wiedergegeben.Table 1 shows the film forming conditions of the experiments according to the present invention. The data is in a graph in 8th played.

Detaillierte Beschreibung der bevorzugten Ausführungsbeispieledetailed Description of the preferred embodiments

1 ist eine Ansicht, die die grundlegende Konfiguration einer schichtbildenden Vorrichtung zeigt, die geeignet für die Herstellung der dünnen Schicht mit geringem Widerstand gemäß der vorliegenden Erfindung ist. In 1 sind die Bezugszeichen 1 bis 15 die gleichen wie bei 7 und ihre Beschreibung wird daher ausgelassen. Bezugszeichen 16 zeigt eine HF-(Radio- bzw. Hochfrequenz)-Leistungsversorgung für das Anlegen einer hochfrequenten Vor- bzw. Biasspannung an eine der Elektroden die schichtbildenden Vorrichtung an, d.h. entweder an die Kathode 2 oder die Quelle (Anode) 7, und ihre Verbindung über die Quelle 7 und die Anpassungsschaltung 17. Die Hochfrequenz der HF-Leistungsversorgung 16 besitzt einen optimalen Wert bei 13,56 MHz, jedoch kann dies variiert werden. Die Bezugszeichen 18, 19 und 20 zeigen HF-Ablockungsfilter an, und zwar um HF-Rauschen vom Eingang in die Gleichspannungsleistungsversorgung 5 zu vermeiden. 1 Fig. 14 is a view showing the basic configuration of a film forming apparatus suitable for manufacturing the thin film of the present invention. In 1 are the reference numerals 1 to 15 the same as at 7 and their description is therefore omitted. reference numeral 16 For example, an RF (Radio Frequency) power supply for applying a high frequency bias voltage to one of the electrodes indicates the film forming device, ie either to the cathode 2 or the source (anode) 7 , and their connection through the source 7 and the matching circuit 17 , The high frequency of the RF power supply 16 has an optimum value at 13.56 MHz, but this can be varied. The reference numerals 18 . 19 and 20 indicate RF cutoff filters to RF noise from the input to the DC power supply 5 to avoid.

Eine dünne Schicht mit geringem Widerstand wird aus dem Schichtmaterial 15 auf einer Oberfläche des Substrates 12 ausgebildet. Der Prozess zur Ausbildung dieser dünnen Schicht ist der gleiche, wie er für die 7 beschrieben wurde, und daher wird die Beschreibung dieses Prozesses ausgelassen. Die Konfiguration der 1 unterscheidet sich von der der 7 dahingehend, dass eine HF-Biasspannung an die Quelle 7 angelegt wird, um eine Entladung von der Kathode 2 zu bewirken, aufgrund deren die am Substrat 12 ausgebildete dünne Schicht von geringem Widerstand ist.A thin layer of low resistance is removed from the layer material 15 on a surface of the substrate 12 educated. The process of forming this thin layer is the same as that for the 7 has been described, and therefore the description of this process is omitted. The configuration of 1 is different from that of 7 in that an RF bias voltage is applied to the source 7 is applied to a discharge from the cathode 2 due to which the substrate 12 formed thin layer of low resistance.

2 ist ein Schaltkreisdiagramm, das ein Beispiel einer Konfiguration für die Anpassungsschaltung 17 zeigt, die in der schichtbildenden Vorrichtung der vorliegenden Erfindung verwendet wird. Diese Anpassungsschaltung 17 soll sicherstellen, dass die Leistung der HF-Leistungsversorgung 16 die jeweilige Elektrode auf eine effektive Weise erreicht bzw. an dieser auftritt. Diese Anpassungsschaltung weist eine Spule N4 in Serie verbunden mit einem Kondensator C6 und einen variablen Kondensator C5 auf, und einen Kondensator C4 parallel verbunden mit dem variablen Kondensator C5. 2 FIG. 12 is a circuit diagram showing an example of a configuration for the matching circuit. FIG 17 which is used in the film-forming apparatus of the present invention. This matching circuit 17 should ensure that the power of the RF power supply 16 the respective electrode is achieved in an effective manner or occurs at this. This matching circuit has a coil N4 connected in series with a capacitor C6 and a variable capacitor C5, and a capacitor C4 connected in parallel with the variable capacitor C5.

Erstes AusführungsbeispielFirst embodiment

Die 3(a)-(b) stellen jeweils die Konfiguration einer schichtbildenden Vorrichtung zur Herstellung einer ITO-Dünnschicht für eine transparente Elektrode gemäß einem ersten Ausführungsbeispiel der vorliegenden Erfindung dar und zeigen eine Skizze einer ADIP-ITO-Schichtformungsvorrichtung vom HF-Vorspannungstyp unter Verwendung von ADIP-(Arc-Discharge Ion Plating = Lichtbogenentladungsionenbeschichtung bzw. Lichtbogenionenaufdampfung)-Techniken. 3(a) ist ein Diagramm, das die gesamte Struktur zeigt, und 3(b) ist eine vereinfachte Ansicht derselben.The 3 (a) - (b) respectively illustrate the configuration of a film forming apparatus for manufacturing an ITO thin film for a transparent electrode according to a first embodiment of the present invention, and show a sketch of an AD biasing type ADIP ITO film forming apparatus using ADIP (Arc -Discharge Ion Plating = Arc Discharge Ion Coating) techniques. 3 (a) is a diagram showing the whole structure, and 3 (b) is a simplified view of the same.

Unter Bezugnahme auf die 3(a)-(b) zeigen Bezugszeichen, die gleich zu jenen der 1 sind, die gleichen Elemente der Konfiguration. Der HF-Abblockfilter 18 weist eine Spule N1 und einen in Serie verbundenen Kondensator C1 auf, der HF-Abblockfilter 19 weist eine Spule N2 und einen in Serie verbundenen Kondensator C2 auf, und der HF-Abblockfilter 20 weist eine Spule N3 und einen in Serie verbundenen Kondensator C3 auf. Das Bezugszeichen 21 zeigt ein Gitter an, und das Bezugszeichen 22 zeigt eine Heizung für das Erhitzen des Substrates 12 an. Das Schichtmaterial 15 ist ITO. Jedoch könnte das Schichtmaterial 15 ein anderes Material als ITO sein.With reference to the 3 (a) - (b) show reference numerals equal to those of 1 are, the same elements of the configuration. The HF blocking filter 18 has a coil N1 and a capacitor C1 connected in series, the RF blocking filter 19 has a coil N2 and a capacitor C2 connected in series, and the RF blocking filter 20 has a coil N3 and a capacitor C3 connected in series. The reference number 21 indicates a grid, and the reference numeral 22 shows a heater for heating the substrate 12 at. The layer material 15 is ITO. However, the layer material could 15 to be a different material than ITO.

Die schichtbildende Vorrichtung bzw. Schichtformungsvorrichtung dieses Ausführungsbeispiels verdampft ITO unter Verwendung einer Lichtbogenentladung auf die selbe Weise, wie im herkömmlichen Stand der Technik. Jedoch ist dieses Ausführungsbeispiel dadurch gekennzeichnet, daß die HF-Leistungsversorgung 16, die Anpassungsschaltung 17 und die HF-Abblockfilter 18, 19 und 20 vorgesehen sind, und daß eine HF-Vorspannung an die Anode während der ITO-Verdampfung (während der Entladung) angelegt wird. Eine ITO-Schicht mit geringem Widerstand kann daher hergestellt werden und diese Schicht ist eine hervorragende transparente, leitende Schicht.The film forming apparatus of this embodiment evaporates ITO using an arc discharge in the same manner as in the conventional art. However, this embodiment is characterized in that the RF power supply 16 , the matching circuit 17 and the RF blocking filters 18 . 19 and 20 and that an RF bias is applied to the anode during ITO evaporation (during discharge). An ITO layer with low resistance can therefore be produced and this layer is an excellent transparent, conductive layer.

6 ist eine Ansicht, die die Abhängigkeit des Widerstands zeigt, der bei einem Plasmakanonen- oder P-GUN-Strom von 120 A auftritt (P-GUN = Plasmakanone bzw. Plasmaquelle), und zwar gegen die HF-Anodenvorspannungsleistung unter optimalem bzw. optimiertem Sauerstoffteildruck. In 6 ist der Widerstand bei 0 W gleich dem Wert, der beim herkömmlichen ADIP-Verfahren auftritt, und die Bedingungen für die Schichtbildung abgesehen von der HF-Leistung sind gleich zu den herkömmlichen. In 6 fluktuiert der Widerstand periodisch auf und ab, und zwar einhergehend mit dem Anstieg in der HF-Vorspannungsleistung, während er insgesamt allmählich abfällt, bis er ein Minimum im Bereich von 300 bis 500 Watt erreicht. Nachdem der Widerstand den Minimumwert erreicht hat, wächst er allmählich wieder an. 6 FIG. 12 is a view showing the dependence of the resistance that occurs on a plasma gun or P-GUN current of 120 A (P-GUN = plasma gun) versus RF anode bias power under optimum oxygen partial pressure. FIG , In 6 For example, the resistance at 0 W equals the value that occurs in the conventional ADIP method, and the conditions for film formation other than the RF power are the same as those of the conventional ones. In 6 The resistance periodically fluctuates up and down, along with the increase in RF bias power, while gradually decreasing until it reaches a minimum in the range of 300 to 500 watts. After the resistance has reached the minimum value, it gradually increases again.

Die periodischen Fluktuationen im Widerstand scheinen von der Verwendung der HF-Quelle beeinflußt zu sein, d.h. es gibt einen optimalen Wert für die HF-Vorspannungsleistung, und zwar mit Widerstandswerten von 1,22 × 10–4 Ω·cm und 1,24 × 10–4 Ω·cm, was bei Experimenten mit Leistungen von 300 W bzw. 500 W erreicht wurde. Es kann daher bestätigt werden, dass eine HF-Vorspannungsleistung in der Nähe bzw. im Bereich von 300 bis 500 W am wirksamsten zur Verbesserung der ITO-Schichtqualität bei der Verwendung von Ar+ bei einem P-GUN-Strom von 120 A ist.The periodic fluctuations in the resistance appear to be affected by the use of the RF source, ie, there is an optimum value for the RF bias power, with resistances of 1.22 x 10 -4 ohm cm and 1.24 x 10 -4 Ω · cm, which was achieved in experiments with powers of 300 W and 500 W, respectively. Therefore, it can be confirmed that an RF bias power in the vicinity of 300 to 500 W is most effective for improving the ITO film quality when using Ar + at a P-GUN current of 120 A.

Aus den Ergebnissen der zuvor genannten Experimente ist ersichtlich, daß der Widerstand erniedrigt werden kann bei der Ausbildung der ITO-Schicht unter Verwendung von ADIP-HF-Techniken. Ein Migrationseffekt, der zu die ser Verbesserung die Schichtqualität beiträgt, ist besonders ausgeprägt für Ar und ebenso wesentlich für Xe. Der Migrationseffekt ist ein Phänomen, wobei das Schichtmaterial, das an der Oberfläche des Substrats angehaftet bzw. angeordnet ist, die kinetische Energie der Ar-Ionen absorbiert, um sich somit in Richtung auf eine stabile Position auf der Oberfläche des Substrats zu bewegen.Out The results of the aforementioned experiments show that that the Resistance can be lowered when training the ITO layer using ADIP-RF techniques. A migration effect that This enhancement contributes to the quality of the coating, which is particularly pronounced for Ar and also essential for Xe. The migration effect is a phenomenon whereby the coating material, that on the surface the substrate is attached or arranged, the kinetic energy The Ar ion absorbs, thus moving towards a stable Position on the surface to move the substrate.

Es folgt eine Beschreibung die Schichtformungsbedingungen, um die Verbesserungen für verschiedene Substrattypen zuwege zu bringen, und der begleitenden bzw. zugehörigen Ergebnisse bezüglich ITO-(Indium Zinnoxid)-Schichtformungsexperimente unter Verwendung von ADIP-HF-Techniken.It a description follows the layer forming conditions to the improvements for different Substrate types, and the accompanying or associated results in terms of ITO (indium tin oxide) layer forming experiments using ADIP-RF techniques.

[Experimentelle Analyse von Gasentladung aus einem Farbfiltersubstrat und Zweischicht-SiO2-Schichtformungstechniken][Experimental Analysis of Gas Discharge from a Color Filter Substrate and Two -Layer SiO 2 Layer Forming Techniques]

In den Experimenten wird eine Dünnschichtvorrichtung, die eine ITO-Schicht und zwei SiO2-Schichten aufweist, auf einem Farbfiltersubstrat ausgebildet. Das Farbfiltersubstrat besteht aus einer Überschicht bzw. Deckschicht, die auf einem Pigment verteilten Farbfilter abgeschieden ist. Da die Deckschicht auf dem Farbfilter ein organisches Material ist, wird Gas resultierend aus der Erhitzung oder der Exponierung gegen Plasma emittiert. Diese Gasemission ist schädlich für die Charakteristik der ITO-Schicht. Wenn eine SiO2-Schicht auf der Deckschicht bzw. Überschicht in einer Stufe ausgebildet wird, tritt ein Abschälen der Grenze der Überschicht und der SiO2-Schicht aufgrund von Streß bzw. Spannung innerhalb des SiO2 auf, was ein Reißen bzw. Brechen der Schicht bewirken kann.In the experiments, a thin film device comprising an ITO layer and two SiO 2 layers is formed on a color filter substrate. The color filter substrate consists of an overcoat layer deposited on a pigment-dispersed color filter. Since the overcoat on the color filter is an organic material, gas is emitted as a result of heating or exposure to plasma. This gas emission is harmful to the characteristics of the ITO layer. When an SiO 2 layer is formed on the overcoat in a step, peeling of the boundary of the overcoat and the SiO 2 layer due to stress within the SiO 2 occurs, causing breakage of the SiO 2 layer Layer can effect.

Jedoch zeigen die Ergebnisse der Gasanalyse bei der Ausbildung von Schichten von SiO2 und ITO auf dem Farbfiltersubstrat, dass die Verschlechterung der ITO-Schichtcharakteristika und Risse aufgrund von Stress innerhalb der dünnen Schicht vermieden werden, indem der SiO2-Schichtabscheidungsprozeß in zumindest zwei Stufen unterteilt wird, weil ein interner Stress bzw. eine interne Spannung für dünnere Schichten geringer ist.However, the results of gas analysis in the formation of layers of SiO 2 and ITO on the color filter substrate show that the deterioration of the ITO film characteristics and cracks due to stress within the thin film are avoided by dividing the SiO 2 film deposition process into at least two stages because internal stress is lower for thinner layers.

[Zweischichtige ITO-Schichtformungstechniken für verbesserte Schichtwiderstandsverteilung][Two-Layer ITO Film Forming Techniques for improved Sheet resistance distribution]

ITO-Schichten, die durch herkömmliche ADIP-Techniken ausgebildet sind, sind dadurch gekennzeichnet, daß ihre Mittelteile bezüglich der Fortbewegungsrichtung des Substrats einen hohen Schichtwiderstand haben. Der Grund liegt darin, daß ein Paar von AI-Platten 210, die um die Unterseiten bzw. den Boden des Substrats 12 herum an sowohl den vorderen als auch den hinteren Endteilen vorgesehen sind, einen Mittelteil besitzen, der sich in horizontale Richtung nach innen auf eine halbkreisförmige Weise krümmt, um eine längere Expositionszeit bzw. Aussetzungszeit an sowohl den linken als auch den rechten Enden vorzusehen als die an einem mittleren Teil des sich bewegenden Substrats, um die Schichtdicke einheitlich zu machen, wie in 9 gezeigt. Plasma und aktive Teilchen werden daher durch die AI-Platten 210 abgeblockt, insbesondere Plasma und aktive Teilchen, die auf den mittleren Teil des Substrats von den Wänden der Vakuumkammer her auftreffen. Demgemäß ist die Menge des Plasmas und der aktiven Teilchen, denen der Mittelteil ausgesetzt ist, reduziert. Die Gleichförmigkeit die Schichtdicke zwischen dem Mittelteil und sowohl den rechten und linken Enden bezüglich der Fortbewegungsrichtung kann durch die Krümmung der AI-Platten eingestellt werden. Jedoch wird oft beobachtet, dass eine erhaltene ITO-Schicht zu einer geringeren bzw. kleineren Dicke an seinem Mittelteil im Vergleich zu den rechten und linken Enden bezüglich einer Fortbewegungsrichtung eines Substrats tendiert. Da der Widerstand der ITO-Schicht umgekehrt proportional zu ihrer Schichtdicke ist, ist daher der Widerstand des Mittelteils hoch im Vergleich zu den linken und rechten Enden bezüglich der Fortbewegungsrichtung des Substrats.ITO layers formed by conventional ADIP techniques are characterized in that their center portions have a high sheet resistance with respect to the advancing direction of the substrate. The reason is that a pair of AI plates 210 around the bottom or bottom of the substrate 12 around at both the front and rear end portions, have a central portion that curves in a horizontal direction inwardly in a semicircular manner to provide a longer exposure time at both the left and right ends than those at a middle part of the moving substrate to make the layer thickness uniform, as in 9 shown. Plasma and active particles are therefore due to the AI plates 210 blocked, in particular plasma and active particles which impinge on the central part of the substrate from the walls of the vacuum chamber ago. Accordingly, the amount of the plasma and the active particles to which the center portion is exposed is reduced. The uniformity of the layer thickness between the middle part and both the right and left ends with respect to the traveling direction can be adjusted by the curvature of the Al plates. However, it is often observed that a resulting ITO layer tends to have a smaller or smaller thickness at its center portion as compared with the right and left ends with respect to a traveling direction of a substrate. Therefore, since the resistance of the ITO layer is inversely proportional to its layer thickness, the resistance of the center part is high compared to the left and right ends with respect to the traveling direction of the substrate.

Dieses Problem kann gelöst werden, indem zumindest zwei Plasmakanonen bzw. Plasmaquellen anstatt der Verwendung der AI-Platte 210 vorgesehen werden, und das Resultat ist durch Simulation bestätigt. In 10 sind zwei Paare von Elektroden 2 und 7 so positioniert, dass jeder Plasmastrom von einer entsprechenden Anode 7 teilweise mit dem anderen überlappt, wenn die Plasmaströme das Substrat 12 erreichen.This problem can be solved by using at least two plasma guns or plasma sources instead of using the AI plate 210 be provided, and the result is confirmed by simulation. In 10 are two pairs of electrodes 2 and 7 positioned so that each plasma stream from a corresponding anode 7 partially overlaps with the other when the plasma currents the substrate 12 to reach.

Dieses Problem wird ebenso dadurch gelöst, dass die Schichtabscheidungsprozeß für eine Schicht eines einzigen Materials in zumindest zwei Stufen unterteilt wird. Ergebnisse von Vorabexperimenten zeigen, dass ein Unterschied der Dicken zwischen dem Mittelteil und den rechten und linken Enden bezüglich der Fortbewegungsrichtung des Substrats kleiner wird, wenn die gesamte Schichtdicke reduziert ist, weil die Zeitdauer der Exposition gegen das Plasma kürzer für dünnere Schichten ist. Daher ist die Reduzierung der gesamten Schichtdicke ein effektiver Weg für die Verbesserung der Gleichförmigkeit der Schichtwiderstandsverteilung. Diese ITO-Dicke wird durch die Geschwindigkeit gesteuert, mit welcher das Substrat transportiert wird.This Problem is also solved by that the layer deposition process for one layer a single material is divided into at least two stages. Results of preliminary experiments show that a difference of Thicknesses between the middle part and the right and left ends in terms of the advancing direction of the substrate becomes smaller when the whole Layer thickness is reduced because the period of exposure to the Plasma shorter for thinner layers is. Therefore, the reduction of the total layer thickness is more effective Way for the improvement of uniformity the sheet resistance distribution. This ITO thickness is determined by the Controlled speed, with which transported the substrate becomes.

Wenn die Schicht dick gemacht werden soll, ist es notwendig, die Transportgeschwindigkeit zu verlangsamen, so daß die Expositionszeit gegen das Plasma entsprechend ansteigt. Daher steigt ebenso die Substrattemperatur an. Dieser Anstieg der Temperatur in der Mitte des Substrats, wo Plasma durch die AI-Platte abgeblockt wird, ist träge oder verzögert im Vergleich zu den Enden, und Ungleichförmigkeit bezüglich der Substrattemperatur reflektiert sich in die Schichtwiderstandsverteilung.If the layer should be made thick, it is necessary the transport speed to slow down so that the Exposure time to the plasma increases accordingly. Therefore rises also the substrate temperature. This increase in temperature in the middle of the substrate where plasma is blocked by the AI plate is, is lazy or delayed in the Comparison to the ends, and nonuniformity in terms of Substrate temperature reflects in the sheet resistance distribution.

Der Grund für eine bessere Schichtswiderstandsverteilung für dünnere Schichten ist, daß eine Ungleichmäßigkeit bezüglich der Substrattemperatur durch die Beschleunigung der Substrattransportgeschwindigkeit gemindert wird. Die Schichtwiderstand kann daher durch Unterteilung des ITO-Schichtformungsprozesses in zumindest zwei Stufen verbessert werden, wobei der Prozeß, bei dem sich das Substrat in der Vakuumkammer schneller fortbewegt, wiederholt wird.Of the reason for a better coating resistance distribution for thinner layers is that unevenness in terms of the substrate temperature by the acceleration of the substrate transport speed is reduced. The sheet resistance can therefore be divided by subdivision of the ITO layer forming process be improved in at least two stages, the process in which the substrate moves faster in the vacuum chamber, repeats becomes.

Zweites AusführungsbeispielSecond embodiment

4(a)-(b) stellen jeweils eine Konfiguration für eine Schichtformungsvorrichtung eines zweiten Ausführungsbeispiels der vorliegenden Erfindung dar. Elemente, die mit den gleichen Bezugszeichen wie in 3 versehen sind, zeigen die gleichen Elemente dieser Konfiguration an. 4(a) ist ein Diagramm, das einen Plan bzw. eine Skizze der gesamten Struktur zeigt, und 4(b) ist eine vereinfachte Ansicht derselben. 4 (a) - (b) each illustrate a configuration for a film forming apparatus of a second embodiment of the present invention. Elements denoted by the same reference numerals as in FIG 3 are provided, the same elements indicate this configuration. 4 (a) is a diagram showing a plan or sketch of the whole structure, and 4 (b) is a simplified view of the same.

Dieses Ausführungsbeispiel ist als eine ADIP-ITO-Schichtformungsvorrichtung vom HF-Vorspannungstyp an einer Kathode konfiguriert, wobei eine HF-Leistungsversorgung 16 mit der Kathode 2 über eine Anpassungsschaltung 17 verbunden ist. Diese Konfiguration erreicht ebenso die selben Betriebsergebnisse wie die des ersten Ausführungsbeispiels, und eine hervorragende bzw. hochwertige ITO-Dünnschicht mit geringem Widerstand kann erhalten werden.This embodiment is configured as an RF bias type ADIP-ITO film forming apparatus at a cathode, wherein an RF power supply 16 with the cathode 2 via a matching circuit 17 connected is. This configuration also achieves the same operational results as that of the first embodiment, and a high-quality ITO thin film with low resistance can be obtained.

Drittes AusführungsbeispielThird embodiment

5(a)-(b) stellen jeweils die Konfiguration einer Schichtformungsvorrichtung eines dritten Ausführungsbeispiels der vorliegenden Erfindung dar. Wie bei 3 und 4, zeigt 5(a) eine Skizze bzw. einen Plan der gesamten Struktur, und 5(b) ist eine vereinfachte Ansicht. In den 5(a)-(b) zeigt das Bezugszeichen 23 eine spulenartige HF-Vorspannungselektrode an, die in der Nähe eines Teils des zu verdampfenden Schichtmaterials positioniert ist und mit der HF-Leistungsversorgung 16 über einen Schalter 24 und die Anpassungsschaltung 17 verbunden ist. Andere Aspekte der Konfiguration sind gleich zu denen der 3. 5 (a) - (b) respectively illustrate the configuration of a sheet forming apparatus of a third embodiment of the present invention 3 and 4 , shows 5 (a) a sketch or plan of the whole structure, and 5 (b) is a simplified view. In the 5 (a) - (b) shows the reference number 23 a coil-type RF bias electrode positioned near a portion of the layer material to be evaporated and the RF power supply 16 via a switch 24 and the matching circuit 17 connected is. Other aspects of the configuration are the same as those of the 3 ,

Dieses Ausführungsbeispiel ist konfiguriert als eine ADIP-ITO-Schichtformungsvorrichtung vom HF-Vorspannungstyp plus HF-Spulenelektrode und erreicht die selben Betriebsergebnisse wie die für die ersten und zweiten Ausführungsbeispiele. Dieses Ausführungsbeispiel erfordert ebenso die HF-Leistungsversorgung 16, die Anpassungsschaltung 17 und die HF-Abblockfilter 18, 19 und 20 wie bei den ersten und zweiten Ausführungsbeispielen.This embodiment is configured as an RF bias type ADP ITO film forming apparatus plus an RF coil electrode, and achieves the same operating results as those for the first and second embodiments. This embodiment also requires the RF power supply 16 , the matching circuit 17 and the RF blocking filters 18 . 19 and 20 as in the first and second embodiments.

8 ist ein Graph, der die Beziehung zwischen der HF-Vorspannung und den ITO-Schichtcharakteristika für jedes der zuvor erwähnten Ausführungsbeispiele zeigt. Basierend auf in Tabelle 1 gezeigten experimentellen Daten stellt der Graph die ITO-Schichtlochmobilität (cm–1/V·S) und die mittlere Rauhigkeit Ra (nm) dar, wenn die Schichtformungsbedingungen bezüglich des Trägergases (Ar, Xe), des Entladestroms (120A, 160A) und des Vorhandenseins der HF-Vorspannung (O, X) eingesetzt bzw. ausgetauscht werden. 8th Fig. 12 is a graph showing the relationship between the RF bias and the ITO film characteristics for each of the aforementioned embodiments. Based on experimental data shown in Table 1, the graph represents the ITO layer hole mobility (cm -1 / V · S) and the average roughness Ra (nm) when the film forming conditions with respect to the carrier gas (Ar, Xe), the discharge current (120A , 160A) and the presence of the RF bias (O, X).

Tabelle 1 zeigt experimentelle Daten unter Schichtformungsbedingungen (Schichtformungsbedingung Nr. 1 bis 8), und sie zeigt experimentelle Ergebnisse für einen Sauerstoffstrom (SCCM = Standardkubikzentimeter pro Minute), für einen Argonstrom (SCCM), einen Xe-Strom (SCCM), eine Entladespannung (V), eine HF-Leistung (W), eine Schichtdicke (Angström) (0,1nm), den Widerstand (X10–4 ohm·cm), die Trägerdichte (X 1021 cm–1), die Mobilität (cm2/V·s), die Transmission (λ=550nm%), die durchschnittliche Rauhigkeit Ra (nm) und die Austrittsarbeit (eV).Table 1 shows experimental data under film forming conditions (film forming conditions Nos. 1 to 8) and shows experimental results for oxygen flow (SCCM = standard cubic centimeter per minute), argon stream (SCCM), Xe flow (SCCM), discharge voltage (SCCM). V), an RF power (W), a layer thickness (Angstrom) (0.1nm), the resistance (X10 -4 ohm · cm), the carrier density (X 10 21 cm -1 ), the mobility (cm 2 / V · s), the transmission (λ = 550nm%), the average roughness Ra (nm) and the work function (eV).

Die folgenden Punkte (1) bis (4) können aus den experimentellen Daten hergeleitet werden.

  • (1) Die HF-Vorspannung verbessert die Glattheit der Oberfläche der ITO-Schicht (bis hinab zu einem Mittel von 25%).
  • (2) Die HF-Vorspannung verbessert die Lochbeweglichkeit bzw. Lochmobilität der ITO-Schicht (bis zu durchschnittlich 16%).
  • (3) Die Transmission zeigt eine Verbesserungstendenz, weil die Kristallinität in dem Ausmaß verbessert ist, wie die Lochmobilität erhöht ist.
  • (4) Die Austrittsarbeit zeigt keine besonderen Tendenzen.
The following items (1) to (4) can be derived from the experimental data.
  • (1) The RF bias improves the smoothness of the surface of the ITO layer (down to a mean of 25%).
  • (2) The RF bias improves the hole mobility or hole mobility of the ITO layer (up to an average of 16%).
  • (3) The transmission shows an improvement tendency because the crystallinity is improved to the extent that the hole mobility is increased.
  • (4) The work of emergence shows no particular tendencies.

Wie für die vorangegangenen Ausführungsbeispiele der vorliegenden Erfindung beschrieben, ist die vorliegende Erfindung besonders vorteilhaft in Hinblick auf transparente Elektroden verwendende Produkte, die bei Flüssigkris tallvorrichtungen verwendet werden, wie beispielsweise STN-LCDs oder TFT-LCDs usw., bei PDP, bei organischen oder nichtorganischen EL und bei Solarzellenvorrichtungen und andere Produkte, die transparente Elektroden verwenden.As for the previous embodiments of the present invention is the present invention particularly advantageous with respect to transparent electrodes using products, the tallbaus in Flüssigkris used, such as STN-LCDs or TFT-LCDs, etc., in PDP, in organic or non-organic EL and in solar cell devices and other products using transparent electrodes.

Gemäß der vorliegenden Erfindung kann eine hervorragende transparente, leitende Schicht mit geringem Widerstand ausgebildet werden, und ein Dünnschicht, der sehr geeignet für die Verwendung als eine transparente Elektrode ist, wird erreicht.According to the present Invention can be an excellent transparent, conductive layer with low resistance, and a thin film, the very suitable for the use as a transparent electrode is achieved.

Die 1 verwendet die folgenden Bezugszeichen:The 1 uses the following reference numbers:

11
Gaseinlassgas inlet
22
Kathodecathode
33
PermanentringmagnetPermanent ring magnet
44
LuftkernspuleAir-core coil
55
GleichstromhochspannungsleistungsversorgungDC high voltage power supply
66
Vakuumkammervacuum chamber
77
Quelle bzw. Ofen (Anode)source or furnace (anode)
88th
magnetischer Kreismagnetic circle
99
Abgasauslassexhaust outlet
1010
ReaktivgaseinlassReactive gas inlet
1212
Substratsubstratum
1313
EntladungsplasmastromDischarge plasma current
1414
HilfsluftkernspuleAuxiliary air-core coil
1515
Schichtmateriallayer material
1616
HF-LeistungsversorgungRF power supply
1717
Anpassungsschaltungmatching circuit
1818
HF-AbblockungsfilterRF Abblockungsfilter
1919
HF-AbblockungsfilterRF Abblockungsfilter
2020
HF-AbblockungsfilterRF Abblockungsfilter

Figure 00140001
Figure 00140001

Claims (25)

Herstellungsverfahren für die Ausbildung einer dünnen Schicht mit geringem Widerstand auf einem Substrat (12) unter Verwendung einer Lichtbogenionenbeschichtungsvorrichtung, dadurch gekennzeichnet, daß eine Hochfrequenzvorspannung an eine Vorspannungselektrode, und zwar entweder eine Anode (7) oder eine Kathode (2) der Lichtbogenionenbeschichtungsvorrichtung angelegt wird und eine Entladung bewirkt wird.Manufacturing method for forming a low-resistance thin film on a substrate ( 12 ) using an arc ion coating device, characterized in that a high frequency bias voltage to a bias electrode, either an anode ( 7 ) or a cathode ( 2 ) of the arc ion coating apparatus is applied and a discharge is effected. Herstellungsverfahren gemäß Anspruch 1, wobei die Vorspannungselektrode (7) in der Nähe eines Teils eines zu verdampfenden Schichtmaterials (15) positioniert wird.A manufacturing method according to claim 1, wherein said bias electrode ( 7 ) in the vicinity of a part of a layer material to be evaporated ( 15 ) is positioned. Herstellungsverfahren nach Anspruch 1 oder 2, wobei als Vorspannungsfrequenz eine variable Hochfrequenz verwendet wird.A manufacturing method according to claim 1 or 2, wherein as the bias frequency, a variable high frequency is used. Herstellungsverfahren nach Anspruch 3, wobei ein Hochfrequenzabblockfilter (18, 19, 20) verwendet wird, um zu verhindern, daß HF-Rauschen in eine Gleichstromleistungsversorgung (5) eintritt.A manufacturing method according to claim 3, wherein a high-frequency blocking filter ( 18 . 19 . 20 ) is used to prevent RF noise from entering a DC power supply ( 5 ) entry. Herstellungsverfahren nach Anspruch 3, wobei die Hochfrequenzvorspannung anlegende Hochfrequenzleistungsversorgung (16) zum Vorsehen der Hochfrequenz über eine Anpassungsschaltung (17) mit der einen der Elektroden (2, 7) verbunden wird.The manufacturing method according to claim 3, wherein the high-frequency bias applying high-frequency power supply ( 16 ) for providing the high frequency via a matching circuit ( 17 ) with one of the electrodes ( 2 . 7 ) is connected. Herstellungsverfahren nach Anspruch 4, wobei der Hochfrequenzabblockfilter (18, 19, 20) als eine Spule (N1, N2, N3) und ein Kondensator (C1, C2, C3) in Serie eingesetzt wird.The manufacturing method according to claim 4, wherein the high-frequency blocking filter ( 18 . 19 . 20 ) is used as a coil (N1, N2, N3) and a capacitor (C1, C2, C3) in series. Herstellungsverfahren nach einem der Ansprüche 1-6, wobei als Hochfrequenzvorspannung ein Optimalwert von 13,56 MHz verwendet wird.Manufacturing method according to one of claims 1-6, as a high-frequency bias an optimum value of 13.56 MHz is used. Herstellungsverfahren nach Anspruch 3, wobei die optimierte HF-Leistung im Bereich von 300-500 W mit einem Plasmakanonenstrom von 120A verwendet wird.The manufacturing method according to claim 3, wherein the optimized RF power in the range of 300-500 W with a plasma gun current of 120A becomes. Herstellungsverfahren nach Anspruch 1, wobei die dünne Schicht eine Schichtung aufweist, die über zumindest zwei Abscheidungsprozesse eines einzigen Schichtmaterials ausgebildet wird.The manufacturing method according to claim 1, wherein the thin layer has a layering over at least two deposition processes of a single layer material is trained. Herstellungsverfahren nach Anspruch 1, wobei als Schichtmaterial (15) als ITO verwendet wird.Manufacturing method according to claim 1, wherein as layer material ( 15 ) is used as ITO. Herstellungsverfahren nach Anspruch 1, wobei als Schichtmaterial (15) als SiO2 verwendet wird.Manufacturing method according to claim 1, wherein as layer material ( 15 ) is used as SiO 2 . Herstellungsverfahren nach Anspruch 1, wobei ein weiteres Paar von Elektroden (2', 3', 4', 7') in der Nähe des Elektrodenpaares (2, 3, 4, 7) verwendet wird, so dass der Plasmastrom (13') von einem Elektrodenpaar (2', 3', 4', 7') teilweise mit dem Plasmastrom (13) von dem anderen Elektrodenpaar (2, 3, 4, 7) überlappt, wenn die Plasmaströme (13, 13') das Substrat erreichen.A manufacturing method according to claim 1, wherein another pair of electrodes ( 2 ' . 3 ' . 4 ' . 7 ' ) in the vicinity of the electrode pair ( 2 . 3 . 4 . 7 ) use det, so that the plasma current ( 13 ' ) of a pair of electrodes ( 2 ' . 3 ' . 4 ' . 7 ' ) partially with the plasma stream ( 13 ) from the other pair of electrodes ( 2 . 3 . 4 . 7 ) overlaps when the plasma currents ( 13 . 13 ' ) reach the substrate. Herstellungsverfahren nach Anspruch 5, wobei Ar-Gas in eine Vakuumkammer (6) eingeführt wird, eine Hochspannung über eine Gleichstromleistungsversorgung (5) über eine Kathode (2) und eine Quelle (Anode) (7) angelegt wird, so dass eine Entladung bewirkt wird und ein Entladungsplasmastrom (13) erzeugt wird, wobei ferner ein Schichtmaterial (15) auf der Quelle (7) dann verdampft und an einer Oberfläche des Substrats (12) haftet, wobei während dieser Zeit eine HF-Vorspannspannung an die Quelle (7) über die Hochfrequenzleistungsversorgung (16) angelegt wird.A manufacturing method according to claim 5, wherein Ar gas is introduced into a vacuum chamber ( 6 ), a high voltage via a DC power supply ( 5 ) via a cathode ( 2 ) and a source (anode) ( 7 ) is applied, so that a discharge is effected and a discharge plasma current ( 13 ), wherein furthermore a layer material ( 15 ) on the source ( 7 ) and then evaporated on a surface of the substrate ( 12 ), during which time an RF bias voltage is applied to the source ( 7 ) via the high-frequency power supply ( 16 ) is created. Lichtbogenionenbeschichtungsvorrichtung zur Ausbildung einer dünnen Schicht mit geringem Widerstand, die folgendes aufweist: eine Vakuumkammer (6) mit einem Gaseinlaß (1) zum Einleiten von Inertgas, eine Anode (7), auf welcher Schichtmaterial (15) angeordnet ist, eine Kathode (2) in der Nähe des Inertgaseinlasses (1), eine Gleichstromleistungsversorgung (5) für das Anlegen einer Spannung zwischen der Anode (7) und der Kathode (2) zur Erzeugung eines Entladungsplasmas, gekennzeichnet durch eine Hochfrequenzleistungsversorgung (16) zum Anlegen einer Hochfrequenzvorspannung an entweder die Anode (7) oder die Kathode (2) der Vorrichtung.An arc ion coating apparatus for forming a thin film of low resistance, comprising: a vacuum chamber ( 6 ) with a gas inlet ( 1 ) for introducing inert gas, an anode ( 7 ), on which layer material ( 15 ), a cathode ( 2 ) near the inert gas inlet ( 1 ), a DC power supply ( 5 ) for applying a voltage between the anode ( 7 ) and the cathode ( 2 ) for generating a discharge plasma, characterized by a high-frequency power supply ( 16 ) for applying a high frequency bias to either the anode ( 7 ) or the cathode ( 2 ) of the device. Vorrichtung nach Anspruch 14, die weiter folgendes aufweist: einen Reaktivgaseinlaß (10) und einen Abgasauslaß (9), einen magnetischen Kreis (8) benachbart zu einer Quelle (7), und einer Anode (7) in der Nähe der Quelle (7), einen Permanentringmagneten (3) für die Einstellung eines Plasmastromes (13), einer Luftkernspule (4) für die Einstellung des Plasmastroms (13), einer Hilfsluftkernspule (14) für die Fokussierung des Plasmastroms (13), ein Substrat (12), auf welchem verdampftes Schichtmaterial sich abscheidet und welches mit einem Abstand über der Quelle (7) positioniert ist, eine Anpassungsschaltung (17) zur Sicherstellung, daß die HF-Leistung die eine der Elektroden (2, 7) erreicht; und mindestens einen HF-Abblockfilter (18, 19, 20), um zu verhindern, daß HF-Rauschen in die Gleichstromleistungsversorgung (5) eintritt.Apparatus according to claim 14, further comprising: a reactive gas inlet ( 10 ) and an exhaust outlet ( 9 ), a magnetic circuit ( 8th ) adjacent to a source ( 7 ), and an anode ( 7 ) near the source ( 7 ), a permanent ring magnet ( 3 ) for the adjustment of a plasma current ( 13 ), an air core coil ( 4 ) for the adjustment of the plasma stream ( 13 ), an auxiliary air core coil ( 14 ) for the focusing of the plasma stream ( 13 ), a substrate ( 12 ) on which vaporized layer material separates and which at a distance above the source ( 7 ), a matching circuit ( 17 ) to ensure that the RF power is one of the electrodes ( 2 . 7 ) reached; and at least one RF blocking filter ( 18 . 19 . 20 ) to prevent RF noise from entering the DC power supply (FIG. 5 ) entry. Vorrichtung nach Anspruch 15, wobei der Hochfrequenzabblockfilter (18, 19, 20) eine Spule (N1, N2, N3) und einen Kondensator (C1, C2, C3) in Serie verbunden aufweist.Device according to claim 15, wherein the high-frequency blocking filter ( 18 . 19 . 20 ) has a coil (N1, N2, N3) and a capacitor (C1, C2, C3) connected in series. Vorrichtung gemäß einem der Ansprüche 14 bis 16, wobei die Hochfrequenz einer HF-Leistungsversorgung (16) einen Optimalwert bei 13,56 MHz einnimmt.Device according to one of claims 14 to 16, wherein the high frequency of an RF power supply ( 16 ) assumes an optimum value at 13.56 MHz. Vorrichtung nach einem der Ansprüche 15 bis 17, wobei die Anpassungsbox (17) eine Anpassungsschaltung ist, der eine Spule (N4) in Serie verbunden mit einem Kondensator (C6) und einen variablen Kondensator (C5) und einen weiteren Kondensator (C4) parallel mit dem variablem Kondensator (C5) verbunden aufweist.Device according to one of claims 15 to 17, wherein the adaptation box ( 17 ) is a matching circuit having a coil (N4) connected in series with a capacitor (C6) and a variable capacitor (C5) and another capacitor (C4) connected in parallel with the variable capacitor (C5). Vorrichtung nach einem der Ansprüche 14 bis 18, die weiter ein Gitter (21) benachbart zu der Vakuumkammer (6) und verbunden mit der Kathode (2) aufweist.Device according to one of claims 14 to 18, further comprising a grid ( 21 ) adjacent to the vacuum chamber ( 6 ) and connected to the cathode ( 2 ) having. Vorrichtung nach einem der Ansprüche 14 bis 19, die ferner eine Heizung (22) für das Erhitzen des Substrats (12) aufweist.Device according to one of claims 14 to 19, further comprising a heater ( 22 ) for heating the substrate ( 12 ) having. Vorrichtung nach einem der Ansprüche 14 bis 20, wobei das Schichtmaterial (15) ITO ist.Device according to one of claims 14 to 20, wherein the layer material ( 15 ) ITO is. Vorrichtung nach einem der Ansprüche 14 bis 20, wobei das Schichtmaterial (15) SiO2 ist.Device according to one of claims 14 to 20, wherein the layer material ( 15 ) SiO 2 . Vorrichtung nach einem der Ansprüche 14 bis 22, wobei eine der Elektroden eine spulenartige HF-Vorspannungs- oder -biaselektrode (23) ist, die in der Nähe eines Teils des zu verdampfenden Schichtmaterials (15) positioniert ist und mit der HF-Leistungsversorgung (16) verbunden ist.The device of any one of claims 14 to 22, wherein one of the electrodes comprises a coil-type RF bias or bias electrode ( 23 ), which is in the vicinity of a part of the layer material to be evaporated ( 15 ) and with the RF power supply ( 16 ) connected is. Vorrichtung nach Anspruch 23, wobei die spulenartige HF-Vorspannelektrode (23) mit der HF-Leistungsversorgung (16) über einen Schalter (24) und eine Anpassungsbox (17) verbunden ist.Apparatus according to claim 23, wherein the coil-type RF bias electrode ( 23 ) with the RF power supply ( 16 ) via a switch ( 24 ) and an adjustment box ( 17 ) connected is. Vorrichtung nach einem der Ansprüche 14 bis 24, wobei ein weiteres Paar von Elektroden (2', 3', 4', 7') in der Nähe des Elektrodenpaares (2, 3, 4, 7) vorgesehen ist, so dass der Plasmastrom (13') von einem Elektrodenpaar (2', 3', 4', 7') teilweise mit dem Plasmastrom (13) vom anderen Elektrodenpaar (2, 3, 4, 7) überlappt, wenn die Plasmaströme (13, 13') das Substrat erreichen.Device according to one of claims 14 to 24, wherein a further pair of electrodes ( 2 ' . 3 ' . 4 ' . 7 ' ) in the vicinity of the electrode pair ( 2 . 3 . 4 . 7 ) is provided so that the plasma stream ( 13 ' ) of a pair of electrodes ( 2 ' . 3 ' . 4 ' . 7 ' ) partially with the plasma stream ( 13 ) from the other pair of electrodes ( 2 . 3 . 4 . 7 ) overlaps when the plasma currents ( 13 . 13 ' ) reach the substrate.
DE1999150852 1998-10-22 1999-10-21 Method and apparatus for producing a thin layer of low resistance Expired - Fee Related DE19950852B4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10-301099 1998-10-22
JP10301099A JP2000144389A (en) 1998-09-04 1998-10-22 Production of low-resistance thin film

Publications (2)

Publication Number Publication Date
DE19950852A1 DE19950852A1 (en) 2000-05-11
DE19950852B4 true DE19950852B4 (en) 2005-03-24

Family

ID=17892851

Family Applications (1)

Application Number Title Priority Date Filing Date
DE1999150852 Expired - Fee Related DE19950852B4 (en) 1998-10-22 1999-10-21 Method and apparatus for producing a thin layer of low resistance

Country Status (1)

Country Link
DE (1) DE19950852B4 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4425221C1 (en) * 1994-07-16 1995-08-24 Dresden Vakuumtech Gmbh Plasma-aided coating of substrates in reactive atmos.
JPH083735A (en) * 1994-06-15 1996-01-09 Stanley Electric Co Ltd Production of thin film
DE19546827A1 (en) * 1995-12-15 1997-06-19 Fraunhofer Ges Forschung Plasma process for coating of substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH083735A (en) * 1994-06-15 1996-01-09 Stanley Electric Co Ltd Production of thin film
DE4425221C1 (en) * 1994-07-16 1995-08-24 Dresden Vakuumtech Gmbh Plasma-aided coating of substrates in reactive atmos.
DE19546827A1 (en) * 1995-12-15 1997-06-19 Fraunhofer Ges Forschung Plasma process for coating of substrates

Also Published As

Publication number Publication date
DE19950852A1 (en) 2000-05-11

Similar Documents

Publication Publication Date Title
DE10060002B4 (en) Device for surface treatment
DE4423184C2 (en) Substrate coated with a hard carbon layer and method and device for its production
DE4109619C1 (en)
EP0235770B1 (en) Device for the plasma processing of substrates in a high frequency excited plasma discharge
DE3609681A1 (en) DEVICE AND METHOD FOR PRODUCING THIN FILM
WO1991000374A1 (en) Process and device for coating substrates
DE3009836A1 (en) METHOD AND DEVICE FOR EXTENDING THE USEFUL LIFE OF SPRAYING CATHODES
DE2659392A1 (en) SEMICONDUCTOR COMPONENT WITH PN TRANSITION AND PROCESS FOR ITS MANUFACTURING
DE2430692A1 (en) PROCESS FOR PRODUCING CONNECTING HOLES IN INSULATING LAYERS
DE112008003492T5 (en) Layer-forming method and apparatus for layering transparent, electrically-conductive layers
DE2203080C2 (en) Method for producing a layer on a substrate
EP0089382A1 (en) Plasma-reactor and its use in etching and coating substrates
DE112010002029T5 (en) Film forming method and film forming apparatus
DE19860474A1 (en) Method and device for coating substrates by means of bipolar pulse magnetron sputtering
DE1515300A1 (en) Device for the production of high quality thin layers by cathode sputtering
DE112009003766T5 (en) Sputtering device and sputtering method
DE69835765T2 (en) Plasma process
DE112009001534T5 (en) Sputtering device and sputtering method
EP0938595B1 (en) Process and device for coating substrates by gas flow sputtering
DE2612542C3 (en) Process for the manufacture of an article coated with photoconductive selenium for the electrophotographic production of copies
EP0438627B1 (en) Arc-evaporator with several evaporation crucibles
DE60005137T2 (en) MAGNETIC ARRANGEMENT FOR EFFICIENT USE OF A TARGET IN SPRAYING A TAPERED TARGET
DE19950852B4 (en) Method and apparatus for producing a thin layer of low resistance
EP1029104B1 (en) GAZ JET PVD METHOD FOR PRODUCING A LAYER WITH MoSi2
DE3241391A1 (en) HIGH-FREQUENCY ETCHING TABLE WITH ELECTRICALLY TENSIONED MOUNTING PART

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee