DE112020004109T5 - Methods and systems for semiconductor metrology based on soft X-ray reflectometry with wavelength resolution - Google Patents

Methods and systems for semiconductor metrology based on soft X-ray reflectometry with wavelength resolution Download PDF

Info

Publication number
DE112020004109T5
DE112020004109T5 DE112020004109.3T DE112020004109T DE112020004109T5 DE 112020004109 T5 DE112020004109 T5 DE 112020004109T5 DE 112020004109 T DE112020004109 T DE 112020004109T DE 112020004109 T5 DE112020004109 T5 DE 112020004109T5
Authority
DE
Germany
Prior art keywords
ray
metrology
illumination
detector
measurement signals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112020004109.3T
Other languages
German (de)
Inventor
Alexander Kuznetsov
Chao Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of DE112020004109T5 publication Critical patent/DE112020004109T5/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2206Combination of two or more measurements, at least one measurement being that of secondary emission, e.g. combination of secondary electron [SE] measurement and back-scattered electron [BSE] measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • G01N23/2076Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions for spectrometry, i.e. using an analysing crystal, e.g. for measuring X-ray fluorescence spectrum of a sample with wavelength-dispersion, i.e. WDXFS
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2204Specimen supports therefor; Sample conveying means therefore
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/052Investigating materials by wave or particle radiation by diffraction, scatter or reflection reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • G01N2223/0561Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/20Sources of radiation
    • G01N2223/206Sources of radiation sources operating at different energy levels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/645Specific applications or type of materials quality control

Abstract

Es werden Verfahren und Systeme zur Messung von Struktur- und Materialeigenschaften von Halbleiterstrukturen basierend auf wellenlängenaufgelöster, weicher Röntgenreflektometrie (WR-SXR) bei mehreren Beugungsordnungen vorgestellt. WR-SXR-Messungen sind simultane Hochdurchsatzmessungen über mehrere Beugungsordnungen mit großer spektraler Breite. Die Verfügbarkeit von wellenlängenaufgelösten Signalinformationen bei jeder der mehreren Beugungsordnungen verbessert die Messgenauigkeit und den Durchsatz. Jede von null verschiedene Beugungsordnung enthält mehrere Messpunkte, wobei jeder unterschiedliche Messpunkt einer anderen Wellenlänge zugeordnet ist. In einigen Ausführungsformen werden WR-SXR-Messungen mit Röntgenstrahlungsenergie in einem Bereich von 10-5.000 Elektronenvolt bei streifenden Einfallswinkeln in einem Bereich von 1-45 Grad durchgeführt. In einigen Ausführungsformen wird der Beleuchtungsstrahl so gesteuert, dass er eine relativ hohe Divergenz in einer Richtung und eine relativ geringe Divergenz in einer zweiten Richtung orthogonal zur ersten Richtung aufweist. In einigen Ausführungsformen werden mehrere Detektoren eingesetzt, von denen jeder unterschiedliche Beugungsordnungen erfasst.Methods and systems for measuring structural and material properties of semiconductor structures based on wavelength-resolved soft X-ray reflectometry (WR-SXR) at several diffraction orders are presented. WR-SXR measurements are simultaneous high-throughput measurements over several diffraction orders with a large spectral width. The availability of wavelength-resolved signal information at each of the multiple diffraction orders improves measurement accuracy and throughput. Each non-zero diffraction order contains multiple measurement points, each different measurement point being associated with a different wavelength. In some embodiments, WR-SXR measurements are performed with X-ray energy in a range of 10-5,000 electron volts at grazing angles of incidence in a range of 1-45 degrees. In some embodiments, the illumination beam is steered to have relatively high divergence in one direction and relatively low divergence in a second direction orthogonal to the first direction. In some embodiments, multiple detectors are used, each detecting different diffraction orders.

Description

TECHNISCHES GEBIETTECHNICAL AREA

Die beschriebenen Ausführungsformen beziehen sich auf Röntgenmetrologiesysteme und -verfahren und insbesondere auf Verfahren und Systeme für eine verbesserte Messgenauigkeit.The described embodiments relate to x-ray metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy.

HINTERGRUNDINFORMATIONBACKGROUND INFORMATION

Halbleiterbauelemente wie Logik- und Speicherbauelemente werden typischerweise durch eine Folge von Verarbeitungsschritten hergestellt, die auf eine Probe angewendet werden. Die verschiedenen Merkmale und mehreren strukturellen Ebenen der Halbleiterbauelemente werden durch diese Verarbeitungsschritte gebildet. Lithographie ist beispielsweise ein Halbleiterherstellungsprozess unter anderen, der das Erzeugen eines Musters auf einem Halbleiterwafer beinhaltet. Zusätzliche Beispiele von Halbleiterherstellungsprozessen umfassen chemischmechanisches Polieren, Ätzen, Abscheidung und Ionenimplantation, sind jedoch nicht darauf beschränkt. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.Semiconductor devices such as logic and memory devices are typically fabricated through a series of processing steps applied to a sample. The various features and multiple structural levels of the semiconductor devices are formed through these processing steps. For example, lithography is one semiconductor manufacturing process among others that involves creating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices can be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

Metrologieprozesse werden bei verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Defekte auf Wafern zu erkennen, um eine höhere Ausbeute zu erreichen. Zur Charakterisierung kritischer Abmessungen, Schichtdicken, Zusammensetzung und anderer Parameter von nanoskaligen Strukturen werden gemeinhin eine Anzahl von auf Metrologie basierenden Techniken verwendet, darunter Implementierungen von Scatterometrie und Reflektometrie und zugeordneter Analysealgorithmen.Metrology processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to achieve higher yields. A number of metrology-based techniques, including implementations of scatterometry and reflectometry and associated analysis algorithms, are commonly used to characterize critical dimensions, layer thicknesses, composition and other parameters of nanoscale structures.

Herkömmlicherweise werden Scatterometrie-Messungen der kritischen Dimension an Zielen durchgeführt, die aus dünnen Schichten und/oder sich wiederholenden periodischen Strukturen bestehen. Während der Herstellung der Bauelemente repräsentieren diese Schichten und periodischen Strukturen typischerweise die tatsächliche Bauelementgeometrie und Materialstruktur oder ein Zwischendesign. Da sich Bauelemente (z. B. Logik- und Speicherbauelemente) in Richtung kleinerer Abmessungen im Nanometerbereich bewegen, wird die Charakterisierung schwieriger. Bauelemente mit komplexer dreidimensionaler Geometrie und Materialien mit unterschiedlichen physikalischen Eigenschaften tragen zur Schwierigkeit der Charakterisierung bei.Conventionally, critical dimension scatterometry measurements are performed on targets composed of thin layers and/or repeating periodic structures. During device fabrication, these layers and periodic structures typically represent the actual device geometry and material structure or an intermediate design. As devices (e.g., logic and memory devices) move toward smaller, nanometer-scale dimensions, characterization becomes more difficult. Devices with complex three-dimensional geometry and materials with different physical properties add to the difficulty of characterization.

Genaue Informationen über die Materialzusammensetzung und Form von Nanostrukturen sind in der Prozessentwicklungsumgebung einer hochmodernen Front-End-Halbleiterfabrikationseinrichtung begrenzt. Scatterometrische optische Metrologiesysteme beruhen auf genauen geometrischen und Dispersionsmodellen, um Messfehler zu vermeiden. Bei begrenztem a priori verfügbarem Wissen über die Materialzusammensetzung und Form von Nanostrukturen ist die Entwicklung und Validierung von Messrezepten ein langsamer und mühsamer Prozess. Zum Beispiel werden Querschnitts-Transmissionselektronenmikroskopie-(TEM)-Bilder verwendet, um die Entwicklung von optischen Scatterometriemodellen zu leiten, aber die TEM-Bildgebung ist langsam und destruktiv.Accurate information about the material composition and shape of nanostructures is limited in the process development environment of a state-of-the-art front-end semiconductor fabrication facility. Scatterometric optical metrology systems rely on accurate geometric and dispersion models to avoid measurement errors. With limited a priori knowledge about the material composition and shape of nanostructures, the development and validation of measurement recipes is a slow and tedious process. For example, cross-sectional transmission electron microscopy (TEM) images are used to guide the development of optical scatterometry models, but TEM imaging is slow and destructive.

Scatterometrische optische Metrologieinstrumente, die infrarotes bis sichtbares Licht verwenden, messen Beugungssignale nullter Ordnung von Strukturen im Subwellenlängenbereich. Da die kritischen Abmessungen von Bauelementen weiter schrumpfen, nehmen die Empfindlichkeit und die Leistungsfähigkeit der scatterometrischen optischen Metrologie ab. Wenn absorbierende Materialien in der zu messenden Struktur vorhanden sind, begrenzen Penetration und Streuung von Beleuchtungslicht im optischen Bereich (z. B. 0,5 - 10 eV) die Nützlichkeit herkömmlicher optischer Metrologiesysteme.Scatterometric optical metrology instruments, using infrared to visible light, measure zero-order diffraction signals from sub-wavelength structures. As the critical dimensions of devices continue to shrink, the sensitivity and performance of scatterometric optical metrology decreases. When absorbing materials are present in the structure to be measured, penetration and scattering of illuminating light in the optical range (e.g. 0.5 - 10 eV) limit the usefulness of conventional optical metrology systems.

In ähnlicher Weise haben Metrologiesysteme auf Elektronenstrahlbasis aufgrund von Absorption und Streuung der beleuchtenden, rückgestreuten und Sekundäremissionselektronen Schwierigkeiten, Halbleiterstrukturen zu durchdringen.Similarly, electron beam based metrology systems have difficulty penetrating semiconductor structures due to absorption and scattering of the illuminating, backscattered, and secondary emission electrons.

Rasterkraftmikroskope (AFM) und Rastertunnelmikroskope (STM) sind in der Lage, atomare Auflösung zu erreichen, aber sie können nur die Oberfläche der Probe abtasten. Darüber hinaus erfordern AFM- und STM-Mikroskope lange Scanzeiten, die diese Technologien in einer Großserienfertigung (HVM, high volume manufacturing) unpraktisch machen. Atomic force microscopes (AFM) and scanning tunneling microscopes (STM) are capable of atomic resolution, but they can only scan the surface of the sample. In addition, AFM and STM microscopes require long scan times that make these technologies impractical in high volume manufacturing (HVM).

Transmissions-Kleinwinkel-Röntgen-Scatterometrie-(T-SAXS)-Systeme, die Photonen auf einem harten Röntgenenergieniveau (>15 keV) verwenden, haben sich als vielversprechend für anspruchsvolle Messanwendungen erwiesen. Verschiedene Aspekte der Anwendung der SAXS-Technologie auf die Messung von kritischen Abmessungen (CD-SAXS) und Overlay (OVL-SAXS) sind beschrieben in 1) US-Patent Nr. 7,929,667 von Zhuang und Fielden mit dem Titel „High-brightness X-ray metrology“, 2) US-Patentveröffentlichung Nr. 2014/0019097 von Bakeman, Shchegrov, Zhao und Tan, mit dem Titel „Model Building And Analysis Engine For Combined X-ray And Optical Metrology“, 3) US-Patentveröffentlichung Nr. 2015/0117610 von Veldman, Bakeman, Shchegrov und Mieher, mit dem Titel „Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-ray Metrology“, 4) US-Patentveröffentlichung Nr. 2016/0202193 von Hench, Shchegrov und Bakeman, mit dem Titel „Measurement System Optimization For X-ray Based Metrology“, 5) US-Patentveröffentlichung Nr. 2017/0167862 von Dziura, Gellineau und Shchegrov, mit dem Titel „X-ray Metrology For High Aspect Ratio Structures“ und 6) US-Patentveröffentlichung Nr. 2018/0106735 von Gellineau, Dziura, Hench, Veldman und Zalubovsky mit dem Titel „Full Beam Metrology for X-ray Scatterometry Systems“. Die oben genannten Patentdokumente sind auf die KLA-Tencor Corporation, Milpitas, Kalifornien (USA) übertragen, ihr jeweiliger Inhalt ist hiermit in seiner Gesamtheit durch Bezugnahme aufgenommen.Transmission small-angle X-ray scatterometry (T-SAXS) systems using photons at a hard X-ray energy level (>15 keV) have shown promise for sophisticated mes sapplications proven. Various aspects of the application of SAXS technology to the measurement of critical dimensions (CD-SAXS) and overlay (OVL-SAXS) are described in 1) U.S. Patent No. 7,929,667 by Zhuang and Fielden entitled "High-brightness X-ray metrology", 2) US Patent Publication No. 2014/0019097 by Bakeman, Shchegrov, Zhao and Tan entitled "Model Building And Analysis Engine For Combined X-ray And Optical Metrology”, 3) US Patent Publication No. 2015/0117610 by Veldman, Bakeman, Shchegrov and Mieher entitled “Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-ray Metrology”, 4) US Patent Publication No. 2016/0202193 by Hench, Shchegrov and Bakeman, entitled "Measurement System Optimization For X-ray Based Metrology", 5) US Patent Publication No. 2017/0167862 by Dziura, Gellineau and Shchegrov entitled "X-ray Metrology For High Aspect Ratio Structures" and 6) US Patent Publication No. 2018/0106735 by Gellineau, Dziura, Hench, Veldman and Zalubovsky entitled "Full Beam Metrology for X-ray Scatterometry Systems". The above patent documents are assigned to KLA-Tencor Corporation of Milpitas, California (USA), the contents of each of which are hereby incorporated by reference in their entirety.

SAXS wurde auch bei der Charakterisierung von Materialien und bei anderen nichthalbleiterbezogenen Anwendungen eingesetzt. Beispielhafte Systeme wurden von mehreren Unternehmen kommerzialisiert, darunter Xenocs SAS (www.xenocs.com), Bruker Corporation (www.bruker.com) und Rigaku Corporation (www.rigaku.com/en).SAXS has also been used in materials characterization and other non-semiconductor related applications. Exemplary systems have been commercialized by several companies, including Xenocs SAS (www.xenocs.com), Bruker Corporation (www.bruker.com), and Rigaku Corporation (www.rigaku.com/en).

Die Forschung zur CD-SAXS-Metrologie von Halbleiterstrukturen wird auch in der wissenschaftlichen Literatur beschrieben. Die meisten Forschungsgruppen haben Röntgen-Synchrotronquellen mit hoher Helligkeit verwendet, die aufgrund ihrer immensen Größe, Kosten usw. nicht für den Einsatz in einer Halbleiterfabrik geeignet sind. Ein Beispiel eines solchen Systems ist in dem Artikel mit dem Titel „Intercomparison between optical and X-ray Scatterometry Measurements of FinFET Structures“ von Lemaillet, Germer, Kline et al., Proc. SPIE, v.8681, p. 86810Q (2013) beschrieben. In jüngerer Zeit hat eine Gruppe am National Institute of Standards and Technology (NIST) Forschungen eingeleitet, bei denen kompakte und helle Röntgenquellen ähnlich denen verwendet werden, die im US-Patent Nr. 7,929,667 beschrieben sind. Diese Forschung wird beschrieben in einem Artikel mit dem Titel „X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices“, J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017).Research on CD-SAXS metrology of semiconductor structures is also described in the scientific literature. Most research groups have used high brightness X-ray synchrotron sources, which are not suitable for use in a semiconductor fab because of their immense size, cost, etc. An example of such a system is given in the article entitled "Intercomparison between optical and X-ray Scatterometry Measurements of FinFET Structures" by Lemaillet, Germer, Kline et al., Proc. SPIE, v.8681, p. 86810Q (2013). More recently, a group at the National Institute of Standards and Technology (NIST) has initiated research using compact and bright X-ray sources similar to those used in the U.S. Patent No. 7,929,667 are described. This research is described in an article entitled "X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices", J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017).

Die Streuung von harten Röntgenstrahlen von flachen Strukturen, z. B. Anwendungen in der Logik-Metrologie, ist jedoch schwach, was die erreichbare Messauflösung und den Durchsatz stark einschränkt. Daher hat sich T-SAXS nicht als praktikable Option für Anwendungen in der Logik-Metrologie in einer HVM-Umgebung erwiesen.The scattering of hard X-rays from flat structures, e.g. B. Applications in logic metrology, however, is weak, which severely limits the achievable measurement resolution and throughput. Therefore, T-SAXS has not proven to be a viable option for logic metrology applications in an HVM environment.

T-SAXS-Systeme erreichen aufgrund der Beleuchtung mit nahezu senkrechtem Einfall eine kleine Strahlfläche auf dem Wafer. T-SAXS-Systeme erfordern jedoch hochenergetische Photonen (z. B. > 16 keV) für eine angemessene Transmission durch einen zu messenden Wafer. Typischerweise skaliert die Beugungseffizienz mit der Photonenenergie E mit 1/E2, und die Winkeltrennung der Beugungsordnungen skaliert mit 1/E. Um Ordnungsüberschneidungen für eine 2D-periodische Struktur zu vermeiden, skaliert die Raumwinkel-Akzeptanz mit 1/E2. Diese Skalierungsfaktoren erlegen T-SAXS-Systemen für die Metrologie flacher Strukturen einen starken Nachteil auf.T-SAXS systems achieve a small beam area on the wafer due to the near-normal incidence illumination. However, T-SAXS systems require high energy photons (e.g. >16 keV) for adequate transmission through a wafer to be measured. Typically, the diffraction efficiency scales with photon energy E by 1/E 2 , and the angular separation of the diffraction orders scales by 1/E. To avoid order overlaps for a 2D periodic structure, the solid angle acceptance scales with 1/E 2 . These scaling factors place a severe disadvantage on T-SAXS systems for flat structure metrology.

Außerdem werden bei einer Transmissionsmessung die Beugungsbilder aus allen vorangegangenen Strukturierungsschritten dem Beugungsbild der aktuellen Schichtstruktur überlagert. Da erwartet wird, dass die minimale Teilung (d. h. Periode) kritischer Metallschichten auf Werte konvergiert, die sich nur um 10-20% unterscheiden, ist die Winkelakzeptanz stark eingeschränkt, um Beugungssignale am Detektor zu trennen. Andernfalls müssen die geometrischen Informationen aller vorherigen Schichten an das die aktuelle Schicht charakterisierende Metrologiesystem weitergegeben werden. Normalerweise ist es im Kontext einer komplexen HVM-Umgebung sehr schwierig, die erforderlichen Metrologie- und Prozessinformationen zu erhalten und zu verwalten.In addition, in a transmission measurement, the diffraction images from all previous structuring steps are superimposed on the diffraction image of the current layer structure. Since the minimum pitch (i.e. period) of critical metal layers is expected to converge to values differing by only 10-20%, the angular acceptance is severely limited to separate diffraction signals at the detector. Otherwise, the geometric information of all previous layers must be passed to the metrology system characterizing the current layer. Typically, in the context of a complex HVM environment, it is very difficult to obtain and manage the required metrology and process information.

Herkömmliche GI-SAXS-Systeme arbeiten nahe der kritischen Winkel für die Reflexion (z. B. streifender Winkel von weniger als einem Grad) für Halbleitermaterialien und Photonenenergien über 8 keV, um die gebeugte Intensität zu maximieren. Dies führt zu einer extrem großen Lichtfleckgröße des Beleuchtungsstrahls, der auf den Wafer projiziert wird (z. B. größer als 1 mm). Dies ist so groß, dass selbst Metrologieziele in Ritzlinien unbrauchbar sind. Daher müssen auf dem Wafer extrem große, spezialisierte Metrologieziele erstellt werden, um Gl-SAXS-Messungen durchzuführen. Dieser Verlust an Waferfläche für Funktionen ist kostspielig. Darüber hinaus ist die Oberflächenempfindlichkeit von GI-SAXS-Messungen ausgezeichnet, jedoch die Durchdringung von Strukturen mit hohem Seitenverhältnis aufgrund des Verhaltens evaneszenter Felder sehr begrenzt.Conventional GI-SAXS systems operate near the critical angles for reflection (e.g., grazing angles less than one degree) for semiconductor materials and photon energies above 8 keV to maximize diffracted intensity. This results in an extremely large spot size of the illumination beam projected onto the wafer (e.g., greater than 1mm). This is so large that even metrology targets in scribe lines are useless. Therefore, extremely large, specialized metrology targets must be created on the wafer to perform GI-SAXS measurements. This loss of wafer area for functions is costly. In addition, the surface sensitivity of GI-SAXS measurements is excellent, however, penetration of high aspect ratio structures is very limited due to evanescent field behavior.

US-Patentveröffentlichung Nr. 2017/0357155A1 von Quintanilha et al. mit dem Titel „Metrology Methods, Metrology Apparatus, and Device Manufacturing Method“ beschreibt ein System, das einen Detektor vom Spektrometertyp verwendet, um ein wellenlängenaufgelöstes Signal in der 0-ten Ordnung zu erzeugen. Dieser Ansatz erfordert die Verwendung eines Beugungselements (z. B. eines Beugungsgitters) im Sammelpfad zwischen dem Wafer und den lichtempfindlichen Detektionselementen, um Signale unterschiedlicher Wellenlängen zu trennen. Dies begrenzt die Arten von Detektoren, die verwendet werden können, um die Spektralmessungen durchzuführen.US Patent Publication No. 2017/0357155A1 by Quintanilha et al. entitled "Metrology Methods, Metrology Apparatus, and Device Manufacturing Method" describes a system that uses a spectrometer-type detector to produce a wavelength-resolved signal in the 0th order. This approach requires the use of a diffraction element (e.g., a diffraction grating) in the collection path between the wafer and the light sensitive detection elements to separate signals of different wavelengths. This limits the types of detectors that can be used to make the spectral measurements.

Zusammenfassend besteht ein Bedarf an einem Abmessungs-Metrologiesystem mit Messfähigkeit sowohl für Strukturen mit niedrigem als auch mit hohem Seitenverhältnis und einer Beleuchtungsstrahlfleckgröße, die mit Ritzlinienzielen kompatibel ist. In einem Beispiel besteht ein Bedarf an einem Metrologiesystem, um Form- und Kantenplatzierungsparameter von Strukturen mit hohem Seitenverhältnis (HAR) bei HVM-Durchsätzen zu schätzen. Darüber hinaus sollte es möglich sein, Messrezepte für das Metrologiesystem zu entwickeln und zu validieren und das Metrologiesystem in einer Großserienfertigungs-(HVM)-Umgebung zu betreiben, ohne wesentliche a priori Information zu Abmessungen und Materialzusammensetzung.In summary, there is a need for a dimensional metrology system with metrology capability for both low and high aspect ratio structures and an illumination beam spot size that is compatible with scribe line targets. In one example, there is a need for a metrology system to estimate shape and edge placement parameters of high aspect ratio (HAR) structures at HVM throughputs. Furthermore, it should be possible to develop and validate measurement recipes for the metrology system and to operate the metrology system in a high volume manufacturing (HVM) environment without substantial a priori information on dimensions and material composition.

ÜBERSICHTOVERVIEW

Hierin werden Verfahren und Systeme zum Messen von Struktur- und Materialeigenschaften von Halbleiterstrukturen basierend auf wellenlängenaufgelöster weicher Röntgenreflektometrie (WR-SXR) bei mehreren Beugungsordnungen vorgestellt. Die hierin beschriebenen Verfahren und Systeme stellen gleichzeitige Hochdurchsatzmessungen über mehrere Beugungsordnungen mit großer spektraler Breite bereit. Die Verfügbarkeit von wellenlängenaufgelösten Signalinformationen bei jeder der mehreren Beugungsordnungen verbessert die Messgenauigkeit und den Durchsatz.Methods and systems for measuring structural and material properties of semiconductor structures based on wavelength-resolved soft X-ray reflectometry (WR-SXR) at several diffraction orders are presented here. The methods and systems described herein provide simultaneous high-throughput measurements across multiple diffraction orders with large spectral width. The availability of wavelength-resolved signal information at each of the multiple diffraction orders improves measurement accuracy and throughput.

Im Allgemeinen werden WR-SXR-Messungen eines Halbleiterwafers über einen Bereich von Wellenlängen, Einfallswinkeln und Azimutwinkeln mit einer kleinen Strahlfleckgröße durchgeführt. In einem Aspekt werden die WR-SXR-Messungen mit Röntgenstrahlung im weichen Röntgenbereich (SXR) (d. h. 10-5.000 eV) bei streifenden Einfallswinkeln im Bereich von 1-45 Grad durchgeführt.In general, WR-SXR measurements of a semiconductor wafer are made over a range of wavelengths, angles of incidence, and azimuth angles with a small beam spot size. In one aspect, the WR-SXR measurements are performed using soft X-ray (SXR) X-rays (i.e., 10-5,000 eV) at grazing incidence angles in the 1-45 degree range.

Im Allgemeinen wird der reflektierte Strahl nullter Ordnung eine Divergenz aufweisen, die der Divergenz des einfallenden Beleuchtungsstrahls ähnlich ist. Strahlung, die in Beugungsordnungen ungleich null gestreut wird, weist eine höhere Divergenz auf als der einfallende Beleuchtungsstrahl, da der einfallende Beleuchtungsstrahl mehrere Wellenlängen enthält, die jeweils in einem geringfügig unterschiedlichen Winkel innerhalb jeder der Beugungsordnungen ungleich null gebeugt werden. Der Reflexionswinkel für Beugungsordnungen ungleich null hängt von der Wellenlänge des einfallenden Beleuchtungsstrahls ab. Für einen einfallenden Beleuchtungsstrahl mit mehreren diskreten Beleuchtungswellenlängen umfasst das Streulicht mehrere Reflexionswinkel innerhalb jeder von null verschiedenen Beugungsordnung. In ähnlicher Weise enthält das Streulicht für einen einfallenden Beleuchtungsstrahl mit einem kontinuierlichen Breitbandspektrum eine kontinuierliche räumliche Spreizung des reflektierten Lichts beim Auftreffen auf den Detektor innerhalb jeder von null verschiedenen Beugungsordnung. Daher enthält jede von null verschiedene Beugungsordnung mehrere Messpunkte, wobei jeder unterschiedliche Messpunkt einer anderen Wellenlänge zugeordnet ist. Somit enthält jede von null verschiedene Beugungsordnung ein wellenlängenaufgelöstes Spektrum. Auf diese Weise liefert ein WR-SXR-Metrologiesystem wellenlängenaufgelöste Signalinformationen, ohne ein beugendes optisches Element im optischen Pfad zwischen dem Messobjekt und dem Detektor zu verwenden.In general, the reflected zero-order beam will have a divergence similar to the divergence of the incident illuminating beam. Radiation scattered in non-zero diffraction orders will have a higher divergence than the incident illuminating beam because the incident illuminating beam contains multiple wavelengths, each diffracted at a slightly different angle within each of the non-zero diffractive orders. The angle of reflection for non-zero diffraction orders depends on the wavelength of the incident illuminating beam. For an incident illumination beam with multiple discrete illumination wavelengths, the scattered light includes multiple angles of reflection within each non-zero diffraction order. Similarly, for an incident illuminating beam with a continuous broadband spectrum, the scattered light contains a continuous spatial spreading of the reflected light as it strikes the detector within each non-zero diffraction order. Therefore, each non-zero diffraction order contains multiple measurement points, each different measurement point being associated with a different wavelength. Thus, each non-zero diffraction order contains a wavelength-resolved spectrum. In this way, a WR-SXR metrology system provides wavelength-resolved signal information without using a diffractive optical element in the optical path between the DUT and the detector.

Ein WR-SXR-System wird verwendet, um Eigenschaften einer Probe (z. B. Strukturparameterwerte) basierend auf wellenlängenaufgelösten Signalinformationen bei einer oder mehreren von null verschiedenen Beugungsordnungen von Streulicht zu bestimmen.A WR-SXR system is used to determine properties of a sample (eg, structural parameter values) based on wavelength-resolved signal information at one or more non-zero diffraction orders of scattered light.

In einem Aspekt wird der Abstand zwischen dem Detektor und dem zu messenden Wafer aktiv eingestellt, um die Auflösung der am Detektor gesammelten Spektralinformationen zu ändern.In one aspect, the distance between the detector and the wafer to be measured is actively adjusted to change the resolution of the spectral information collected at the detector.

In einem anderen weiteren Aspekt sammelt eine Fokussieroptik Quellenemission und wählt eine oder mehrere diskrete Wellenlängen oder Spektralbänder aus und fokussiert das ausgewählte Licht auf eine Probe bei streifenden Einfallswinkeln im Bereich von 1 bis 45 Grad.In another further aspect, focusing optics collect source emission and select one or more discrete wavelengths or spectral bands and focus the selected light onto a sample at grazing angles of incidence ranging from 1 to 45 degrees.

In einem anderen weiteren Aspekt werden die Wellenlängenbereiche, AOI, Azimut oder eine beliebige Kombination davon, die auf denselben Messbereich projiziert werden, durch aktives Positionieren eines oder mehrerer Spiegelelemente der Fokussieroptik eingestellt.In another further aspect, the wavelength ranges, AOI, azimuth or any combination thereof projected onto the same measurement area are adjusted by actively positioning one or more mirror elements of the focusing optics.

In einem weiteren Aspekt umfasst ein WR-SXR-Metrologiesystem einen oder mehrere Strahlschlitze oder -öffnungen, um den auf eine Probe einfallenden Beleuchtungsstrahl zu formen und einen Teil des Beleuchtungslichts selektiv zu blockieren, der ansonsten ein einer Messung unterzogenes Metrologieziel beleuchten würde. Ein oder mehrere Strahlschlitze definieren die Strahlgröße und Strahlform derart, dass der Röntgenstrahl-Beleuchtungsfleck in die Fläche des zu messenden Metrologieziels passt. Außerdem definieren ein oder mehrere Strahlschlitze die Divergenz des Beleuchtungsstrahls, um die Überlappung von Beugungsordnungen auf dem Detektor zu begrenzen.In another aspect, a WR-SXR metrology system includes one or more beam slots or apertures to shape the illumination beam incident on a sample and selectively block a portion of the illumination light that would otherwise illuminate a metrology target under measurement. One or more beam slots define the beam size and beam shape such that the X-ray illumination spot fits within the area of the metrology target to be measured. In addition, one or more beam slits define the divergence of the illumination beam to limit the overlap of diffraction orders on the detector.

In einem anderen weiteren Aspekt verwendet ein WR-SXR-Metrologiesystem einen oder mehrere Mechanismen zum Steuern der Beleuchtungsstrahlenergie. Im Allgemeinen werden Beleuchtungsstrahlenergien ausgewählt, um eine ausreichende Röntgenpenetration in die jeweilige zu messende Probe sicherzustellen.In another further aspect, a WR-SXR metrology system uses one or more mechanisms to control illumination beam energy. In general, illumination beam energies are selected to ensure sufficient x-ray penetration into the particular sample being measured.

In einem anderen weiteren Aspekt wird der einfallende Beleuchtungsstrahl eines WR-SXR-Metrologiesystems so gesteuert, dass er eine relativ hohe Divergenz in einer Richtung quer zum einfallenden Beleuchtungsstrahl und eine relativ geringe Divergenz in einer zweiten Richtung quer zum einfallenden Beleuchtungsstrahl orthogonal zur ersten Richtung aufweist. Auf diese Weise ist die räumliche Spreizung über die aktive Oberfläche des Detektors in der Richtung hoher Divergenz orthogonal zu der räumlichen Spreizung über die aktive Oberfläche des Detektors in Richtung geringer Divergenz. Die räumliche Spreizung über die aktive Fläche des Detektors in der Richtung hoher Divergenz wird aufgrund der relativ hohen Divergenz vom Einfallswinkel dominiert. Hingegen wird die räumliche Spreizung über die aktive Oberfläche des Detektors in der Richtung geringer Divergenz von der Wellenlängendispersion innerhalb jeder Beugungsordnung dominiert, wie oben beschrieben. Auf diese Weise enthält jede von null verschiedene Beugungsordnung Signalinformationen über die zu messende Struktur aufgrund des spektralen Reflexionsvermögens (in der Richtung geringer Divergenz) und des Winkelreflexionsvermögens (in der Richtung hoher Divergenz).In another further aspect, the incident illumination beam of a WR-SXR metrology system is controlled to have relatively high divergence in a direction transverse to the incident illumination beam and relatively low divergence in a second direction transverse to the incident illumination beam orthogonal to the first direction. In this way, the spatial spread across the active surface of the detector in the high divergence direction is orthogonal to the spatial spread across the active surface of the detector in the low divergence direction. The spatial spread over the active area of the detector in the direction of high divergence is dominated by the angle of incidence due to the relatively high divergence. In contrast, the spatial spread across the active surface of the detector in the direction of low divergence is dominated by the wavelength dispersion within each diffraction order, as described above. In this way, each non-zero diffraction order contains signal information about the structure to be measured due to the spectral reflectance (in the direction of low divergence) and the angular reflectance (in the direction of high divergence).

In einem anderen weiteren Aspekt wird die Messleistung verbessert, indem man ein oder mehrere flüssige Materialien oder ein oder mehrere gasförmige Materialien auf das zu messende Ziel strömen lässt, um den Kontrast zwischen den gemessenen Materialien zu erhöhen.In another further aspect, measurement performance is improved by flowing one or more liquid materials or one or more gaseous materials onto the target to be measured to increase the contrast between the measured materials.

In einem anderen weiteren Aspekt verwendet ein WR-SXR-Metrologiesystem mehrere Detektoren. In einigen Ausführungsformen werden eine oder mehrere Beugungsordnungen von einem ersten Detektor gesammelt und andere Beugungsordnungen werden von einem anderen Detektor gesammelt.In another further aspect, a WR-SXR metrology system uses multiple detectors. In some embodiments, one or more diffraction orders are collected by a first detector and other diffraction orders are collected by another detector.

In einem anderen weiteren Aspekt wird die Position eines Detektors eines WR-SXR-Metrologiesystems aktiv gesteuert, um von dem gemessenen Ziel reflektierte Strahlung zu erfassen.In another further aspect, the position of a detector of a WR-SXR metrology system is actively controlled to detect radiation reflected from the measured target.

Das Vorstehende ist eine Übersicht und enthält daher notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Einzelheiten; folglich wird der Fachmann erkennen, dass die Übersicht nur veranschaulichend und in keiner Weise einschränkend ist. Andere Aspekte, erfinderische Merkmale und Vorteile der hierin beschriebenen Vorrichtungen und/oder Prozesse werden in der hierin dargelegten nicht einschränkenden detaillierten Beschreibung offensichtlich.The foregoing is an overview and therefore necessarily contains simplifications, generalizations and omissions of detail; consequently, those skilled in the art will recognize that the overview is illustrative only and is not in any way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description provided herein.

Figurenlistecharacter list

  • 1 zeigt eine Darstellung einer Metrologievorrichtung, die auf der wellenlängenaufgelösten Reflektometrie mit weicher Röntgenstrahlung (WR-SXR) basiert, zur Messung von Eigenschaften einer Probe, in einer Ausführungsform. 1 FIG. 12 shows an illustration of a metrology device based on wavelength-resolved soft X-ray reflectometry (WR-SXR) for measuring properties of a sample, in one embodiment.
  • 2 zeigt eine Darstellung von Strahlung, die von einem periodischen Ziel gestreut und von einem Detektor eines WR-SXR-Metrologiesystems erfasst wird, in einer Ausführungsform. 2 12 shows a representation of radiation scattered from a periodic target and detected by a detector of a WR-SXR metrology system in one embodiment.
  • 3 zeigt eine Darstellung von Strahlung, die von einem periodischen Ziel gestreut wird und von einem Detektor eines WR-SXR-Metrologiesystems erfasst wird, in einer anderen Ausführungsform. 3 FIG. 12 shows an illustration of radiation scattered from a periodic target and detected by a detector of a WR-SXR metrology system in another embodiment.
  • 4 zeigt eine Darstellung eines Beleuchtungsstrahls, der auf einen Wafer mit einer bestimmten Ausrichtung einfällt, die durch einen Einfallswinkel θ und einen Azimutwinkel Φ beschrieben wird. 4 FIG. 12 shows a representation of an illumination beam incident on a wafer with a particular orientation described by an incidence angle θ and an azimuth angle Φ.
  • 5 zeigt eine Darstellung eines Teils der Streustrahlung, die auf die aktive Oberfläche des in 3 dargestellten Detektors einfällt. 5 shows a representation of a portion of the scattered radiation incident on the active surface of the in 3 shown detector.
  • 6 zeigt eine Darstellung eines Teils der Streustrahlung, die auf die aktive Oberfläche eines Detektors einfällt, in einem anderen Beispiel. 6 Figure 12 shows a plot of part of the scattered radiation incident on the active surface of a detector in another example.
  • 7 zeigt eine Darstellung eines WR-SXR-Metrologiesystems zum Messen von Eigenschaften einer Probe in einer anderen Ausführungsform. 7 Figure 12 shows an illustration of a WR-SXR metrology system for measuring properties of a sample in another embodiment.
  • 8 zeigt eine Darstellung eines Beleuchtungsstrahls eines WR-SXR-Metrologiesystems, der in einer Ausführungsform durch einen Strahlenergiefilter hindurchgeht. 8th FIG. 12 is an illustration of an illumination beam of a WR-SXR metrology system passing through a beam energy filter in one embodiment.
  • 9 zeigt ein Diagramm, das die Transmissionskurve eines Strahlenergiefilters darstellt, der aus Bor- und Zinnschichten von ungefähr 0,2 Mikrometer Dicke hergestellt ist, die auf einem Kapton-Substrat abgeschieden sind. 9 FIG. 12 is a graph showing the transmission curve of a beam energy filter made from layers of boron and tin about 0.2 microns thick deposited on a Kapton substrate.
  • 10 zeigt eine Darstellung eines WR-SXR-Metrologiesystems zum Messen von Eigenschaften einer Probe in einer anderen Ausführungsform. 10 Figure 12 shows an illustration of a WR-SXR metrology system for measuring properties of a sample in another embodiment.
  • 11 zeigt eine Darstellung von Streustrahlung, die auf die aktive Oberfläche des in 10 dargestellten Detektors einfällt, für Beleuchtungslicht mit einer einzigen Wellenlänge. 11 shows a representation of scattered radiation incident on the active surface of the in 10 detector shown, for illuminating light with a single wavelength.
  • 12 zeigt eine Darstellung von Streustrahlung 118, die auf die aktive Oberfläche des in 10 dargestellten Detektors einfällt, für breitbandiges Beleuchtungslicht. 12 shows a representation of scattered radiation 118 incident on the active surface of the in 10 shown detector incident, for broadband illumination light.
  • 13 zeigt ein Diagramm, das das Reflexionsvermögen eines Nb2O5-Spiegels als Funktion der Beleuchtungsstrahlenergie veranschaulicht. 13 FIG. 12 shows a graph illustrating the reflectivity of an Nb 2 O 5 mirror as a function of illumination beam energy.
  • 14 zeigt eine Darstellung eines WR-SXR-Metrologiesystems zum Messen von Eigenschaften einer Probe, in einer anderen Ausführungsform. 14 Figure 12 shows an illustration of a WR-SXR metrology system for measuring properties of a sample, in another embodiment.
  • 15 ist ein Diagramm, das eine beispielhafte Modellbildungs- und Analysemaschine veranschaulicht, die von einem Computersystem eines WR-SXR-Metrologiesystems implementiert wird. 15 Figure 12 is a diagram illustrating an example modeling and analysis engine implemented by a computer system of a WR-SXR metrology system.
  • 16A ist ein Diagramm, das ein periodisches Metrologieziel in einem Beispiel veranschaulicht. 16A 12 is a diagram illustrating a periodic metrology target in an example.
  • 16B ist ein Diagramm, das ein dezimiertes Metrologieziel in einem Beispiel veranschaulicht. 16B Figure 12 is a diagram illustrating a decimated metrology target in an example.
  • 17 ist ein Flussdiagramm, das ein Verfahren zum Durchführen von Messungen mit wellenlängenaufgelöster weicher Röntgenreflektometrie (WR-SXR) an einer Probe in einem Beispiel veranschaulicht. 17 12 is a flow chart illustrating a method for performing wavelength-resolved soft X-ray reflectometry (WR-SXR) measurements on a sample in one example.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Nun wird ausführlich auf Hintergrundbeispiele und einige Ausführungsformen der Erfindung Bezug genommen, von denen Beispiele in den beigefügten Zeichnungen veranschaulicht sind.Reference will now be made in detail to examples of background and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

Verfahren und Systeme zur Messung von Struktur- und Materialeigenschaften (z. B. Materialzusammensetzung, Abmessungseigenschaften von Strukturen und Schichten usw.) von Halbleiterstrukturen, die mit verschiedenen Halbleiterherstellungsprozessen verbunden sind, auf der Grundlage von Röntgenbeleuchtung werden vorgestellt. Insbesondere werden hier Verfahren und Systeme zum Durchführen von Messungen von Halbleiterstrukturen basierend auf wellenlängenaufgelöster weicher Röntgenreflektometrie (WR-SXR) bei mehreren Beugungsordnungen vorgestellt. Die hierin beschriebenen Verfahren und Systeme stellen gleichzeitige Hochdurchsatzmessungen über mehrere Beugungsordnungen mit großer spektraler Breite bereit. Die Verfügbarkeit von wellenlängenaufgelösten Signalinformationen bei jeder der mehreren Beugungsordnungen verbessert die Messgenauigkeit und den Durchsatz.Methods and systems for measuring structural and material properties (e.g. material composition, dimensional properties of structures and layers, etc.) of semiconductor structures associated with various semiconductor manufacturing processes based on X-ray illumination are presented. In particular, methods and systems for performing measurements of semiconductor structures based on wavelength-resolved soft X-ray reflectometry (WR-SXR) at multiple diffraction orders are presented here. The methods and systems described herein provide simultaneous high-throughput measurements across multiple diffraction orders with large spectral width. The availability of wavelength-resolved signal information at each of the multiple diffraction orders improves measurement accuracy and throughput.

Im Allgemeinen werden WR-SXR-Messungen eines Halbleiterwafers über einen Bereich von Wellenlängen, Einfallswinkeln und Azimutwinkeln mit einer kleinen Strahlfleckgröße (z. B. weniger als 50 Mikrometer Durchmesser des effektiven Beleuchtungsflecks) durchgeführt. In einem Aspekt werden die WR-SXR-Messungen mit Röntgenstrahlung im weichen Röntgenbereich (SXR) (d. h. 10-5.000 eV) bei streifenden Einfallswinkeln im Bereich von 1-45 Grad durchgeführt. Streifende Winkel für eine bestimmte Messanwendung werden ausgewählt, um ein gewünschtes Eindringen in die zu messende Struktur zu erreichen und den Messinformationsgehalt mit einer kleinen Strahlfleckgröße (z. B. weniger als 50 Mikrometer) zu maximieren.Generally, WR-SXR measurements of a semiconductor wafer are made over a range of wavelengths, angles of incidence, and azimuth angles with a small beam spot size (e.g., less than 50 microns effective illumination spot diameter). In one aspect, the WR-SXR measurements are performed using soft X-ray (SXR) X-rays (i.e., 10-5,000 eV) at grazing incidence angles in the 1-45 degree range. Grazing angles for a particular measurement application are selected to achieve a desired penetration into the structure to be measured and to maximize measurement information content with a small beam spot size (e.g., less than 50 microns).

1 veranschaulicht eine Ausführungsform eines WR-SXR-Metrologiewerkzeugs 100 zum Messen von Eigenschaften einer Probe in mindestens einem neuartigen Aspekt. Wie in 1 gezeigt, kann das System 100 verwendet werden, um WR-SXR-Messungen über einen Messbereich durchzuführen, der ein periodisches Ziel 102 beinhaltet, das auf einer Probe 101 angeordnet ist. 1 FIG. 11 illustrates an embodiment of a WR-SXR metrology tool 100 for measuring properties of a sample in at least one novel aspect. As in 1 shown, the sys tem 100 can be used to perform WR-SXR measurements over a measurement range that includes a periodic target 102 placed on a sample 101.

Die Beleuchtungsquelle 110 erzeugt Beleuchtungsstrahlung bei mehreren Wellenlängen. In der in 1 gezeigten Ausführungsform erzeugt die Beleuchtungsquelle 110 eine Breitbandbeleuchtung, die unter einem streifenden Winkel G auf ein periodisches Ziel 102 (z. B. ein periodisches Gitter) gerichtet ist, das auf der Probe 101 angeordnet ist. In der in 1 gezeigten Ausführungsform ist das periodische Ziel 102 in einer Richtung (d. h. der horizontalen Richtung über die Zeichnung) periodisch. Das periodische Ziel erstreckt sich gleichmäßig ohne Periodizität in der orthogonalen Richtung (d. h. der Richtung senkrecht zum Zeichenblatt). 1 zeigt als nicht einschränkendes Beispiel ein periodisches Ziel mit Periodizität in einer Richtung. Im Allgemeinen sind die hier beschriebenen Metrologieverfahren und -systeme auf die Messung von periodischen Zielen mit Periodizität in zwei Richtungen anwendbar (z. B. zwei orthogonale Richtungen).The illumination source 110 generates illumination radiation at multiple wavelengths. in the in 1 In the embodiment shown, illumination source 110 produces broadband illumination directed at a grazing angle G onto a periodic target 102 (e.g., a periodic grating) disposed on sample 101 . in the in 1 In the embodiment shown, the periodic target 102 is periodic in one direction (ie, the horizontal direction across the drawing). The periodic target extends uniformly with no periodicity in the orthogonal direction (ie, the direction perpendicular to the drawing sheet). 1 Figure 12 shows, by way of non-limiting example, a periodic target with periodicity in one direction. In general, the metrology methods and systems described herein are applicable to the measurement of periodic targets with periodicity in two directions (e.g., two orthogonal directions).

In der in 1 gezeigten Ausführungsform ist die Beleuchtungsquelle 110 eine Laserbeleuchtungsquelle mit Erzeugung hoher Harmonischer (HHG, High Harmonic Generation) mit einer kleinen Beleuchtungsquellenfläche (weniger als 50 Mikrometer). In einem Beispiel erzeugt die HHG-Laserbeleuchtungsquelle 110 einen Beleuchtungsstrahl 114 mit geringer Strahldivergenz (z. B. weniger als 1 Milliradiant) in zwei orthogonalen Richtungen quer zum Strahl. Der Beleuchtungsstrahl 114 wird auf das periodische Ziel 102 projiziert, und als Reaktion darauf wird die Strahlung 118 vom periodischen Ziel 102 gestreut und vom Detektor 119 erfasst.in the in 1 In the embodiment shown, illumination source 110 is a High Harmonic Generation (HHG) laser illumination source with a small illumination source area (less than 50 microns). In one example, the HHG laser illumination source 110 produces an illumination beam 114 with low beam divergence (e.g., less than 1 milliradian) in two orthogonal directions across the beam. Illumination beam 114 is projected onto periodic target 102 and in response radiation 118 is scattered by periodic target 102 and detected by detector 119 .

2 zeigt Strahlung 118, die von dem periodischen Ziel 102 gestreut und durch den Detektor 119 erfasst wird, detaillierter. Wie in 2 gezeigt, umfasst das Wellenlängenspektrum 152 des Beleuchtungsstrahls 114 eine Anzahl diskreter Wellenlängen. Die Streustrahlung 118 umfasst Strahlung, die vom periodischen Ziel 102 in verschiedene Beugungsordnungen gestreut wird. Der reflektierte Strahl 118A ist die Reflexion nullter Ordnung vom periodischen Ziel 102. Die gebeugte Strahlung 118B entspricht der Beugungsordnung +1. Die gebeugte Strahlung 118C entspricht der Beugungsordnung -1. Die gebeugte Strahlung 118D entspricht der Beugungsordnung +2. Die gebeugte Strahlung 118E entspricht der Beugungsordnung -2. Die gebeugte Strahlung 118F (nicht gezeigt) entspricht der Beugungsordnung +3. Die gebeugte Strahlung 118G (nicht gezeigt) entspricht der Beugungsordnung -3. Die gebeugte Strahlung 118H (nicht gezeigt) entspricht der Beugungsordnung +4. Die gebeugte Strahlung 1181 (nicht gezeigt) entspricht der Beugungsordnung -4. Wie in 2 gezeigt, wird jede diskrete Wellenlänge, die in dem Beleuchtungsstrahl vorhanden ist, von dem periodischen Ziel 102 unter einem anderen Winkel innerhalb jeder der Beugungsordnungen ungleich null gebeugt. 2 Figure 12 shows radiation 118 scattered by periodic target 102 and detected by detector 119 in more detail. As in 2 As shown, the wavelength spectrum 152 of the illumination beam 114 includes a number of discrete wavelengths. Stray radiation 118 includes radiation scattered by periodic target 102 into different diffraction orders. The reflected ray 118A is the zeroth order reflection from the periodic target 102. The diffracted radiation 118B corresponds to the +1 diffraction order. The diffracted radiation 118C corresponds to the diffraction order -1. The diffracted radiation 118D corresponds to the diffraction order +2. The diffracted radiation 118E corresponds to the diffraction order -2. The diffracted radiation 118F (not shown) corresponds to the +3 diffraction order. The diffracted radiation 118G (not shown) corresponds to the diffraction order -3. The diffracted radiation 118H (not shown) corresponds to the +4 diffraction order. The diffracted radiation 1181 (not shown) corresponds to the diffraction order -4. As in 2 As shown, each discrete wavelength present in the illumination beam is diffracted by the periodic target 102 at a different angle within each of the non-zero diffraction orders.

Im Allgemeinen wird der Strahl nullter Ordnung 118A eine Divergenz ähnlich der Divergenz des einfallenden Beleuchtungsstrahls 114 aufweisen. Die Anteile der Streustrahlung 118, die in Beugungsordnungen ungleich null gestreut werden, weisen eine höhere Divergenz auf als der einfallende Beleuchtungsstrahl 114, da der einfallende Beleuchtungsstrahl 114 mehrere Wellenlängen umfasst, von denen jede in einem leicht unterschiedlichen Winkel innerhalb jeder der Beugungsordnungen ungleich null gebeugt wird. Im Allgemeinen sind die reflektierten Winkel durch die Beugungsgittergleichung (1) gegeben; a* λ= d* [ sin ( Θ 0 ) + sin ( Θ a ) ]

Figure DE112020004109T5_0001
wobei λ die Wellenlänge des einfallenden Beleuchtungsstrahls ist, d die Gitterperiode, a die Beugungsordnung, Θ0 der Einfallswinkel und Θa der Winkel des gebeugten Lichts. Der nominale Einfallswinkel (dargestellt als Winkel G in 1) ist jeder geeignete streifende Einfallswinkel (d. h. Winkel zwischen der Waferebene und dem einfallenden Beleuchtungsstrahl) zwischen 1 Grad und 45 Grad.In general, the zero order beam 118A will have a divergence similar to the divergence of the incident illumination beam 114 . The portions of the stray radiation 118 that scatter into non-zero diffraction orders have a higher divergence than the incident illumination beam 114 because the incident illumination beam 114 comprises multiple wavelengths, each diffracted at a slightly different angle within each of the non-zero diffraction orders . In general, the reflected angles are given by the grating equation (1); a* λ= d* [ sin ( θ 0 ) + sin ( θ a ) ]
Figure DE112020004109T5_0001
where λ is the wavelength of the incident illuminating beam, d is the grating period, a is the diffraction order, Θ 0 is the angle of incidence and Θ a is the angle of the diffracted light. The nominal angle of incidence (represented as angle G in 1 ) any suitable grazing incidence angle (ie, angle between the wafer plane and the incident illumination beam) is between 1 degree and 45 degrees.

Wie durch Gleichung (1) veranschaulicht, ist der Reflexionswinkel, der der 0-ten Beugungsordnung (a = 0) zugeordnet ist, unabhängig von der Wellenlänge gleich dem Einfallswinkel. Der Reflexionswinkel für Beugungsordnungen ungleich null (a≠0) hängt jedoch von der Wellenlänge des einfallenden Beleuchtungsstrahls ab. Für einen einfallenden Beleuchtungsstrahl mit mehreren diskreten Beleuchtungswellenlängen umfasst das Streulicht mehrere Reflexionswinkel innerhalb jeder von null verschiedenen Beugungsordnung. In ähnlicher Weise enthält das Streulicht für einen einfallenden Beleuchtungsstrahl mit einem kontinuierlichen Breitbandspektrum eine kontinuierliche räumliche Spreizung des reflektierten Lichts beim Auftreffen auf den Detektor 119 innerhalb jeder von null verschiedenen Beugungsordnung.As illustrated by Equation (1), the angle of reflection associated with the 0th diffraction order (a=0) is equal to the angle of incidence, regardless of wavelength. However, the angle of reflection for non-zero diffraction orders (a≠0) depends on the wavelength of the incident illuminating beam. For an incident illumination beam with multiple discrete illumination wavelengths, the scattered light includes multiple angles of reflection within each non-zero diffraction order. Similarly, for an incident illuminating beam with a continuous broadband spectrum, the scattered light contains a continuous spatial spread of the reflected light upon striking the detector 119 within each non-zero diffraction order.

Wie in 2 gezeigt, umfasst das Wellenlängenspektrum 152 des Beleuchtungsstrahls 114 vier diskrete Wellenlängen, und jede von null verschiedene Beugungsordnung umfasst jede der vier diskreten Wellenlängen, die an verschiedenen Stellen auf den Detektor 119 einfallen. Auf diese Weise löst der Detektor 119 von dem periodischen Ziel 102 gestreute Strahlung bei jeder diskreten Wellenlänge innerhalb jeder von null verschiedenen Beugungsordnung deutlich auf. Messungen, die mit mehreren diskreten Beleuchtungswellenlängen durchgeführt werden, können bei bestimmten Messanwendungen bevorzugt werden, z. B. beim Messen von 3D-Gittern.As in 2 As shown, the wavelength spectrum 152 of the illumination beam 114 comprises four discrete wavelengths, and each non-zero diffraction order comprises each of the four discrete wavelengths lengths incident on the detector 119 at various locations. In this way, the detector 119 clearly resolves radiation scattered from the periodic target 102 at every discrete wavelength within every non-zero diffraction order. Measurements performed with multiple discrete illumination wavelengths may be preferred in certain measurement applications, e.g. B. when measuring 3D grids.

Wie in 3 gezeigt, umfasst das Wellenlängenspektrum 155 des Beleuchtungsstrahls 114 ein kontinuierliches Breitbandspektrum, und das Streulicht innerhalb jeder Beugungsordnung ungleich null umfasst eine kontinuierliche räumliche Spreizung des reflektierten Lichts am Detektor 119 innerhalb jeder Beugungsordnung ungleich null. Jede unterschiedliche Wellenlänge des reflektierten Lichts fällt auf den Detektor 119 an unterschiedlichen Stellen innerhalb jeder von null verschiedenen Beugungsordnung ein. Auf diese Weise löst der Detektor 119 von dem periodischen Ziel 102 gestreute Strahlung bei jeder unterschiedlichen Wellenlänge innerhalb jeder von null verschiedenen Beugungsordnung deutlich auf.As in 3 As shown, the wavelength spectrum 155 of illumination beam 114 comprises a continuous broadband spectrum, and the scattered light within each non-zero diffraction order comprises continuous spatial spreading of the reflected light at detector 119 within each non-zero diffraction order. Each different wavelength of reflected light is incident on detector 119 at different locations within each non-zero diffraction order. In this way, the detector 119 clearly resolves radiation scattered from the periodic target 102 at each different wavelength within each non-zero diffraction order.

Wie in den 2 und 3 gezeigt, enthält jede Beugungsordnung ungleich null unterschiedliche reflektierte Wellenlängen, die an unterschiedlichen Orten auf der lichtempfindlichen Oberfläche des Detektors 119 landen. Somit enthält jede von null verschiedene Beugungsordnung mehrere Messpunkte, wobei jeder unterschiedliche Messpunkt einer anderen Wellenlänge zugeordnet ist. Somit enthält jede von null verschiedene Beugungsordnung ein wellenlängenaufgelöstes Spektrum. Auf diese Weise liefert das WR-SXR-Metrologiesystem wellenlängenaufgelöste Signalinformationen, ohne ein beugendes optisches Element im optischen Pfad zwischen dem zu messenden Ziel und dem Detektor zu verwenden. Die Erfassung der räumlichen Spreizung jeder Beugungsordnung gemäß der Wellenlänge an der Oberfläche des Detektors liefert nützliche und einzigartige Informationen über die gemessene interessierende Struktur und verbessert die Messleistung weiter.As in the 2 and 3 1, each non-zero diffraction order contains different reflected wavelengths landing at different locations on the photosensitive surface of detector 119. Thus, each non-zero diffraction order contains multiple measurement points, each different measurement point being associated with a different wavelength. Thus, each non-zero diffraction order contains a wavelength-resolved spectrum. In this way, the WR-SXR metrology system provides wavelength-resolved signal information without using a diffractive optical element in the optical path between the target to be measured and the detector. The detection of the spatial spread of each diffraction order according to the wavelength at the surface of the detector provides useful and unique information about the measured structure of interest and further improves the measurement performance.

Jede Orientierung des einfallenden Beleuchtungsstrahls 114 relativ zur Oberflächennormalen eines Halbleiterwafers 101 wird durch zwei beliebige Winkeldrehungen des Wafers 101 in Bezug auf den Beleuchtungsstrahl 114 oder umgekehrt beschrieben. In einem Beispiel kann die Orientierung in Bezug auf ein an dem Wafer befestigtes Koordinatensystem beschrieben werden. 4 stellt den Beleuchtungsstrahl 114 dar, der auf den Wafer 101 mit einer bestimmten Orientierung einfällt, die durch einen Einfallswinkel θ und einen Azimutwinkel Φ beschrieben wird. Das Koordinatensystem XYZ ist an dem Metrologiesystem befestigt (z. B. Beleuchtungsstrahl 114) und Koordinatensystem X'Y'Z' ist am Wafer 101 befestigt. Die Y-Achse ist in einer Ebene mit der Oberfläche des Wafers 101 ausgerichtet. X und Z sind nicht an der Oberfläche des Wafers 101 ausgerichtet. Z' ist an einer Achse senkrecht zur Oberfläche des Wafers 101 ausgerichtet, und X' und Y' liegen in einer Ebene, die an der Oberfläche des Wafers 101 ausgerichtet ist. Wie in 4 gezeigt, ist der Röntgenbeleuchtungsstrahl 114 an der Z-Achse ausgerichtet und liegt somit innerhalb der XZ-Ebene. Der Einfallswinkel θ beschreibt die Orientierung des Röntgenbeleuchtungsstrahls 114 in Bezug auf die Oberflächennormale des Wafers in der XZ-Ebene. Darüber hinaus beschreibt der Azimutwinkel Φ die Orientierung der XZ-Ebene in Bezug auf die X'Z'-Ebene. Zusammen definieren θ und Φ eindeutig die Ausrichtung des Röntgenbeleuchtungsstrahls 114 in Bezug auf die Oberfläche des Wafers 101. In diesem Beispiel wird die Orientierung des Röntgenbeleuchtungsstrahls bezüglich der Oberfläche des Wafers 101 durch eine Drehung um eine Achse senkrecht zur Oberfläche des Wafers 101 (d.h. Z'-Achse) und eine Drehung um eine Achse, die in der Oberfläche des Wafers 101 ausgerichtet ist (d.h. Y-Achse) beschrieben. In einigen anderen Beispielen wird die Ausrichtung des Röntgenbeleuchtungsstrahls in Bezug auf die Oberfläche des Wafers 101 durch eine Drehung um eine erste Achse, die an der Oberfläche des Wafers 101 ausgerichtet ist, und eine andere Achse, die an der Oberfläche des Wafers 101 ausgerichtet und senkrecht zur ersten Achse ist, beschrieben.Each orientation of the incident illumination beam 114 relative to the surface normal of a semiconductor wafer 101 is described by any two angular rotations of the wafer 101 in relation to the illumination beam 114 or vice versa. In one example, the orientation may be described in terms of a coordinate system affixed to the wafer. 4 Figure 12 depicts the illumination ray 114 incident on the wafer 101 with a particular orientation described by an incidence angle θ and an azimuth angle Φ. The XYZ coordinate system is affixed to the metrology system (e.g., illumination beam 114) and the X'Y'Z' coordinate system is affixed to the wafer 101. The Y-axis is aligned in a plane with the wafer 101 surface. X and Z are not aligned with the wafer 101 surface. Z' is aligned on an axis perpendicular to the wafer 101 surface, and X' and Y' lie in a plane aligned with the wafer 101 surface. As in 4 As shown, the X-ray illumination beam 114 is aligned with the Z-axis and thus lies within the XZ plane. The angle of incidence θ describes the orientation of the X-ray illumination beam 114 with respect to the surface normal of the wafer in the XZ plane. In addition, the azimuth angle Φ describes the orientation of the XZ plane with respect to the X'Z' plane. Together, θ and Φ uniquely define the orientation of the X-ray illumination beam 114 with respect to the wafer 101 surface. In this example, the orientation of the X-ray illumination beam with respect to the wafer 101 surface is given by a rotation about an axis perpendicular to the wafer 101 surface (ie, Z' -axis) and a rotation about an axis aligned in the surface of the wafer 101 (ie Y-axis). In some other examples, the orientation of the X-ray illumination beam with respect to the surface of the wafer 101 is achieved by rotation about a first axis aligned with the surface of the wafer 101 and another axis aligned with the surface of the wafer 101 and perpendicular to the first axis is described.

In den in den 2 und 3 gezeigten Beispielen ist der Azimutwinkel derart, dass der einfallende Beleuchtungsstrahl 114 das periodische Ziel 102 in einer Richtung beleuchtet, die an der Periodizitätsrichtung des periodischen Ziels 102 (der horizontalen Richtung über das Zeichenblatt) ausgerichtet ist und orthogonal ist zu der Richtung gleichmäßiger Ausdehnung des periodischen Ziels (Richtung senkrecht zum Zeichenblatt). 5 zeigt einen Teil der Streustrahlung 118, die auf die aktive Oberfläche des Detektors 119 einfällt, wie unter den in 3 dargestellten Beleuchtungsbedingungen gemessen. Genauer zeigt 5 den reflektierten Strahl 118A der 0-ten Ordnung, die gebeugte Strahlung 118C entsprechend der Beugungsordnung -1, die gebeugte Strahlung 118E entsprechend der Beugungsordnung -2 und die gebeugte Strahlung 118G entsprechend der Beugungsordnung -3. Wie in 5 gezeigt, wird jede in dem Beleuchtungsstrahl vorhandene Wellenlänge von dem periodischen Ziel 102 unter einem anderen Winkel innerhalb jeder der von null verschiedenen Beugungsordnungen gebeugt. Somit wird der Wellenlängenbereich λBEREICH, der im Beleuchtungsstrahl 114 vorhanden ist, in der y-Richtung für jede der von null verschiedenen Beugungsordnungen räumlich gespreizt.In the in the 2 and 3 In the examples shown, the azimuth angle is such that the incident illumination beam 114 illuminates the periodic target 102 in a direction that is aligned with the periodicity direction of the periodic target 102 (the horizontal direction across the drawing sheet) and is orthogonal to the direction of uniform expansion of the periodic target (direction perpendicular to the drawing sheet). 5 11 shows part of the scattered radiation 118 incident on the active surface of the detector 119, as described in FIGS 3 lighting conditions shown. More precisely shows 5 the reflected beam 118A of the 0th order, the diffracted radiation 118C corresponding to the diffraction order -1, the diffracted radiation 118E corresponding to the diffraction order -2 and the diffracted radiation 118G corresponding to the diffraction order -3. As in 5 As shown, each wavelength present in the illuminating beam is diffracted by the periodic target 102 at a different angle within each of the non-zero diffraction orders. Thus, the wavelength range λ RANGE , which is im Illumination beam 114 is present, spatially spread in the y-direction for each of the non-zero diffraction orders.

In einem anderen Beispiel ist der Azimutwinkel derart, dass der einfallende Beleuchtungsstrahl 114 das periodische Ziel 102 in einer Richtung beleuchtet, die in der Richtung der gleichmäßigen Ausdehnung des periodischen Ziels (der Richtung senkrecht zum Zeichenblatt von 3) ausgerichtet ist und orthogonal ist zur Periodizitätsrichtung des periodischen Ziels 102 (die horizontale Richtung über das Zeichenblatt von 3). 6 stellt einen Teil der Streustrahlung 118 dar, die auf die aktive Oberfläche des Detektors 119 einfällt, wie unter diesen Beleuchtungsbedingungen gemessen. Genauer zeigt 6 den reflektierten Strahl 118A der 0-ten Ordnung, die gebeugte Strahlung 118B und 118C entsprechend den Beugungsordnungen +1 bzw. -1, die gebeugte Strahlung 118D und 118E entsprechend den Beugungsordnungen +2 bzw. -2 und die gebeugte Strahlung 118F und 118G entsprechend den Beugungsordnungen +3 bzw. -3. Wie in 6 gezeigt, wird jede im Beleuchtungsstrahl vorhandene Wellenlänge vom periodischen Ziel 102 bei einem unterschiedlichen Winkel innerhalb jeder der Beugungsordnungen ungleich null gebeugt. Somit wird der Wellenlängenbereich λBEREICH, der im Beleuchtungsstrahl 114 vorhanden ist, über die aktive Oberfläche des Detektors 119 entlang des Bogens 149 für jede der von null verschiedenen Beugungsordnungen räumlich gespreizt.In another example, the azimuth angle is such that the incident illumination beam 114 illuminates the periodic target 102 in a direction that is in the direction of uniform expansion of the periodic target (the direction perpendicular to the drawing sheet of FIG 3 ) is aligned and orthogonal to the periodicity direction of the periodic target 102 (the horizontal direction across the plot of FIG 3 ). 6 represents a portion of the scattered radiation 118 incident on the active surface of the detector 119 as measured under these illumination conditions. More precisely shows 6 the reflected beam 118A of the 0th order, the diffracted radiation 118B and 118C corresponding to the diffraction orders +1 and -1, respectively, the diffracted radiation 118D and 118E corresponding to the diffraction orders +2 and -2, respectively, and the diffracted radiation 118F and 118G corresponding to Figs Diffraction orders +3 and -3, respectively. As in 6 As shown, each wavelength present in the illuminating beam is diffracted by the periodic target 102 at a different angle within each of the non-zero diffraction orders. Thus, the wavelength range λ RANGE present in illumination beam 114 is spatially spread across the active surface of detector 119 along arc 149 for each of the non-zero diffraction orders.

In einem weiteren Aspekt wird der Abstand zwischen dem Detektor und dem zu messenden Wafer aktiv eingestellt, um die Auflösung der am Detektor gesammelten Spektralinformationen zu ändern. In einigen Ausführungsformen ist ein (nicht gezeigter) Aktor konfiguriert, um den Detektor 119 als Reaktion auf einen Steuerbefehl (nicht gezeigt) zu bewegen, der vom Computersystem 130 an den Aktor übermittelt wird. Eine Bewegung des Detektors weg von dem zu messenden Wafer erhöht die Auflösung der gesammelten Spektralinformationen, d.h. eine zunehmende räumliche Trennung zwischen zwei beliebigen unterschiedlichen Wellenlängen am Detektor mit zunehmendem Abstand. Umgekehrt verringert eine Bewegung des Detektors auf den zu messenden Wafer hin die Auflösung der gesammelten Spektralinformationen, d. h. die räumliche Trennung zwischen zwei beliebigen unterschiedlichen Wellenlängen am Detektor nimmt mit abnehmendem Abstand ab.In another aspect, the distance between the detector and the wafer to be measured is actively adjusted to change the resolution of the spectral information collected at the detector. In some embodiments, an actuator (not shown) is configured to move detector 119 in response to a control command (not shown) communicated from computer system 130 to the actuator. Moving the detector away from the wafer to be measured increases the resolution of the collected spectral information, i.e. increasing spatial separation between any two different wavelengths at the detector with increasing distance. Conversely, moving the detector towards the wafer to be measured reduces the resolution of the collected spectral information, i. H. the spatial separation between any two different wavelengths at the detector decreases with decreasing distance.

Die Röntgenbeleuchtungsquelle 110 ist konfiguriert, um SXR-Strahlung zu erzeugen, die für WR-SXR-Messungen geeignet ist. Die Röntgenbeleuchtungsquelle 110 ist eine polychromatische Quelle großer Helligkeit und großer Etendue. In einigen Ausführungsformen ist die Röntgenbeleuchtungsquelle 110 konfiguriert, um Röntgenstrahlung in einem Bereich zwischen 10-5.000 Elektronenvolt zu erzeugen. Im Allgemeinen kann jede geeignete Röntgenbeleuchtungsquelle mit großer Helligkeit, die SXR mit großer Helligkeit bei ausreichenden Flussniveaus erzeugen kann, um eine Inline-Metrologie mit hohem Durchsatz zu ermöglichen, in Betracht gezogen werden, um Röntgenbeleuchtung für WR-SXR-Messungen bereitzustellen. Einige Beispiele für geeignete Röntgenbeleuchtungsquellen umfassen Quellen mit rotierender Anode, Quellen mit fester Anode, Teilchenbeschleunigerquellen, Mikrofokusquellen, Quellen mit lasererzeugtem Plasma, Quellen mit einem Strahl flüssigen Metalls, Gasstrahl-/Kapillar-/Zellquellen, inverse Compton-Streuungsquellen (ICS), kompakte Speicherringquellen (CSR), Quellen mit durch elektrische Entladung erzeugtem Plasma (DPP), Quellen mit Erzeugung hoher Harmonischer (HHG), eine HHG-Quelle mit einem Verstärkungshohlraum (HHG+) und weiche Röntgenstrahl-Laserquellen.The X-ray illumination source 110 is configured to generate SXR radiation suitable for WR-SXR measurements. The x-ray illumination source 110 is a high brightness, high etendue polychromatic source. In some embodiments, x-ray illumination source 110 is configured to generate x-ray radiation in a range between 10-5,000 electron volts. In general, any suitable high-brightness X-ray illumination source capable of producing high-brightness SXR at sufficient flux levels to enable high-throughput in-line metrology can be considered to provide X-ray illumination for WR-SXR measurements. Some examples of suitable X-ray illumination sources include rotating anode sources, fixed anode sources, particle accelerator sources, microfocus sources, laser generated plasma sources, liquid metal jet sources, gas jet/capillary/cell sources, Compton inverse scattering sources (ICS), compact storage ring sources (CSR), Electrical Discharge Produced Plasma (DPP) sources, High Harmonic Generation (HHG) sources, a HHG source with a gain cavity (HHG+), and soft x-ray laser sources.

Geeignete Röntgenbeleuchtungsquellen sind beschrieben in der US-Patentveröffentlichung Nr. 2019/0215940 , US-Patentveröffentlichung Nr. 2016/0249442 , US-Patentveröffentlichung Nr. 2016/0128171 , US-Patentveröffentlichung Nr. 2015/0076359 , US-Patentveröffentlichung Nr. 2015/0008335 , US-Patentveröffentlichung Nr. 2014/0306115 und US-Patentveröffentlichung Nr. 2014/0246607 , deren Inhalt jeweils hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen ist.Suitable X-ray illumination sources are described in U.S. Patent Publication No. 2019/0215940 , U.S. Patent Publication No. 2016/0249442 , U.S. Patent Publication No. 2016/0128171 , U.S. Patent Publication No. 2015/0076359 , U.S. Patent Publication No. 2015/0008335 , U.S. Patent Publication No. 2014/0306115 and U.S. Patent Publication No. 2014/0246607 , each of which is incorporated herein by reference in its entirety.

In einigen Ausführungsformen ist die Beleuchtungsquelle 110 eine Wiggler/Undulator-Synchrotronstrahlungsquelle (SRS). Eine beispielhafte Wiggler/Undulator-SRS ist in den US-Patenten Nr. 8,941,336 und 8,749,179 beschrieben, deren Inhalt hier durch Bezugnahme in ihrer Gesamtheit aufgenommen ist.In some embodiments, the illumination source 110 is a wiggler/undulator synchrotron radiation source (SRS). An exemplary wiggler/undulator SRS is disclosed in U.S. Patent Nos. 8,941,336 and 8,749,179 described, the contents of which are incorporated herein by reference in their entirety.

In einigen Ausführungsformen ist die Beleuchtungsquelle 110 eine Elektronenstrahlquelle, die konfiguriert ist, um feste, flüssige oder gasförmige Targets zu beschießen, um Röntgenstrahlung zu stimulieren. Verfahren und Systeme zum Erzeugen einer Flüssigmetall-Röntgenbeleuchtung mit großer Helligkeit sind im US-Patent Nr. 7,929,667 , erteilt am 19. April 2011 an KLA-Tencor Corp., beschrieben, das hier vollständig durch Bezugnahme eingeschlossen ist.In some embodiments, illumination source 110 is an electron beam source configured to bombard solid, liquid, or gaseous targets to stimulate x-ray radiation. Methods and systems for producing high brightness liquid metal X-ray illumination are disclosed in U.S. Patent No. 7,929,667 , issued April 19, 2011 to KLA-Tencor Corp., which is incorporated herein by reference in its entirety.

In einigen Ausführungsformen ist die Beleuchtungsquelle 110 eine Lichtquelle mit laserproduziertem Plasma (LPP). In einigen dieser Ausführungsformen umfasst die LPP-Lichtquelle ein beliebiges der folgenden Emitter-Materialien: Xenon, Krypton, Argon, Neon, Stickstoff, Alkohol, Wasser. Im Allgemeinen wird die Auswahl eines geeigneten LPP-Targetmaterials auf Helligkeit in resonanten SXR-Bereichen optimiert. Beispielsweise bietet von Krypton emittiertes Plasma eine große Helligkeit an der Silizium-K-Kante. In einem anderen Beispiel liefert von Xenon emittiertes Plasma eine große Helligkeit über den SXR-Bereich, z. B. 80-3000 eV. Als solches ist Xenon eine gute Wahl als emittierendes Material, wenn eine Breitband-SXR-Beleuchtung gewünscht ist.In some embodiments, the illumination source 110 is a laser produced plasma (LPP) light source. In some of these embodiments, the LPP light source includes any of the following emitter materials: xenon, krypton, argon, neon, nitrogen, alcohol, water. In general, the selection of a suitable LPP target material is optimized for brightness in resonant SXR regions. For example, plasma emitted by krypton offers high brightness at the silicon K-edge. In another example, xenon-emitted plasma provides high brightness over the SXR region, e.g. B. 80-3000 eV. As such, xenon is a good choice as an emitting material when broadband SXR illumination is desired.

Die Auswahl des LPP-Targetmaterials kann auch für einen zuverlässigen Lichtquellenbetrieb mit langer Lebensdauer optimiert werden. Edelgas-Targetmaterialien wie Xenon, Krypton und Argon sind inert und können in einem geschlossenen Kreislauf mit minimalem oder gar keinem Dekontaminationsvorgang wiederverwendet werden. Eine beispielhafte SXR-Beleuchtungsquelle ist in der US-Patentveröffentlichung Nr. 2019/0215940 beschrieben, deren Inhalt hierin vollständig durch Bezugnahme aufgenommen ist.The choice of LPP target material can also be optimized for reliable light source operation with long lifetime. Noble gas target materials such as xenon, krypton and argon are inert and can be reused in a closed loop with minimal or no decontamination process. An exemplary SXR illumination source is described in US Patent Publication No. 2019/0215940, the entire content of which is incorporated herein by reference in its entirety.

In einigen Ausführungsformen ist eine geeignete Beleuchtungsquelle breitbandig. In einigen anderen Ausführungsformen ist eine geeignete Beleuchtungsquelle jedoch nicht breitbandig, sondern umfasst mehrere diskrete Strahlungswellenlängen (z. B. eine HHG-Quelle). In einigen dieser Ausführungsformen umfasst das Reflexionsvermögen für jede Beugungsordnung mehrere Bilder, eines für jede diskrete Wellenlänge. In einigen Ausführungsformen werden dem periodischen Ziel mehrere benachbarte Harmonische bereitgestellt, die von einer HHG-Quelle erzeugt werden, und ein Satz diskreter Punkte an der lichtempfindlichen Oberfläche des Detektors konvergiert zu einem größeren Bild.In some embodiments, a suitable illumination source is broadband. However, in some other embodiments, a suitable illumination source is not broadband, but rather includes multiple discrete wavelengths of radiation (e.g., an HHG source). In some of these embodiments, the reflectivity for each diffraction order includes multiple images, one for each discrete wavelength. In some embodiments, the periodic target is provided with multiple adjacent harmonics generated by an HHG source and a set of discrete points on the detector's photosensitive surface converge into a larger image.

Eine Beleuchtungsquelle mit geringer Divergenz (z. B. weniger als 1 Milliradiant) wird bevorzugt, um wellenlängenaufgelöste Signalinformationen wie hierin beschrieben zu erzeugen. Eine Beleuchtungsquelle mit geringer Divergenz ist vorteilhaft bei einigen Messanwendungen (z. B. beim Messen von 3D-Gittern). Obwohl eine Quelle mit hoher Divergenz verwendet werden kann, kann sie zusätzliche optische Elemente im optischen Pfad von der Beleuchtungsquelle zum Detektor erfordern, um wellenlängenaufgelöste Signalinformationen zu erhalten. Dies fügt dem Metrologiesystem zusätzliche Kosten, Komplexität und Photonenverlust hinzu.A low divergence (e.g., less than 1 milliradian) illumination source is preferred to generate wavelength-resolved signal information as described herein. A low divergence illumination source is advantageous in some measurement applications (e.g. measuring 3D grids). Although a high divergence source can be used, it may require additional optical elements in the optical path from the illumination source to the detector to obtain wavelength-resolved signal information. This adds additional cost, complexity and photon loss to the metrology system.

In einigen Ausführungsformen wird als Beleuchtungsquelle des Metrologiesystems eine Breitbandquelle verwendet, die weiche Röntgenstrahlen zusammen mit Licht bei längeren Wellenlängen (z. B. tiefes UV, Vakuum-UV, sichtbares Licht oder IR) emittiert.In some embodiments, a broadband source that emits soft x-rays along with light at longer wavelengths (e.g., deep UV, vacuum UV, visible light, or IR) is used as the illumination source of the metrology system.

Die Röntgenbeleuchtungsquelle 110 erzeugt eine Röntgenemission über eine Quellenfläche mit endlichen Seitenabmessungen (d. h. Abmessungen ungleich null orthogonal zur Strahlachse). In einem Aspekt ist die Quellenfläche der Beleuchtungsquelle 110 durch eine Seitenabmessung von weniger als 20 Mikrometern gekennzeichnet. In einigen Ausführungsformen ist die Quellenfläche durch eine Seitenabmessung von 10 Mikrometern oder weniger gekennzeichnet. In einigen Ausführungsformen ist die Quellenfläche durch eine Seitenabmessung von 5 Mikrometern oder weniger gekennzeichnet. Eine geringe Quellengröße ermöglicht die Beleuchtung eines kleinen Zielbereichs auf der Probe mit hoher Helligkeit, wodurch die Messpräzision, Genauigkeit und der Durchsatz basierend auf Messungen von kleinen Metrologiezielen verbessert werden. In einigen Ausführungsformen ermöglicht eine geringe Größe (< 5 Mikrometer Durchmesser) der Beleuchtungsquelle ein scannendes Metrologiesystem mit Submikrometerauflösung.The x-ray illumination source 110 produces x-ray emission over a source area with finite lateral dimensions (i.e., non-zero dimensions orthogonal to the beam axis). In one aspect, the source area of illumination source 110 is characterized by a lateral dimension of less than 20 microns. In some embodiments, the source face is characterized by a lateral dimension of 10 microns or less. In some embodiments, the source face is characterized by a lateral dimension of 5 microns or less. A small source size enables a small target area on the sample to be illuminated with high brightness, improving measurement precision, accuracy, and throughput based on measurements of small metrology targets. In some embodiments, a small size (<5 microns in diameter) of the illumination source enables a scanning metrology system with submicron resolution.

Der Röntgendetektor 119 sammelt die von der Probe 101 gestreute Röntgenstrahlung 118 und erzeugt Ausgangssignale 135, die Eigenschaften der Probe 101 anzeigen, die für die einfallende Röntgenstrahlung empfindlich sind, gemäß einer WR-SXR-Messmodalität. In einigen Ausführungsformen werden gestreute Röntgenstrahlen 118 durch den Röntgendetektor 119 gesammelt, während das Probenpositionierungssystem 140 die Probe 101 positioniert und ausrichtet, um winkelaufgelöste gestreute Röntgenstrahlen zu erzeugen. In einigen Ausführungsformen werden Signalinformationen über die Gleichförmigkeit der Probe durch Erfassen von Signalen an verschiedenen Stellen über die Probe 101 hinweg erhalten.The X-ray detector 119 collects the X-rays 118 scattered from the sample 101 and produces output signals 135 indicative of characteristics of the sample 101 that are sensitive to the incident X-rays according to a WR-SXR measurement modality. In some embodiments, scattered X-rays 118 are collected by X-ray detector 119 while sample positioning system 140 positions and orients sample 101 to generate angle-resolved scattered X-rays. In some embodiments, signal information about the uniformity of the sample is obtained by detecting signals at different locations across the sample 101 .

Im Allgemeinen kann jeder geeignete Typ von Röntgendetektor verwendet werden, um WR-SXR-Messungen wie hierin beschrieben durchzuführen. Beispielhafte Detektoren umfassen ein Charge-Coupled-Device (CCD), einen Gas-Elektronen-Vervielfacher (GEM), einen Mikrostreifen-Proportionalzähler, einen gasgefüllten Proportionalzähler, einen Cadmiumtellurid-(CdTe)-Detektor, einen Pixel-Array-Detector (PAD), eine Avalanche-Photodiode (APD), ein PIN-Diodenarray, ein Photodiodenarray, eine Photovervielfacherröhre (PMT), eine Mehrkanalplatte zusammen mit einem CCD-Detektor, einen Komplementär-Metalloxid-Halbleiter (CMOS)-Detektor, einen Szintillator zusammen mit einem Hochgeschwindigkeits-CMOS-Detektor, einen aktiven CMOS-Pixelsensor (APS) usw.In general, any suitable type of x-ray detector can be used to perform WR-SXR measurements as described herein. Exemplary detectors include a charge-coupled device (CCD), a gas-electron multiplier (GEM), a microstrip proportional counter, a gas-filled proportional counter, a cadmium telluride (CdTe) detector, a pixel array detector (PAD) , an avalanche photodiode (APD), a PIN diode array, a photodiode array, a photomultiplier tube (PMT), a multichannel plate together with a CCD detector, a complementary metal oxide semiconductor (CMOS) detector, a scintillator together with a high-speed CMOS detector, a CMOS active pixel sensor (APS), etc.

In einigen Ausführungsformen umfasst ein WR-SXR-System einen oder mehrere Photonen zählende Detektoren mit hohem Dynamikbereich (z. B. größer als 105). In einigen Ausführungsformen löst der Röntgendetektor eine oder mehrere Röntgenphotonenenergien auf und erzeugt Signale für jede Röntgenenergiekomponente, die Eigenschaften der Probe anzeigen. Auf diese Weise werden die Röntgenphotonenwechselwirkungen innerhalb des Detektors zusätzlich zu der Pixelposition und der Zählrate hinsichtlich Energie differenziert. In einigen Ausführungsformen werden die Röntgenphotonenwechselwirkungen durch Vergleichen der Energie der Röntgenphotonenwechselwirkung mit einem vorbestimmten oberen Schwellenwert und einem vorbestimmten unteren Schwellenwert unterschieden. In einer Ausführungsform werden diese Informationen über Ausgangssignale 135 an Computersystem 130 zur weiteren Verarbeitung und Speicherung übermittelt.In some embodiments, a WR-SXR system includes one or more high dynamic range (eg, greater than 10 5 ) photon counting detectors. In some embodiments, the x-ray detector resolves one or more x-ray photon energies and generates signals for each x-ray energy component indicative of properties of the sample. In this way, the x-ray photon interactions within the detector are differentiated in terms of energy in addition to pixel position and count rate. In some embodiments, the x-ray photon interactions are discriminated by comparing the energy of the x-ray photon interaction to a predetermined upper threshold and a predetermined lower threshold. In one embodiment, this information is communicated via outputs 135 to computer system 130 for further processing and storage.

In einem weiteren Aspekt wird ein WR-SXR-System verwendet, um Eigenschaften einer Probe (z. B. Strukturparameterwerte) basierend auf wellenlängenaufgelösten Signalinformationen bei einer oder mehreren von null verschiedenen Beugungsordnungen von Streulicht zu bestimmen. Wie in 1 gezeigt, umfasst das Metrologiewerkzeug 100 ein Computersystem 130, das verwendet wird, um durch den Detektor 119 erzeugte Signale 135 zu erfassen und Eigenschaften der Probe zumindest teilweise basierend auf den erfassten Signalen zu bestimmen.In another aspect, a WR-SXR system is used to determine properties of a sample (e.g., structural parameter values) based on wavelength-resolved signal information at one or more non-zero diffraction orders of scattered light. As in 1 As shown, the metrology tool 100 includes a computer system 130 that is used to acquire signals 135 generated by the detector 119 and to determine properties of the specimen based at least in part on the acquired signals.

In einigen Beispielen beinhaltet die auf WR-SXR basierende Metrologie das Bestimmen der Abmessungen der Probe durch die inverse Lösung eines vordefinierten Messmodells mit den gemessenen Daten. Das Messmodell umfasst einige (in der Größenordnung von zehn) einstellbare Parameter und ist repräsentativ für die Geometrie und die optischen Eigenschaften der Probe und die optischen Eigenschaften des Messsystems. Das Verfahren der inversen Lösung umfasst, ist aber nicht beschränkt auf, modellbasierte Regression, Tomographie, maschinelles Lernen oder eine beliebige Kombination davon. Auf diese Weise werden Zielprofilparameter durch Auflösen nach Werten eines parametrisierten Messmodells geschätzt, die Fehler zwischen den gemessenen Intensitäten der gestreuten Röntgenstrahlen und den modellierten Ergebnissen minimieren.In some examples, WR-SXR based metrology involves determining the dimensions of the sample by inverse solving a predefined measurement model with the measured data. The measurement model includes a few (on the order of ten) adjustable parameters and is representative of the geometry and optical properties of the sample and the optical properties of the measurement system. The inverse solution method includes, but is not limited to, model-based regression, tomography, machine learning, or any combination thereof. In this way, target profile parameters are estimated by solving for values of a parameterized measurement model that minimize errors between the measured scattered X-ray intensities and the modeled results.

Es ist wünschenswert, Messungen in großen Bereichen von Wellenlänge, Einfallswinkel und Azimutwinkel durchzuführen, um die Präzision und Genauigkeit der gemessenen Parameterwerte zu erhöhen. Dieser Ansatz reduziert Korrelationen zwischen Parametern, indem die Anzahl und Vielfalt der für die Analyse verfügbaren Datensätze erweitert wird.It is desirable to make measurements over large ranges of wavelength, angle of incidence, and angle of azimuth in order to increase the precision and accuracy of the measured parameter values. This approach reduces correlations between parameters by expanding the number and variety of datasets available for analysis.

Es werden Messungen der Intensität gebeugter Strahlung als Funktion der Beleuchtungswellenlänge, des Einfallswinkels der Röntgenstrahlen relativ zur Waferoberflächennormalen oder einer Kombination davon gesammelt. Wellenlängenaufgelöste Signalinformationen, die in den mehreren Beugungsordnungen enthalten sind, sind typischerweise für jeden betrachteten Modellparameter einzigartig. Somit liefert Röntgenstreuung Schätzergebnisse für Werte von interessierenden Parametern mit kleinen Fehlern und reduzierter Parameterkorrelation.Measurements of diffracted radiation intensity are collected as a function of illumination wavelength, the angle of incidence of the x-rays relative to the wafer surface normal, or a combination thereof. Wavelength-resolved signal information contained in the multiple diffraction orders is typically unique for each model parameter considered. Thus, X-ray scattering provides estimation results for values of parameters of interest with small errors and reduced parameter correlation.

In einem Aspekt beinhaltet das Metrologiewerkzeug 100 ein Waferspannfutter 103, das den Wafer 101 fest trägt und an das Probenpositionierungssystem 140 gekoppelt ist. Probenpositionierungssystem 140 ist dazu konfiguriert, die Probe 101 aktiv in sechs Freiheitsgraden in Bezug auf den Beleuchtungsstrahl 114 zu positionieren. In einem Beispiel übermittelt das Computersystem 130 Befehlssignale (nicht gezeigt) an das Probenpositionierungssystem 140, die die gewünschte Position der Probe 101 angeben. Als Reaktion darauf erzeugt das Probenpositionierungssystem 140 Befehlssignale an die verschiedenen Aktoren des Probenpositionierungssystems 140, um die gewünschte Positionierung der Probe 101 zu erreichen.In one aspect, the metrology tool 100 includes a wafer chuck 103 that fixedly supports the wafer 101 and is coupled to the sample positioning system 140 . Sample positioning system 140 is configured to actively position sample 101 in six degrees of freedom with respect to illumination beam 114 . In one example, computer system 130 transmits command signals (not shown) to sample positioning system 140 indicating the desired position of sample 101 . In response, the sample positioning system 140 generates command signals to the various actuators of the sample positioning system 140 to achieve the desired positioning of the sample 101.

In einigen Beispielen werden Messungen über einen Bereich von Einfallswinkeln, Azimutwinkeln und Stellen auf dem Wafer durchgeführt, um unterschiedliche Beleuchtungs- und Erfassungswinkel bereitzustellen, die optimiert sind, um die Messleistung zu verbessern.In some examples, measurements are made over a range of incidence angles, azimuth angles, and locations on the wafer to provide different illumination and coverage angles that are optimized to improve measurement performance.

In einigen Ausführungsformen formen Röntgenoptiken Röntgenstrahlung und lenken sie von der Beleuchtungsquelle 110 auf die Probe 101. In einigen Beispielen kollimiert oder fokussiert die Röntgenoptik den Röntgenstrahl auf den Messbereich 102 der Probe 101 mit einer Divergenz von weniger als 1 Milliradiant unter Verwendung einer Mehrschicht-Röntgenoptik. In einigen Ausführungsformen umfasst die Röntgenoptik einen oder mehrere Röntgenstrahl-Kollimationsspiegel, Röntgenblenden, Röntgenstrahlstopper, refraktive Röntgenoptiken, diffraktive Optiken wie Zonenplatten, Schwarzschild-Optiken, Kirkpatrick-Baez-Optiken, Montel-Optiken, Wolter-Optiken, spiegelnde Röntgenoptiken wie Ellipsoidspiegel, Polykapillaroptiken wie Hohlkapillarröntgenwellenleiter, Mehrschichtoptiken oder Mehrschichtsysteme oder eine beliebige Kombination davon. Weitere Einzelheiten sind beschrieben in der US-Patentveröffentlichung Nr. 2015/0110249, deren Inhalt hier durch Bezugnahme vollständig aufgenommen wird.In some embodiments, X-ray optics shape and direct X-ray radiation from illumination source 110 onto sample 101. In some examples, X-ray optics collimate or focus the X-ray beam onto measurement region 102 of sample 101 with a divergence of less than 1 milliradian using multi-layer X-ray optics. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray stops, x-ray stoppers, refractives X-ray optics, diffractive optics such as zone plates, Schwarzschild optics, Kirkpatrick-Baez optics, Montel optics, Wolter optics, specular X-ray optics such as ellipsoidal mirrors, polycapillary optics such as hollow capillary X-ray waveguides, multilayer optics or multilayer systems or any combination thereof. Further details are described in US Patent Publication No. 2015/0110249, the entire content of which is incorporated herein by reference.

7 veranschaulicht eine Ausführungsform eines WR-SXR-Metrologiewerkzeugs 200 zum Messen von Eigenschaften einer Probe in einer anderen Ausführungsform. Wie in 7 gezeigt, fokussiert die Fokussieroptik 111 Quellenstrahlung auf ein Metrologieziel, das sich auf der Probe 101 befindet. Die endliche Seitenabmessung der Quelle führt zu einer endlichen Punktgröße 102 auf dem Ziel, die durch die Strahlen 116 definiert wird, die von den Kanten der Quelle kommen, und durch jegliche Strahlformung, die durch die Strahlschlitze 112 und 113 bereitgestellt wird. 7 12 illustrates an embodiment of a WR-SXR metrology tool 200 for measuring properties of a sample in another embodiment. As in 7 shown, focusing optics 111 focus source radiation onto a metrology target located on sample 101 . The finite lateral dimension of the source results in a finite spot size 102 on the target defined by the rays 116 coming from the edges of the source and any beam shaping provided by the beam slots 112 and 113.

In einigen Ausführungsformen umfasst die Fokussieroptik 111 elliptisch geformte optische Fokussierungselemente. In der in 7 gezeigten Ausführungsform beträgt die Vergrößerung der Fokussieroptik 111 im Zentrum der Ellipse ungefähr eins. Als Ergebnis hat die Beleuchtungsfleckgröße, die auf die Oberfläche der Probe 101 projiziert wird, ungefähr dieselbe Größe wie die Beleuchtungsquelle, angepasst wegen der Strahlverbreiterung aufgrund des nominalen streifenden Einfallswinkels (z. B. 1 - 45 Grad).In some embodiments, the focusing optics 111 include elliptically shaped optical focusing elements. in the in 7 shown embodiment, the magnification of the focusing optics 111 is approximately one in the center of the ellipse. As a result, the illumination spot size projected onto the surface of the sample 101 is approximately the same size as the illumination source, adjusted for beam broadening due to the nominal grazing incidence angle (e.g., 1-45 degrees).

In einem weiteren Aspekt sammelt die Fokussieroptik 111 Quellenemission und wählt eine oder mehrere diskrete Wellenlängen oder Spektralbänder aus und fokussiert das ausgewählte Licht auf Probe 101 bei streifenden Einfallswinkeln im Bereich von 1 - 45 Grad.In another aspect, focusing optics 111 collects source emission and selects one or more discrete wavelengths or spectral bands and focuses the selected light onto sample 101 at grazing incidence angles in the 1-45 degree range.

Der nominale streifende Einfallswinkel wird ausgewählt, um eine gewünschte Penetration des Metrologieziels zu erreichen, um den Signalinformationsgehalt zu maximieren, während man innerhalb der Grenzen des Metrologieziels bleibt. Der Grenzwinkel harter Röntgenstrahlen ist sehr klein, der Grenzwinkel weicher Röntgenstrahlen jedoch deutlich größer. Als Ergebnis dieser zusätzlichen Messflexibilität dringen WR-SXR-Messungen tiefer in die Struktur ein und sind weniger empfindlich hinsichtlich des genauen Werts des streifenden Einfallswinkels.The nominal grazing angle of incidence is selected to achieve a desired penetration of the metrology target in order to maximize the signal information content while remaining within the bounds of the metrology target. The critical angle of hard X-rays is very small, but the critical angle of soft X-rays is significantly larger. As a result of this additional measurement flexibility, WR-SXR measurements penetrate deeper into the structure and are less sensitive to the exact value of the grazing incidence angle.

In einigen Ausführungsformen beinhaltet die Fokussieroptik 111 graduelle Mehrfachschichten, die gewünschte Wellenlängen oder Wellenlängenbereiche für die Projektion auf die Probe 101 auswählen. In einigen Beispielen umfasst die Fokussieroptik 111 eine graduelle Mehrschichtstruktur (z. B. Schichten oder Beschichtungen), die eine Wellenlänge auswählt und die ausgewählte Wellenlänge über einen Bereich von Einfallswinkeln auf die Probe 101 projiziert. In einigen Beispielen umfasst die Fokussieroptik 111 eine graduelle Mehrschichtstruktur, die einen Wellenlängenbereich auswählt und die ausgewählten Wellenlängen über einen Einfallswinkel auf die Probe 101 projiziert. In einigen Beispielen umfasst die Fokussieroptik 111 eine graduelle Mehrschichtstruktur, die einen Wellenlängenbereich auswählt und die ausgewählten Wellenlängen über einen Einfallswinkelbereich auf die Probe 101 projiziert.In some embodiments, the focusing optics 111 include gradual multilayers that select desired wavelengths or wavelength ranges for projection onto the sample 101 . In some examples, the focusing optics 111 includes a gradual multi-layer structure (e.g., layers or coatings) that selects a wavelength and projects the selected wavelength onto the sample 101 over a range of angles of incidence. In some examples, the focusing optics 111 includes a gradual multilayer structure that selects a range of wavelengths and projects the selected wavelengths onto the sample 101 over an angle of incidence. In some examples, the focusing optics 111 includes a gradual multilayer structure that selects a range of wavelengths and projects the selected wavelengths onto the sample 101 over a range of angles of incidence.

Graduelle mehrschichtige Optiken werden bevorzugt, um den Lichtverlust zu minimieren, der auftritt, wenn einschichtige Gitterstrukturen zu tief sind. Im Allgemeinen wählen Mehrschichtoptiken reflektierte Wellenlängen aus. Die spektrale Bandbreite der ausgewählten Wellenlängen optimiert den der Probe 101 bereitgestellten Fluss sowie den Informationsgehalt in den gemessenen Beugungsordnungen und verhindert eine Verschlechterung des Signals durch Winkeldispersion und Beugungsspitzenüberlappung am Detektor. Außerdem werden graduelle Mehrschichtoptiken verwendet, um die Divergenz zu steuern. Die Winkeldivergenz bei jeder Wellenlänge ist für den Fluss und die minimale räumliche Überlappung am Detektor optimiert.Graduated multilayer optics are preferred to minimize light loss that occurs when single layer grating structures are too deep. In general, multilayer optics select reflected wavelengths. The spectral bandwidth of the selected wavelengths optimizes the flux provided to the sample 101 and the information content in the measured diffraction orders, and avoids degradation of the signal by angular dispersion and diffraction peak overlap at the detector. Gradual multilayer optics are also used to control divergence. The angular divergence at each wavelength is optimized for the flux and minimal spatial overlap at the detector.

In einigen Beispielen wählen graduelle Mehrschichtoptiken Wellenlängen aus, um den Kontrast und den Informationsgehalt von Beugungssignalen von spezifischen Materialgrenzflächen oder strukturellen Abmessungen zu verbessern. Zum Beispiel können die ausgewählten Wellenlängen so gewählt werden, dass sie elementspezifische Resonanzbereiche überspannen (z. B. Silizium-K-Kante, Stickstoff, Sauerstoff-K-Kante usw.). Darüber hinaus kann in diesen Beispielen die Beleuchtungsquelle auch so abgestimmt werden, dass der Fluss im ausgewählten Spektralbereich maximiert wird (z. B. HHG-Spektralabstimmung, LPP-Laserabstimmung usw.).In some examples, graded multilayer optics select wavelengths to enhance the contrast and information content of diffraction signals from specific material interfaces or structural dimensions. For example, the selected wavelengths can be chosen to span element-specific resonance ranges (e.g., silicon K-edge, nitrogen, oxygen K-edge, etc.). Additionally, in these examples, the illumination source can also be tuned to maximize flux in the selected spectral range (eg, HHG spectral tuning, LPP laser tuning, etc.).

In einigen Ausführungsformen werden reflektierende Mehrschichtoptiken basierend auf einem Nb2O5-Spiegel verwendet. 13 zeigt ein Diagramm 145, das das Reflexionsvermögen 146 eines Nb2O5-Spiegels als Funktion der Beleuchtungsstrahlenergie veranschaulicht. Wie in 13 gezeigt, wird ein Reflexionsvermögen von 85% oder mehr über einen breiten Bereich der Röntgenstrahlenergie erreicht. In einigen Ausführungsformen sind Nb2O5-Spiegel von Vorteil, wenn sie in Kombination mit einer Breitband-Röntgenquelle verwendet werden.In some embodiments, reflective multilayer optics based on an Nb 2 O 5 mirror are used. 13 14 shows a graph 145 illustrating the reflectivity 146 of a Nb 2 O 5 mirror as a function of illumination beam energy. As in 13 shown, reflectivity of 85% or more is achieved over a wide range of x-ray energies. In some versions tion forms, Nb 2 O 5 mirrors are advantageous when used in combination with a broadband X-ray source.

In einem weiteren Aspekt werden die auf denselben Messbereich projizierten Bereiche von Wellenlängen, AOI, Azimut oder einer beliebigen Kombination davon durch aktives Positionieren eines oder mehrerer Spiegelelemente der Fokussieroptik 111 eingestellt. Wie in 7 gezeigt, übermittelt das Computersystem 130 Befehlssignale 137 an das Aktorsystem 115, was bewirkt, dass das Aktorsystem 115 die Position, Ausrichtung oder beides eines oder mehrerer der optischen Elemente der Fokussieroptik 111 anpasst, um die gewünschten auf die Probe 101 projizierten Bereiche von Wellenlängen, AOI, Azimut oder einer beliebigen Kombination davon zu erreichen.In a further aspect, the ranges of wavelengths, AOI, azimuth or any combination thereof projected onto the same measurement area are adjusted by actively positioning one or more mirror elements of the focusing optics 111 . As in 7 As shown, computer system 130 transmits command signals 137 to actuator system 115, causing actuator system 115 to adjust the position, orientation, or both, of one or more of the optical elements of focusing optics 111 to achieve the desired ranges of wavelengths, AOI , azimuth, or any combination thereof.

Im Allgemeinen wird der Einfallswinkel so gewählt, dass das Eindringen und die Absorption des Beleuchtungslichts durch das zu messende Metrologieziel optimiert werden. In vielen Beispielen werden Mehrschichtstrukturen gemessen und der Einfallswinkel wird ausgewählt, um Signalinformationen zu maximieren, die den gewünschten interessierenden Schichten zugeordnet sind. Im Beispiel der OverlayMetrologie werden die Wellenlänge(n) und der/die Einfallswinkel ausgewählt, um die Signalinformation zu maximieren, die aus der Interferenz zwischen der Streuung von der vorherigen Schicht und der aktuellen Schicht resultiert. Darüber hinaus wird auch der Azimutwinkel ausgewählt, um den Signalinformationsgehalt zu optimieren. Außerdem wird der Azimutwinkel ausgewählt, um eine Winkeltrennung der Beugungspeaks am Detektor sicherzustellen.In general, the angle of incidence is chosen to optimize penetration and absorption of the illuminating light by the metrology target to be measured. In many examples, multilayer structures are measured and the angle of incidence is selected to maximize signal information associated with the desired layers of interest. In the overlay metrology example, the wavelength(s) and angle(s) of incidence are chosen to maximize the signal information resulting from the interference between the scattering from the previous layer and the current layer. In addition, the azimuth angle is also selected to optimize the signal information content. In addition, the azimuth angle is chosen to ensure angular separation of the diffraction peaks at the detector.

In einem weiteren Aspekt umfasst ein WR-SXR-Metrologiesystem (z. B. ein Metrologiewerkzeug 200) einen oder mehrere Strahlschlitze oder -öffnungen, um den auf die Probe 101 einfallenden Beleuchtungsstrahl 114 zu formen und selektiv einen Teil des Beleuchtungslichts zu blockieren, der ansonsten ein zu messendes Metrologieziel beleuchten würde. Ein oder mehrere Strahlschlitze definieren die Strahlgröße und -form derart, dass der Röntgenbeleuchtungsfleck in die Fläche des zu messenden Metrologieziels passt. Außerdem definieren ein oder mehrere Strahlschlitze die Divergenz des Beleuchtungsstrahls, um die Überlappung von Beugungsordnungen auf dem Detektor zu begrenzen.In another aspect, a WR-SXR metrology system (e.g., a metrology tool 200) includes one or more beam slits or apertures to shape the illumination beam 114 incident on the sample 101 and selectively block a portion of the illumination light that would otherwise would illuminate a metrology target to be measured. One or more beam slots define the beam size and shape such that the X-ray illumination spot fits within the area of the metrology target to be measured. In addition, one or more beam slits define the divergence of the illumination beam to limit the overlap of diffraction orders on the detector.

7 stellt einen Strahldivergenzsteuerschlitz 112 dar, der sich im Strahlengang zwischen der Fokussieroptik 111 und dem Strahlformungsschlitz 113 befindet. Der Strahldivergenzsteuerschlitz 112 begrenzt die Divergenz der Beleuchtung, die der zu messenden Probe zugeführt wird. Strahlformungsschlitz 113 ist im Strahlengang zwischen Strahldivergenzsteuerschlitz 112 und Probe 101 angeordnet. Der Strahlformungsschlitz 113 formt den einfallenden Strahl 114 weiter und wählt die Beleuchtungswellenlänge(n) des einfallenden Strahls 114 aus. Der Strahlformungsschlitz 113 befindet sich im Strahlengang unmittelbar vor der Probe 101. In einem Aspekt befinden sich die Schlitze des Strahlformungsschlitzes 113 in unmittelbarer Nähe der Probe 101, um die Vergrößerung der Fleckgröße des einfallenden Strahls aufgrund der durch die endliche Quellengröße definierten Strahldivergenz zu minimieren. 7 12 illustrates a beam divergence control slit 112 located in the optical path between the focusing optics 111 and the beam shaping slit 113. FIG. The beam divergence control slit 112 limits the divergence of the illumination applied to the sample to be measured. Beam shaping slit 113 is located in the beam path between beam divergence control slit 112 and sample 101 . The beam shaping slit 113 further shapes the incident beam 114 and selects the illumination wavelength(s) of the incident beam 114 . The beam-shaping slit 113 is located in the beam path just before the sample 101. In one aspect, the slits of the beam-shaping slit 113 are in close proximity to the sample 101 to minimize the increase in spot size of the incident beam due to beam divergence defined by the finite source size.

In einigen Ausführungsformen umfasst der Strahlformungsschlitz 113 mehrere, unabhängig betätigte Strahlformungsschlitze. In einer Ausführungsform umfasst der Strahlformungsschlitz 113 vier unabhängig betätigte Strahlformungsschlitze. Diese vier Strahlformungsschlitze blockieren effektiv einen Teil des einfallenden Strahls und erzeugen einen Beleuchtungsstrahl 114 mit einem kastenförmigen Beleuchtungsquerschnitt.In some embodiments, beam-forming slot 113 includes multiple, independently actuated beam-forming slots. In one embodiment, beam-forming slot 113 includes four independently actuated beam-forming slots. These four beam-shaping slits effectively block a portion of the incident beam and produce an illumination beam 114 with a box-shaped illumination cross-section.

Schlitze des Strahlformungsschlitzes 113 sind aus Materialien konstruiert, die Streuung minimieren und einfallende Strahlung effektiv blockieren. Beispielhafte Materialien umfassen Einkristallmaterialien wie Germanium, Galliumarsenid, Indiumphosphid usw. Typischerweise wird das Schlitzmaterial entlang einer kristallographischen Richtung gespalten und nicht gesägt, um die Streuung über Strukturgrenzen hinweg zu minimieren. Außerdem ist der Schlitz in Bezug auf den einfallenden Strahl so ausgerichtet, dass die Wechselwirkung zwischen der einfallenden Strahlung und der inneren Struktur des Schlitzmaterials eine minimale Streuung erzeugt. Die Kristalle sind an jedem Schlitzhalter aus hochdichtem Material (z. B. Wolfram) befestigt, um den Röntgenstrahl auf einer Seite des Schlitzes vollständig zu blockieren.Beam shaping slot 113 slots are constructed of materials that minimize scattering and effectively block incident radiation. Exemplary materials include single crystal materials such as germanium, gallium arsenide, indium phosphide, etc. Typically, the slot material is cleaved along a crystallographic direction, rather than sawed, to minimize scattering across structure boundaries. In addition, the slit is oriented with respect to the incident beam such that the interaction between the incident radiation and the internal structure of the slit material produces minimal scattering. The crystals are attached to each slit holder made of high-density material (e.g. tungsten) to completely block the X-ray beam on one side of the slit.

In einem weiteren Aspekt verwendet ein WR-SXR-Metrologiesystem, wie es hierin beschrieben ist, einen oder mehrere Mechanismen, um die Energie des Beleuchtungsstrahls zu steuern. Im Allgemeinen werden Beleuchtungsstrahlenergien ausgewählt, um ein ausreichendes Eindringen von Röntgenstrahlen in die jeweilige zu messende Probe sicherzustellen. In einigen Beispielen werden Strahlenergien mit geringerer Durchdringung gewählt, um Parameter näher an der Oberfläche zu messen. In diesen Beispielen sondieren die Beleuchtungsstrahlenergien nur die Struktur an der Oberfläche, ohne tief in die Struktur einzudringen. In einigen Beispielen werden Strahlenergien mit größerer Durchdringung ausgewählt, um Parameter tiefer in der zu messenden Struktur zu messen. In einigen Beispielen wird eine Kombination von Energien mit niedriger und hoher Durchdringung gewählt, um Oberflächenstrukturparameter von Parametern tieferliegender Strukturen zu unterscheiden.In another aspect, a WR-SXR metrology system as described herein uses one or more mechanisms to control the energy of the illumination beam. In general, illumination beam energies are selected to ensure adequate penetration of x-rays into the particular sample to be measured. In some examples, lower penetrating beam energies are chosen to measure parameters closer to the surface. In these examples, the illumination beam energies only probe the structure at the surface without penetrating deep into the structure. In In some examples, beam energies with greater penetration are selected to measure parameters deeper in the structure to be measured. In some examples, a combination of low and high penetration energies is chosen to distinguish surface structure parameters from deeper structure parameters.

In einigen Ausführungsformen werden Beleuchtungsstrahlenergien mit einer Beleuchtungsquelle 110 ausgewählt, die über einen breiten Energiebereich abstimmbar ist. In diesen Ausführungsformen emittiert die Beleuchtungsquelle selbst Beleuchtungsenergien in dem/den gewünschten Bereich(en). In einigen Ausführungsformen ist die Beleuchtungsquelle 110 eine LPP-Lichtquelle, die durch das Computersystem 130 gesteuert wird, um den Fluss in einem oder mehreren ausgewählten Spektralbereichen zu maximieren. Die Laserspitzenintensität am Targetmaterial steuert die Plasmatemperatur und damit den Spektralbereich der emittierten Strahlung. Die Laserspitzenintensität wird variiert, indem die Pulsenergie, die Pulsbreite oder beides eingestellt wird. In einem Beispiel ist eine Pulsbreite von 100 Pikosekunden geeignet, um SXR-Strahlung zu erzeugen. Wie in 1 gezeigt, übermittelt das Computersystem 130 Befehlssignale 136 an die Beleuchtungsquelle 110, die bewirken, dass die Beleuchtungsquelle 110 den Spektralbereich der von der Beleuchtungsquelle 110 emittierten Wellenlängen einstellt. In einem Beispiel ist die Beleuchtungsquelle 110 eine LPP-Lichtquelle, und die LPP-Lichtquelle passt Pulsdauer und/oder Pulsfrequenz und/oder Zielmaterialzusammensetzung an, um einen gewünschten Spektralbereich von Wellenlängen zu realisieren, die von der LPP-Lichtquelle emittiert werden.In some embodiments, illumination beam energies are selected with an illumination source 110 that is tunable over a wide range of energies. In these embodiments, the illumination source itself emits illumination energies in the desired area(s). In some embodiments, illumination source 110 is an LPP light source that is controlled by computer system 130 to maximize flux in one or more selected spectral ranges. The laser peak intensity at the target material controls the plasma temperature and thus the spectral range of the emitted radiation. Laser peak intensity is varied by adjusting pulse energy, pulse width, or both. In one example, a pulse width of 100 picoseconds is suitable for generating SXR radiation. As in 1 As shown, computer system 130 transmits command signals 136 to illumination source 110 that cause illumination source 110 to adjust the spectral range of the wavelengths emitted by illumination source 110 . In one example, the illumination source 110 is an LPP light source, and the LPP light source adjusts pulse duration and/or pulse frequency and/or target material composition to realize a desired spectral range of wavelengths emitted by the LPP light source.

In einigen anderen Ausführungsformen werden Beleuchtungsstrahlenergien durch ein oder mehrere optische Elemente im Strahlengang zwischen der Beleuchtungsquelle und der zu messenden Probe ausgewählt. In einigen Ausführungsformen wird die Fokussieroptik 111 ausgewählt, um den Beleuchtungsstrahl in dem/den gewünschten Strahlenergiebereich(en) auf die zu messende Probe zu fokussieren. In einigen Ausführungsformen befindet sich ein Strahlenergiefilter 150 (in 7 dargestellt) im Strahlengang zwischen der Beleuchtungsquelle und der zu messenden Probe. Der Strahlenergiefilter überträgt den/die gewünschten Strahlenergiebereich(e) auf die zu messende Probe und absorbiert Strahlenergien außerhalb des/der gewünschten Strahlenergiebereiche(s).In some other embodiments, illumination beam energies are selected by one or more optical elements in the optical path between the illumination source and the sample to be measured. In some embodiments, the focusing optics 111 are selected to focus the illumination beam onto the sample to be measured in the desired beam energy range(s). In some embodiments, a beam energy filter 150 (in 7 shown) in the beam path between the illumination source and the sample to be measured. The beam energy filter transmits the desired beam energy range(s) to the sample to be measured and absorbs beam energies outside of the desired beam energy range(s).

8 zeigt eine Darstellung eines Beleuchtungsstrahls 114, der in einem Beispiel durch den Strahlenergiefilter 150 hindurchgeht. Wie in 8 gezeigt, enthält das Wellenlängenspektrum 152 des ankommenden Beleuchtungsstrahls 114 eine große Anzahl von Wellenlängenpeaks. Nach dem Durchlaufen des Strahlenergiefilters 150 enthält das Wellenlängenspektrum 153 des durchgelassenen Beleuchtungsstrahls 114 jedoch vier unterschiedliche Wellenlängenpeaks. 8th 12 shows a representation of an illumination beam 114 passing through the beam energy filter 150 in one example. As in 8th As shown, the wavelength spectrum 152 of the incoming illumination beam 114 contains a large number of wavelength peaks. However, after passing through the beam energy filter 150, the wavelength spectrum 153 of the transmitted illumination beam 114 contains four distinct wavelength peaks.

9 zeigt ein Diagramm 155, das die Transmissionskurve 156 eines Strahlenergiefilters 150 darstellt, der aus Bor- und Zinnschichten von ungefähr 0,2 Mikrometer Dicke hergestellt ist, die auf einem Kapton-Substrat abgeschieden sind. Wie in 9 gezeigt, lässt ein solcher Strahlenergiefilter Strahlenergien in einem Bereich von ungefähr 140 eV bis 190 eV durch und blockt Strahlenergien außerhalb dieses Bandes ab. 9 15 is a graph 155 showing the transmission curve 156 of a beam energy filter 150 made from layers of boron and tin about 0.2 microns thick deposited on a Kapton substrate. As in 9 As shown, such a beam energy filter transmits beam energies in a range of approximately 140 eV to 190 eV and blocks beam energies outside of this band.

In einem weiteren Aspekt wird der einfallende Beleuchtungsstrahl eines WR-SXR-Metrologiesystems so gesteuert, dass er eine relativ hohe Divergenz in einer Richtung quer zum einfallenden Beleuchtungsstrahl und eine relativ geringe Divergenz in einer zweiten Richtung quer zum einfallenden Beleuchtungsstrahl, orthogonal zur ersten Richtung, hat. Auf diese Weise ist die räumliche Spreizung über die aktive Oberfläche des Detektors in der Richtung hoher Divergenz orthogonal zu der räumlichen Spreizung über die aktive Oberfläche des Detektors in der Richtung geringer Divergenz. Die räumliche Spreizung über die aktive Oberfläche des Detektors in der Richtung hoher Divergenz wird aufgrund der relativ hohen Divergenz vom Einfallswinkel dominiert. Dagegen wird die räumliche Spreizung über die aktive Oberfläche des Detektors in der Richtung geringer Divergenz von der Wellenlängendispersion innerhalb jeder Beugungsordnung dominiert, wie oben beschrieben. Auf diese Weise enthält jede von null verschiedene Beugungsordnung Signalinformationen über die zu messende Struktur aufgrund des spektralen Reflexionsvermögens (in der Richtung geringer Divergenz) und des Winkelreflexionsvermögens (in Richtung hoher Divergenz).In another aspect, the incident illumination beam of a WR-SXR metrology system is controlled to have a relatively high divergence in a direction transverse to the incident illumination beam and a relatively low divergence in a second direction transverse to the incident illumination beam, orthogonal to the first direction . In this way, the spatial spread across the active surface of the detector in the high divergence direction is orthogonal to the spatial spread across the active surface of the detector in the low divergence direction. The spatial spread over the active surface of the detector in the direction of high divergence is dominated by the angle of incidence due to the relatively high divergence. In contrast, the spatial spread across the active surface of the detector in the direction of low divergence is dominated by the wavelength dispersion within each diffraction order, as described above. In this way, each non-zero diffraction order contains signal information about the structure to be measured due to spectral reflectance (in the direction of low divergence) and angular reflectance (in the direction of high divergence).

In einigen Ausführungsformen projiziert die Fokussieroptik eines WR-SXR-Systems ein Bild der Beleuchtungsquelle auf die zu messende Probe, mit einer Verkleinerung von mindestens fünf (d.h. einem Vergrößerungsfaktor von 0,2 oder weniger) in einer Richtung quer zum einfallenden Beleuchtungsstrahl und keiner Vergrößerung in einer zweiten Richtung quer zum einfallenden Beleuchtungsstrahl orthogonal zur ersten Richtung. In einigen Ausführungsformen verwendet ein WR-SXR-System, wie hierin beschrieben, eine SXR-Beleuchtungsquelle mit einer Quellenfläche, die durch eine seitliche Abmessung von 20 Mikrometer oder weniger gekennzeichnet ist (d.h. die Quellengröße beträgt 20 Mikrometer oder weniger), mit einer geringen Ausgangsdivergenz (z. B. ungefähr 1 Milliradiant oder weniger) in einer beliebigen Richtung quer zum Beleuchtungsstrahl. In einigen Ausführungsformen wird eine Fokussieroptik verwendet mit einem Verkleinerungsfaktor von mindestens fünf (d.h projiziert ein Bild der Quelle auf den Wafer, das fünfmal kleiner ist als die Größe der Quelle) in einer ersten Richtung quer zum Strahl und ohne Vergrößerung in einer zweiten Richtung quer zum einfallenden Beleuchtungsstrahl orthogonal zur ersten Richtung. In diesem Beispiel wird der einfallende Beleuchtungsstrahl auf eine Probe projiziert mit einer Fleckgröße der einfallenden Beleuchtung von zwanzig Mikrometer oder weniger in der zweiten Richtung und vier Mikrometer oder weniger in der ersten Richtung. Außerdem wird der einfallende Beleuchtungsstrahl auf die Probe mit einer Divergenz des einfallenden Beleuchtungsstrahls von 1 Milliradiant oder weniger in der zweiten Richtung und 5 Milliradiant oder weniger in der ersten Richtung projiziert.In some embodiments, the focusing optics of a WR-SXR system projects an image of the illumination source onto the sample to be measured, with a reduction of at least five (i.e. a magnification factor of 0.2 or less) in a direction transverse to the incident illumination beam and no magnification in a second direction transverse to the incident illumination beam orthogonal to the first direction. In some embodiments, a WR-SXR system as described herein uses an SXR illumination source having a source area defined by a lateral dimension of 20 microns or less (ie, the source size is 20 microns or less), with a low output divergence (e.g., about 1 milliradian or less) in any direction across the illumination beam. In some embodiments, focusing optics are used with a reduction factor of at least five (i.e., projects an image of the source onto the wafer that is five times smaller than the size of the source) in a first direction across the beam and no magnification in a second direction across the incident illumination beam orthogonal to the first direction. In this example, the incident illumination beam is projected onto a sample with an incident illumination spot size of twenty microns or less in the second direction and four microns or less in the first direction. In addition, the incident illumination beam is projected onto the sample with an incident illumination beam divergence of 1 milliradian or less in the second direction and 5 milliradian or less in the first direction.

10 veranschaulicht eine Ausführungsform eines WR-SXR-Metrologiewerkzeugs 300 in einer anderen Ausführungsform. Gleich nummerierte Elemente, die in 10 gezeigt sind, sind analog zu denen, die unter Bezugnahme auf die 1 und 7 beschrieben wurden. Wie in 10 gezeigt, ist die Fokussieroptik 111 ein elliptisches optisches Element mit einer Krümmung in einer Dimension. Die Fokussieroptik 111 ist in Bezug auf die Beleuchtungsquelle 110 und die Probe 101 so angeordnet, dass der Abstand A zwischen der Beleuchtungsquelle 110 und der Fokussieroptik 111 deutlich größer ist als der Abstand B zwischen der Fokussieroptik 111 und der Probe 101. In einigen Ausführungsformen beträgt das Verhältnis von A/B mindestens fünf. In einigen Ausführungsformen beträgt das Verhältnis von A/B mindestens zehn. Dies führt zu einer Verkleinerung der Beleuchtungsquelle auf die Probe 101 um den Faktor A/B. In einer Ausführungsform beträgt die Größe der Beleuchtungsquelle 110 ungefähr 10 Mikrometer und die Fokussieroptik 111 ist so angeordnet, dass A/B zehn beträgt. Die Strahldivergenz des von der Beleuchtungsquelle 110 ausgehenden Beleuchtungsstrahls beträgt ungefähr 0,5 Milliradiant in jeder Richtung quer zum Beleuchtungsstrahl. In dieser Ausführungsform beträgt die auf die Probe 101 projizierte Beleuchtungsfleckgröße ungefähr 1 Mikrometer in der Richtung quer zum Strahl, die einer Verkleinerung unterliegt, und ungefähr 10 Mikrometer in einer zweiten Richtung quer zum Strahl und orthogonal zur ersten Richtung, die keiner Verkleinerung unterliegt. Außerdem wird der einfallende Beleuchtungsstrahl mit einer Divergenz des einfallenden Beleuchtungsstrahls von ungefähr 0,5 Milliradiant in der zweiten Richtung und 5 Milliradiant in der ersten Richtung auf die Probe projiziert. 10 FIG. 3 illustrates an embodiment of a WR-SXR metrology tool 300 in another embodiment. Equally numbered items that appear in 10 are analogous to those shown with reference to FIG 1 and 7 have been described. As in 10 As shown, the focusing optic 111 is an elliptical optical element with a curvature in one dimension. The focusing optics 111 is arranged with respect to the illumination source 110 and the sample 101 such that the distance A between the illumination source 110 and the focusing optics 111 is significantly greater than the distance B between the focusing optics 111 and the sample 101. In some embodiments, this is Ratio of A/B at least five. In some embodiments, the ratio of A/B is at least ten. This leads to a reduction of the illumination source on the sample 101 by the factor A/B. In one embodiment, the size of the illumination source 110 is approximately 10 microns and the focusing optics 111 are arranged such that A/B is ten. The beam divergence of the illumination beam emanating from illumination source 110 is approximately 0.5 milliradians in each direction across the illumination beam. In this embodiment, the illumination spot size projected onto the sample 101 is about 1 micron in the cross-beam direction, which is subject to demagnification, and about 10 microns in a second cross-beam direction and orthogonal to the first direction, which is not subject to demagnification. In addition, the incident illuminating beam is projected onto the sample with an incident illuminating beam divergence of approximately 0.5 milliradians in the second direction and 5 milliradians in the first direction.

11 zeigt eine Darstellung 141 von Streustrahlung 118, die auf die aktive Oberfläche des Detektors 119 einfällt, gemessen unter den Beleuchtungsbedingungen, die in 10 dargestellt sind, für Beleuchtungslicht mit einer einzigen Wellenlänge. Genauer zeigt 11 den reflektierten Strahl 118A der 0-ten Ordnung, gebeugte Strahlung 118C entsprechend der Beugungsordnung -1, gebeugte Strahlung 118E entsprechend der Beugungsordnung -2, gebeugte Strahlung 118G entsprechend der Beugungsordnung -3 und gebeugte Strahlung 1181 entsprechend der Beugungsordnung -4. Wie in 11 gezeigt, wird die einzelne Wellenlänge, die in dem Beleuchtungsstrahl vorhanden ist, vom periodischen Ziel 102 in jede der Beugungsordnungen gebeugt. Es gibt eine sehr geringe räumliche Spreizung in Wellenlängenrichtung in den Beugungsordnungen ungleich null, da das Beleuchtungslicht nur eine Wellenlänge enthält und die Strahldivergenz in dieser Richtung relativ gering ist (z. B. 0,5 Milliradiant). In der Richtung orthogonal zur Wellenlängenrichtung gibt es jedoch eine signifikante räumliche Spreizung über den Winkelbereich in allen Beugungsordnungen, da die Strahldivergenz in dieser Richtung relativ hoch ist (z. B. 5 Milliradiant). 11 Figure 14 shows a plot 141 of scattered radiation 118 incident on the active surface of detector 119, measured under the illumination conditions described in 10 are shown for single wavelength illuminating light. More precisely shows 11 the reflected beam 118A of the 0th order, diffracted radiation 118C corresponding to the -1 diffraction order, diffracted radiation 118E corresponding to the -2 diffraction order, diffracted radiation 118G corresponding to the -3 diffraction order and diffracted radiation 1181 corresponding to the -4 diffraction order. As in 11 As shown, the single wavelength present in the illuminating beam is diffracted by the periodic target 102 into each of the diffraction orders. There is very little spatial spread in the wavelength direction in the non-zero diffraction orders because the illuminating light contains only one wavelength and the beam divergence in that direction is relatively small (e.g., 0.5 milliradians). However, in the direction orthogonal to the wavelength direction, there is significant spatial spread over the angular range in all diffraction orders since the beam divergence in this direction is relatively high (e.g. 5 milliradians).

12 zeigt eine Darstellung 142 von Streustrahlung 118, die auf die aktive Oberfläche des Detektors 119 einfällt, gemessen unter den in 10 dargestellten Beleuchtungsbedingungen, für breitbandiges Beleuchtungslicht. Genauer zeigt 12 den reflektierten Strahl 118A der 0-ten Ordnung, gebeugte Strahlung 118C entsprechend der Beugungsordnung -1, gebeugte Strahlung 118E entsprechend der Beugungsordnung -2, gebeugte Strahlung 118G entsprechend der Beugungsordnung -3 und gebeugte Strahlung 1181 entsprechend der Beugungsordnung -4. Wie in 12 gezeigt, werden die unterschiedlichen Wellenlängen, die in dem Beleuchtungsstrahl vorhanden sind, von dem periodischen Ziel 102 unter unterschiedlichen Winkeln innerhalb jeder der Beugungsordnungen ungleich null gebeugt. Somit ist der im Beleuchtungsstrahl 114 vorhandene Wellenlängenbereich λBEREICH für jede der von null verschiedenen Beugungsordnungen räumlich in der y-Richtung gespreizt. Es gibt eine beträchtliche räumliche Spreizung in Wellenlängenrichtung in den Beugungsordnungen ungleich null, weil das Beleuchtungslicht mehrere unterschiedliche Wellenlängen enthält. Außerdem ist die Strahldivergenz in dieser Richtung relativ gering (z. B. 0,5 Milliradiant), daher wird die in der y-Richtung vorhandene Wellenlängeninformation nicht wesentlich durch Winkelinformation beeinträchtigt. In der x-Richtung, orthogonal zur Wellenlängenrichtung, gibt es jedoch eine signifikante räumliche Spreizung über den Winkelbereich in allen Beugungsordnungen, da die Strahldivergenz in dieser Richtung relativ hoch ist (z. B. 5 Milliradiant). Somit können Messungen bei mehreren Wellenlängen und mit relativ hoher Strahldivergenz quer zum Strahl in einer Richtung und mit relativ niedriger Strahldivergenz in einer zweiten Richtung quer zum Strahl orthogonal zur ersten Richtung sowohl Wellenlängen- als auch Winkelsignalinformationen liefern, die mit dem zu messenden Ziel verbunden sind. 12 shows a plot 142 of scattered radiation 118 incident on the active surface of the detector 119, measured under the in 10 illustrated lighting conditions, for broadband illumination light. More precisely shows 12 the reflected beam 118A of the 0th order, diffracted radiation 118C corresponding to the -1 diffraction order, diffracted radiation 118E corresponding to the -2 diffraction order, diffracted radiation 118G corresponding to the -3 diffraction order and diffracted radiation 1181 corresponding to the -4 diffraction order. As in 12 As shown, the different wavelengths present in the illumination beam diffract from the periodic target 102 at different angles within each of the non-zero diffraction orders. Thus, the wavelength range λ RANGE present in the illumination beam 114 is spatially spread in the y-direction for each of the non-zero diffraction orders. There is considerable wavelength spatial spread in the non-zero diffraction orders because the illuminating light contains several different wavelengths. Also, the beam divergence in this direction is relatively small (e.g., 0.5 milliradians), so the wavelength information present in the y-direction is not significantly affected by angular information. However, in the x-direction, orthogonal to the wavelength direction, there is significant spatial spreading over the angular range in all diffraction orders, since the beam divergence in this direction is relatively high (e.g. 5 milliradians). Thus, measurements at multiple wavelengths and with relative high beam divergence across the beam in one direction and relatively low beam divergence in a second direction across the beam orthogonal to the first direction provide both wavelength and angular signal information associated with the target to be measured.

Die US-Patentveröffentlichung Nr. 2019/0017946 beschreibt ein polychromatisches Weichröntgenreflektometersystem, das im Allgemeinen eine einfallende Beleuchtung mit relativ hoher Divergenz verwendet, und wird hierin durch Bezugnahme vollständig aufgenommen. Jedoch liefern solche Systeme keine wellenlängenaufgelösten gebeugten Signale, wie hierin beschrieben.US Patent Publication No. 2019/0017946 describes a polychromatic soft x-ray reflectometer system that generally uses incident illumination with relatively high divergence and is incorporated herein by reference in its entirety. However, such systems do not provide wavelength-resolved diffracted signals as described herein.

Im Allgemeinen kann der Röntgenstrahl-Sammelpfad zwischen der zu messenden Probe und dem Detektor eines WR-SXR-Metrologiesystems jede Kombination von Elementen umfassen, die in dem Beleuchtungspfad verwendet werden, wie oben beschrieben.In general, the x-ray collection path between the sample to be measured and the detector of a WR-SXR metrology system can include any combination of elements used in the illumination path as described above.

In einigen Ausführungsformen werden Schlitze verwendet, die eine oder mehrere Beugungsordnungen blockieren. In einer Ausführungsform wird ein metallischer Strahlstopper verwendet, um die Reflexion nullter Ordnung zu blockieren.In some embodiments, slits are used that block one or more diffraction orders. In one embodiment, a metallic beam stopper is used to block the zero order reflection.

In einigen Ausführungsformen befindet sich ein räumlicher Dämpfer im Sammelpfad, um selektiv einen Teil des Lichts zu dämpfen, der einer oder mehreren Beugungsordnungen (z. B. der 0-ten Ordnung) aber nicht anderen Ordnungen zugeordnet ist. Auf diese Weise werden auf dem Detektor alle Ordnungen gleichzeitig im gleichen Dynamikbereich gemessen.In some embodiments, a spatial attenuator is located in the collection path to selectively attenuate a portion of the light associated with one or more diffractive orders (e.g., 0th order) but not other orders. In this way, all orders are measured simultaneously in the same dynamic range on the detector.

Im Allgemeinen ermöglichen die oben erwähnten optischen Elemente, die im Beleuchtungspfad, Sammelpfad oder in beiden angeordnet sind, eine unabhängige Steuerung der Zielgröße, des Photonenflusses und der Strahlform für jede Messung.In general, the aforementioned optical elements placed in the illumination path, collection path, or both allow independent control of target size, photon flux, and beam shape for each measurement.

In einem anderen weiteren Aspekt wird die Messleistung verbessert, indem man ein oder mehrere flüssige Materialien oder ein oder mehrere gasförmige Materialien auf das zu messende Ziel strömen lässt, um den Kontrast zwischen den gemessenen Materialien zu erhöhen. Eine weitere Beschreibung dieser Techniken wird in den US-Patenten Nr. 10,281,263 , 10,041,873 und 10,145,674 bereitgestellt, deren Inhalt hiermit in ihrer Gesamtheit durch Bezugnahme aufgenommen wird. In einigen Ausführungsformen stellt ein Dampfinjektionssystem während der Beleuchtung der Struktur einen Gasstrom zu der zu messenden Struktur bereit, der ein Füllmaterial in einer Dampfphase enthält. Ein Teil des Füllmaterials wird in flüssiger Phase auf die Struktur kondensiert. Der Teil des Füllmaterials füllt zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen der Struktur.In another further aspect, measurement performance is improved by flowing one or more liquid materials or one or more gaseous materials onto the target to be measured to increase the contrast between the measured materials. A further description of these techniques is given in U.S. Patent Nos. 10,281,263 , 10,041,873 and 10,145,674 provided, the contents of which are hereby incorporated by reference in their entirety. In some embodiments, a vapor injection system provides a flow of gas containing a fill material in a vapor phase to the structure to be measured during illumination of the structure. A portion of the fill material is condensed onto the structure in the liquid phase. The portion of fill material fills at least a portion of a space between one or more geometric features of the structure.

Im Allgemeinen umfasst das zu messende Ziel gemäß den hierin beschriebenen Systemen und Verfahren jede periodische oder halbperiodische Struktur in jedem Schritt eines Halbleiterherstellungsprozesses.In general, according to the systems and methods described herein, the target to be measured includes any periodic or semi-periodic structure in any step of a semiconductor manufacturing process.

In einigen Ausführungsformen wird ein WR-SXR-Metrologiesystem wie hierin beschrieben verwendet, um periodische Metrologieziele zu messen. Zum Beispiel zeigt 16A ein Metrologieziel 160 mit einer periodischen Gitterstruktur mit einer Teilung P. In einem anderen Aspekt wird jedoch ein WR-SXR-Metrologiesystem, wie hierin beschrieben, verwendet, um dezimierte Metrologieziele zu messen, die sowohl Periodizität als auch Aperiodizität aufweisen. Ein dezimiertes Metrologieziel ist ein Metrologieziel, das ein Array von nominell periodischen Einheitszellen umfasst, und eine oder mehrere Zellen des nominell periodischen Arrays werden an programmierten oder zufälligen Stellen innerhalb des Arrays gelöscht, verschoben oder strukturell modifiziert. Zum Beispiel zeigt 16B ein Metrologieziel 161 mit einer nominell periodischen Gitterstruktur der Teilung P. Darüber hinaus sind jedoch im Metrologieziel 161 bestimmte Rippen der Gitterstruktur nicht vorhanden. Als Ergebnis zeigt die Winkelverteilung der Streuung vom Metrologieziel 161 während der Messung sowohl periodische Eigenschaften, die zu lokalisierten Beugungspeaks führen, als auch aperiodisches Verhalten, auch bekannt als diffuse Streuung.In some embodiments, a WR-SXR metrology system as described herein is used to measure periodic metrology targets. For example shows 16A a metrology target 160 having a periodic grid structure with a pitch P. In another aspect, however, a WR-SXR metrology system as described herein is used to measure decimated metrology targets that exhibit both periodicity and aperiodicity. A decimated metrology target is a metrology target that includes an array of nominally periodic unit cells, and one or more cells of the nominally periodic array are deleted, shifted, or structurally modified at programmed or random locations within the array. For example shows 16B a metrology target 161 with a nominally periodic lattice structure of pitch P. However, beyond that, certain ribs of the lattice structure are not present in the metrology target 161. As a result, the angular distribution of scattering from the metrology target 161 during the measurement shows both periodic properties, resulting in localized diffraction peaks, and aperiodic behavior, also known as diffuse scattering.

Im Allgemeinen wird die Verteilung der diffusen Streuung zwischen Bragg-Peaks durch zufälliges oder programmiertes Löschen von Elementen eines nominell periodischen Einheitszellenarrays erhöht. Die Winkelverteilung der diffusen Intensität ist proportional zum Quadrat des Strukturfaktors. Wenn dezimierte Metrologieziele verwendet werden, nimmt die Intensität der Bragg-Peaks ab, aber mehr Pixel enthalten einen Informationsgehalt ungleich null, da das Streulicht über mehr Pixel verteilt wird. Da modellbasierte Messungen Informationen von allen Pixeln verwenden können, verbessert die Gesamterhöhung des Informationsgehalts der Messung die Messpräzision und -genauigkeit. Im Allgemeinen nimmt die Bragg-Peak-Intensität ab, wenn der Anteil der gelöschten Zellen zunimmt. Die gewünschte Dezimierung des Metrologieziels maximiert den Gesamtinformationsgehalt der Messung. In einigen Beispielen lösen dezimierte Metrologieziele eine Mehrdeutigkeit auf, die in Fällen auftritt, in denen der Strukturfaktor eines Metrologieziels an einer großen Teilmenge von Bragg-Peak-Positionen null ist (z. B. eine Rechteckwelle bei einem Tastgrad von 50 %). Ein WR-SXR-Metrologiesystem kann verwendet werden, um ein dezimiertes Metrologieziel in einem sequentiellen Betriebsmodus mit einer einzigen Wellenlänge oder in einem gleichzeitigen Betriebsmodus mit mehreren Wellenlängen zu messen.In general, the diffuse scattering distribution between Bragg peaks is increased by randomly or programmed erasing elements of a nominally periodic unit cell array. The angular distribution of the diffuse intensity is proportional to the square of the structure factor. When depleted metrology targets are used, the intensity of the Bragg peaks decreases, but more pixels contain non-zero information content as the scattered light is spread over more pixels. Because model-based measurements can use information from all pixels, the overall increase in the information content of the measurement improves measurement precision and accuracy. In general, the Bragg peak intensity decreases as the proportion of erased cells increases. The desired decimation of the metrology target maximizes the overall information content of the measurement. In some examples, decimated metrology targets resolve an ambiguity that arises in cases where the structure factor of a metrology target is zero at a large subset of Bragg peak locations (e.g., a square wave at a 50% duty cycle). A WR-SXR metrology system can be used to measure a depleted metrology target in a single wavelength sequential mode of operation or in a multiple wavelength simultaneous mode of operation.

In einigen Ausführungsformen umfasst ein Metrologieziel eine allgemeine oder spezifische Aperiodizität, die ausgewählt ist, um Signalinformationen zu maximieren und somit die Erfassungszeit zu minimieren. Designregeln für logische Standardzellenarrays platzieren primitive Elemente auf einem festen Raster und steuern die Musterdichte-Schwankungen in engen Grenzen. Die Metrologie logischer Strukturen konzentriert sich darauf, eine hochpräzise Schätzung der durchschnittlichen Merkmalsgröße und -trennung in einem lokalen Bereich zu erreichen. Belegung und Periodizität sind nicht von Interesse, da diese Aspekte im Lithographieprozess gut kontrolliert werden. Ein Metrologieziel für logische Strukturen optimiert die Intensitätsverteilung derart, dass der Streufluss in Winkelbereichen erhöht wird, die einen hohen Informationsgehalt bezüglich des gewünschten Merkmalsparametersatzes enthalten. In einem Beispiel umfasst ein Metrologieziel eine Grenzregion, um den Informationsgehalt der Beugung durch eine zentrale Region zu verbessern.In some embodiments, a metrology target includes a general or specific aperiodicity selected to maximize signal information and thus minimize acquisition time. Design rules for standard logic cell arrays place primitive elements on a fixed grid and tightly control pattern density variations. Logical structure metrology focuses on achieving a high-precision estimate of average feature size and separation in a local area. Occupancy and periodicity are not of interest as these aspects are well controlled in the lithography process. A metrology target for logical structures optimizes the intensity distribution in such a way that the leakage flux is increased in angular ranges that contain a high information content with regard to the desired feature parameter set. In one example, a metrology target includes a border region to enhance the information content of diffraction through a central region.

In einem anderen weiteren Aspekt verwendet ein WR-SXR-Metrologiesystem mehrere Detektoren. In einigen Ausführungsformen werden eine oder mehrere Beugungsordnungen von einem ersten Detektor gesammelt, und andere Beugungsordnungen werden von einem anderen Detektor gesammelt.In another further aspect, a WR-SXR metrology system uses multiple detectors. In some embodiments, one or more diffraction orders are collected by a first detector and other diffraction orders are collected by another detector.

14 zeigt ein WR-SXR-Metrologiesystem 400 in einer anderen Ausführungsform. Wie in 14 gezeigt, befindet sich die aktive Oberfläche des Detektors 170 im Strahlengang der Beugungsordnungen ungleich null, und die aktive Oberfläche des Detektors 171 befindet sich im Strahlengang der Beugungsordnungen null. In der in 14 gezeigten Ausführungsform beinhaltet der Detektor 170 eine Apertur, die transparent oder halbtransparent ist, oder eine Lücke, die die 0-te Beugungsordnung an den Detektor 171 durchlässt. Wie in 14 gezeigt, werden vom Detektor 170 erzeugte erfasste Signale 172 und vom Detektor 171 erzeugte erfasste Signale 173 an das Computersystem 130 übermittelt. 14 FIG. 4 shows a WR-SXR metrology system 400 in another embodiment. As in 14 As shown, the active surface of detector 170 is in the ray path of non-zero diffraction orders, and the active surface of detector 171 is in the ray path of zero diffraction orders. in the in 14 In the embodiment shown, detector 170 includes an aperture that is transparent or semi-transparent, or a gap that allows the 0th diffraction order to pass to detector 171 . As in 14 As shown, detected signals 172 generated by detector 170 and detected signals 173 generated by detector 171 are communicated to computer system 130 .

In einigen Ausführungsformen ist der Detektor 170 konfiguriert, um Röntgenwellenlängen zu messen, und der Detektor 171 ist konfiguriert, um Röntgenwellenlängen, Ultraviolettwellenlängen, sichtbare Wellenlängen, Infrarotwellenlängen oder eine beliebige Kombination davon zu messen. In der in 14 gezeigten Ausführungsform befindet sich der Detektor 171 innerhalb der Vakuumkammer 123. Diese Ausführungsform ist für Messkonfigurationen geeignet, die eine Erfassung von Strahlung durch den Detektor 171 beinhalten, einschließlich weicher Röntgenstrahlen. In einigen anderen Ausführungsformen befindet sich der Detektor 171 jedoch außerhalb der Vakuumkammer 123. Diese Ausführungsformen sind für Messkonfigurationen geeignet, die eine Detektion von Strahlung durch den Detektor 171 beinhalten, die keine weiche Röntgenstrahlung (z. B. längere Wellenlängen wie etwa Ultraviolett, sichtbar, Infrarot usw.) umfasst.In some embodiments, detector 170 is configured to measure x-ray wavelengths and detector 171 is configured to measure x-ray wavelengths, ultraviolet wavelengths, visible wavelengths, infrared wavelengths, or any combination thereof. in the in 14 In the embodiment shown, detector 171 is located within vacuum chamber 123. This embodiment is suitable for measurement configurations involving detection of radiation by detector 171, including soft X-rays. However, in some other embodiments, the detector 171 is located outside of the vacuum chamber 123. These embodiments are suitable for measurement configurations involving detection by the detector 171 of radiation other than soft X-rays (e.g., longer wavelengths such as ultraviolet, visible, infrared, etc.).

In einem weiteren Aspekt wird die Position eines Detektors eines WR-SXR-Metrologiesystems aktiv gesteuert, um von dem zu messenden Ziel reflektierte Strahlung einzufangen. In einigen Ausführungsformen übermittelt das Computersystem 130 Steuerbefehle (nicht gezeigt) an Aktoren (nicht gezeigt), die mit dem Detektor 119 gekoppelt sind, die bewirken, dass die aktive Oberfläche des Detektors 119 in Bezug auf das gesammelte Licht geneigt oder verschoben wird.In another aspect, the position of a detector of a WR-SXR metrology system is actively controlled to capture radiation reflected from the target to be measured. In some embodiments, computer system 130 transmits control commands (not shown) to actuators (not shown) coupled to detector 119 that cause the active surface of detector 119 to be tilted or translated with respect to the light collected.

In einigen Ausführungsformen ermöglicht WR-SXR Messungen an Designregel-Zielen, da die Beleuchtungswellenlänge(n) kürzer sind als die Periode der gemessenen Strukturen. Dies bietet einen erheblichen Vorteil gegenüber der bestehenden Technologie, bei der Messungen an Zielen durchgeführt werden, die größer als die Designregel-Ziele sind. Die Verwendung von WR-SXR-Wellenlängen ermöglicht das Zieldesign bei Prozessdesignregeln, d. h. keine „Nicht-Null-Offsets“.In some embodiments, WR-SXR enables measurements on design rule targets since the illumination wavelength(s) are shorter than the period of the structures being measured. This offers a significant advantage over existing technology where measurements are made on targets larger than the design rule targets. Using WR-SXR wavelengths enables target design in process design rules, i. H. no "non-zero offsets".

Ein Metrologieziel für WR-SXR-Messungen kann eindimensionale periodische Arrays oder zweidimensionale periodische Arrays umfassen. Interessierende Parameter, die von WR-SXR gemessen werden, umfassen, sind aber nicht beschränkt auf, Abmessungsinformationen über eine Probe (z. B. Schichtdicken, Gitterhöhen, kritische Abmessungen, Seitenwandwinkel, Overlay, Ätzplatzierungsfehler) und Materialinformationen (z. B. Materialzusammensetzung).A metrology target for WR-SXR measurements can include one-dimensional periodic arrays or two-dimensional periodic arrays. Parameters of interest measured by WR-SXR include, but are not limited to, dimensional information about a sample (e.g. layer thicknesses, lattice heights, critical dimensions, sidewall angles, overlay, etch placement errors) and material information (e.g. material composition) .

In einigen Ausführungsformen ist die Beleuchtungsquelle 110 eine LPP-Lichtquelle mit einer Quellengröße von 10 Mikrometer oder weniger, und die Fokussieroptik 111 weist einen Verkleinerungsfaktor von ungefähr 10 auf. Dies ermöglicht es dem WR-SXR-Metrologiewerkzeug 300, Beleuchtungslicht auf ein Metrologieziel mit Abmessungen von 1-2 Mikrometern zu fokussieren. Durch Fokussieren des einfallenden Beleuchtungslichts auf eine Beleuchtungsfleckgröße von 1-2 Mikrometern ermöglicht das WR-SXR-Metrologiewerkzeug 300 die Messung von Zielen mit kritischen Abmessungen und Overlayzielen, die sich im Die befinden, anstatt sich auf größere Metrologieziele in den Waferritzlinienbereichen zu verlassen.In some embodiments, the illumination source 110 is an LPP light source with a source size of 10 microns or less, and the focusing optics 111 have a demagnification factor of about 10 up. This allows the WR-SXR metrology tool 300 to focus illuminating light on a metrology target with dimensions of 1-2 microns. By focusing the incident illumination light to an illumination spot size of 1-2 microns, the WR-SXR metrology tool 300 allows measurement of critical dimension targets and overlay targets located in the die rather than relying on larger metrology targets in the wafer scribe line areas.

Die Möglichkeit, Ziele mit Abmessungen von 1-2 Mikrometern zu messen, reduziert die Waferfläche, die für spezialisierte Metrologieziele bestimmt ist. Darüber hinaus ermöglicht die Möglichkeit, Ziele mit Abmessungen von 1-2 Mikrometern zu messen, die direkte Messung von Bauelementstrukturen anstelle von speziellen Metrologiezielen. Die direkte Messung von Bauelementstrukturen eliminiert den Zielzu-Bauelement-Fehler. Dadurch wird die Messqualität deutlich verbessert. Darüber hinaus ermöglichen Messungen von In-Die-Zielen die Charakterisierung der Parametervariation innerhalb des Die. Beispielhafte interessierende Parameter umfassen kritische Abmessungen, Overlay und Kantenplatzierungsfehler, wie etwa Endlinienverkürzung, Linie-zu-Kontakt-Abstand usw.The ability to measure targets as small as 1-2 microns reduces the wafer area dedicated to specialized metrology targets. In addition, the ability to measure targets as small as 1-2 microns allows direct measurement of device structures instead of dedicated metrology targets. Direct measurement of device structures eliminates target-to-device error. This significantly improves the measurement quality. In addition, measurements of in-die targets allow characterization of the parameter variation within the die. Example parameters of interest include critical dimensions, overlay, and edge placement errors such as endline shortening, line-to-contact spacing, etc.

In einigen Ausführungsformen werden die Röntgenbeleuchtungsquelle 110, die Fokussieroptik 111, die Schlitze 112 und 113 oder eine beliebige Kombination davon in derselben atmosphärischen Umgebung (z. B. Gasspülumgebung) wie die Probe 101 gehalten. In einigen Ausführungsformen ist jedoch die optische Weglänge zwischen diesen Elementen und innerhalb eines dieser Elemente lang, und Röntgenstreuung und -absorption in Luft tragen zum Rauschen und zur Signaldämpfung des Bildes auf dem Detektor bei. Daher werden in einigen Ausführungsformen die Röntgenbeleuchtungsquelle 110, und/oder die Fokussieroptik 111 und/oder die Schlitze 112 und 113 in einer lokalisierten Vakuumumgebung gehalten. In der in 7 gezeigten Ausführungsform werden die Beleuchtungsquelle 110, die Fokussieroptik 111 und die Schlitze 112 und 113 in einer kontrollierten Umgebung (z. B. Vakuum) innerhalb eines evakuierten Flugrohrs 117 gehalten. Der Beleuchtungsstrahl 114 tritt durch das Fenster 120 am Ende des Flugrohrs 117 hindurch, bevor er auf die Probe 101 trifft.In some embodiments, X-ray illumination source 110, focusing optics 111, slits 112 and 113, or any combination thereof are maintained in the same atmospheric environment (e.g., gas purge environment) as sample 101. However, in some embodiments, the optical path length between and within any of these elements is long, and x-ray scattering and absorption in air contribute to the noise and signal attenuation of the image on the detector. Therefore, in some embodiments, x-ray illumination source 110, and/or focusing optics 111, and/or slits 112 and 113 are maintained in a localized vacuum environment. in the in 7 In the embodiment shown, illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a controlled environment (e.g., vacuum) within evacuated flight tube 117. Illumination beam 114 passes through window 120 at the end of flight tube 117 before striking sample 101 .

In ähnlicher Weise ist in einigen Ausführungsformen die optische Weglänge zwischen der Probe 101 und dem Detektor 119 (d. h. der Sammelstrahlengang) lang und die Röntgenstreuung und -absorption in Luft trägt zu Rauschen und Dämpfung des Bildes auf dem Detektor bei. Daher wird in bevorzugten Ausführungsformen ein erheblicher Teil der Länge des Sammelstrahlengangs zwischen der Probe 101 und dem Detektor 119 in einer lokalisierten Vakuumumgebung gehalten, die von der Probe (z. B. Probe 101) durch ein Vakuumfenster (z. B. Vakuumfenster 124) getrennt ist. In einigen Ausführungsformen wird der Röntgendetektor 119 in derselben lokalisierten Vakuumumgebung gehalten wie der Strahlengangabschnitt zwischen der Probe 101 und dem Detektor 119. Wie beispielsweise in 7 gezeigt, hält die Vakuumkammer 123 eine lokalisierte Vakuumumgebung aufrecht, die den Detektor 119 und einen signifikanten Teil des Strahlengangabschnitts zwischen der Probe 101 und dem Detektor 119 umgibt.Similarly, in some embodiments, the optical path length between sample 101 and detector 119 (ie, the collection path) is long and x-ray scattering and absorption in air contributes to noise and attenuation of the image on the detector. Therefore, in preferred embodiments, a significant portion of the length of the collection beam path between sample 101 and detector 119 is maintained in a localized vacuum environment separated from the sample (e.g., sample 101) by a vacuum window (e.g., vacuum window 124). is. In some embodiments, x-ray detector 119 is maintained in the same localized vacuum environment as the optical path portion between sample 101 and detector 119. For example, as shown in FIG 7 As shown, the vacuum chamber 123 maintains a localized vacuum environment surrounding the detector 119 and a significant portion of the optical path portion between the sample 101 and the detector 119.

In einigen anderen Ausführungsformen wird der Röntgendetektor 119 in derselben atmosphärischen Umgebung (z. B. Gasspülumgebung) wie die Probe 101 gehalten. Dies kann vorteilhaft sein, um Wärme vom Detektor 119 abzuführen. Allerdings ist es in diesen Ausführungsformen vorzuziehen, einen signifikanten Teil des Strahlengangabschnitts zwischen der Probe 101 und dem Detektor 119 in einer lokalisierten Vakuumumgebung innerhalb einer Vakuumkammer zu halten.In some other embodiments, x-ray detector 119 is maintained in the same atmospheric environment (e.g., gas purge environment) as sample 101 . This can be beneficial to remove heat from the detector 119. However, in these embodiments, it is preferable to maintain a significant portion of the optical path portion between the sample 101 and the detector 119 in a localized vacuum environment within a vacuum chamber.

In einigen Ausführungsformen wird das gesamte optische System, einschließlich der Probe 101, im Vakuum gehalten. Im Allgemeinen sind jedoch die Kosten im Zusammenhang mit dem Halten der Probe 101 im Vakuum aufgrund der Komplexität, die mit der Konstruktion des Probenpositionierungssystems 140 verbunden ist, hoch.In some embodiments, the entire optical system, including the sample 101, is kept under vacuum. In general, however, the cost associated with maintaining the sample 101 in vacuum is high due to the complexity associated with the design of the sample positioning system 140.

In einem anderen weiteren Aspekt ist das Computersystem 130 dazu konfiguriert, ein Strukturmodell (z. B. ein geometrisches Modell, ein Materialmodell oder ein kombiniertes Geometrie- und Materialmodell) einer gemessenen Struktur einer Probe zu generieren, ein WR-SXR-Antwortmodell zu generieren, das mindestens einen geometrischen Parameter aus dem Strukturmodell beinhaltet, und nach mindestens einem Probenparameterwert zu lösen durch Ausführen einer Anpassungsanalyse von WR-SXR-Messdaten mit dem WR-SXR-Antwortmodell. Die Analyse-Maschine dient zum Vergleich der simulierten WR-SXR-Signale mit Messdaten und ermöglicht so die Bestimmung von geometrischen sowie Materialeigenschaften wie der Elektronendichte der Probe. In der in 1 gezeigten Ausführungsform ist das Computersystem 130 als eine Modellerstellungs- und Analyse-Maschine konfiguriert, die konfiguriert ist, um die hierin beschriebene Modellerstellungs- und Analysefunktionalität zu implementieren.In another further aspect, the computer system 130 is configured to generate a structural model (e.g., a geometric model, a material model, or a combined geometry and material model) of a measured structure of a sample, generate a WR-SXR response model, including at least one geometric parameter from the structural model, and to be solved for at least one sample parameter value by performing a fitting analysis of WR-SXR measurement data with the WR-SXR response model. The analysis machine is used to compare the simulated WR-SXR signals with measurement data and thus enables the determination of geometric and material properties such as the electron density of the sample. in the in 1 In the embodiment shown, computer system 130 is configured as a model building and analysis engine configured to implement the model building and analysis functionality described herein.

15 ist ein Diagramm, das eine beispielhafte Modellerstellungs- und Analyse-Maschine 180 veranschaulicht, die durch das Computersystem 130 implementiert wird. Wie in 15 gezeigt, enthält die Modellerstellungs- und Analyse-Maschine 180 ein Strukturmodellerstellungsmodul 181, das ein Strukturmodell 182 einer gemessenen Struktur einer Probe erzeugt. In einigen Ausführungsformen umfasst das Strukturmodell 182 auch Materialeigenschaften der Probe. Das Strukturmodell 182 wird als Eingabe in das WR-SXR-Antwortfunktionsbildungsmodul 183 empfangen. Das WR-SXR-Antwortfunktionsbildungsmodul 183 generiert ein WR-SXR-Antwortfunktionsmodell 184, zumindest teilweise auf Grundlage des Strukturmodells 182. Das Anpassungsanalysemodul 185 vergleicht die modellierte WR-SXR-Antwort mit den entsprechenden gemessenen Daten, um sowohl geometrische als auch Materialeigenschaften der Probe zu bestimmen. 15 12 is a diagram illustrating an example model building and analysis engine 180 implemented by computer system 130. FIG. As in 15 As shown, the modeling and analysis engine 180 includes a structural modeling module 181 that generates a structural model 182 of a measured structure of a sample. In some embodiments, the structural model 182 also includes material properties of the sample. The structure model 182 is received as input to the WR-SXR response function building module 183 . The WR-SXR response function formation module 183 generates a WR-SXR response function model 184 based at least in part on the structural model 182. The fit analysis module 185 compares the modeled WR-SXR response to the corresponding measured data to determine both geometric and material properties of the sample determine.

In einigen Beispielen wird die Anpassung von modellierten Daten an experimentelle Daten durch Minimieren eines Chi-Quadrat-Werts erreicht. Beispielsweise kann für WR-SXR-Messungen ein Chi-Quadrat-Wert als χ S X R 2 = 1 N S X R j N S X R ( S j S X R m o d e l ( v 1 , , v L ) S j S X R e x p e r i m e n t ) 2 σ S X R , j 2

Figure DE112020004109T5_0002
definiert werden.In some examples, fitting modeled data to experimental data is accomplished by minimizing a chi-square value. For example, for WR-SXR measurements, a chi-square value can be used as χ S X R 2 = 1 N S X R j N S X R ( S j S X R m O i.e e l ( v 1 , ... , v L ) S j S X R e x p e right i m e n t ) 2 σ S X R , j 2
Figure DE112020004109T5_0002
To be defined.

Wobei S j S X R m o d e l e x p e r i m e n t

Figure DE112020004109T5_0003
die gemessenen WR-SXR-Signale 126 im „Kanal“ j bedeutet, wobei der Index j einen Satz von Systemparametern wie Beugungsordnung, Energie, Winkelkoordinate usw. beschreibt. S j S X R m o d e l ( v 1 , , v L )
Figure DE112020004109T5_0004
bedeutet das modellierte WR-SXR-Signal Sj für den „Kanal“ j, ausgewertet für einen Satz von Struktur-(Ziel-)Parametern (v1, ... , vL), wobei diese Parameter Geometrie (CD, Seitenwandwinkel, Overlay usw.) und Material (Elektronendichte usw.) beschreiben. σ S X R , j 2
Figure DE112020004109T5_0005
ist die Unsicherheit, die dem j-ten Kanal zugeordnet ist. NSXR ist die Gesamtzahl der Kanäle in der Röntgenmetrologie. L ist die Anzahl der Parameter, die das Metrologieziel charakterisieren.Whereby S j S X R m O i.e e l e x p e right i m e n t
Figure DE112020004109T5_0003
means the measured WR-SXR signals 126 in "channel" j, where the subscript j describes a set of system parameters such as diffraction order, energy, angular coordinate, etc. S j S X R m O i.e e l ( v 1 , ... , v L )
Figure DE112020004109T5_0004
means the modeled WR-SXR signal S j for the “channel” j evaluated for a set of structural (target) parameters (v 1 , ... , v L ), where these parameters are geometry (CD, sidewall angle, overlay, etc.) and material (electron density, etc.). σ S X R , j 2
Figure DE112020004109T5_0005
is the uncertainty associated with the jth channel. N SXR is the total number of channels in X-ray metrology. L is the number of parameters characterizing the metrology target.

Gleichung (2) geht davon aus, dass die mit verschiedenen Kanälen verbundenen Unsicherheiten unkorreliert sind. In Beispielen, in denen die mit den verschiedenen Kanälen verbundenen Unsicherheiten korreliert sind, kann eine Kovarianz zwischen den Unsicherheiten berechnet werden. In diesen Beispielen kann ein Chi-Quadrat-Wert für WR-SXR-Messungen als χ S X R 2 X = 1 N S X R ( S j S X R m o d e l ( v 1 , , v M ) S j S X R e x p e r i m e n t ) T V S X R 1 ( S j S X R m o d e l ( v 1 , , v M ) S j S X R e x p e r i m e n t )

Figure DE112020004109T5_0006
ausgedrückt werden, wobei VSXR die Kovarianzmatrix der WR-SXR-Kanalunsicherheiten ist und T die Transponierung bezeichnet.Equation (2) assumes that the uncertainties associated with different channels are uncorrelated. In examples where the uncertainties associated with the different channels are correlated, a covariance between the uncertainties can be calculated. In these examples, a chi-square value for WR-SXR measurements can be used as χ S X R 2 X = 1 N S X R ( S j S X R m O i.e e l ( v 1 , ... , v M ) S j S X R e x p e right i m e n t ) T V S X R 1 ( S j S X R m O i.e e l ( v 1 , ... , v M ) S j S X R e x p e right i m e n t )
Figure DE112020004109T5_0006
where V SXR is the covariance matrix of the WR SXR channel uncertainties and T denotes the transpose.

In einigen Beispielen löst das Anpassungsanalysemodul 185 nach mindestens einem Probenparameterwert auf, indem es eine Anpassungsanalyse an WR-SXR-Messdaten 135 mit dem WR-SXR-Antwortmodell 184 durchführt. In einigen Beispielen ist X S R X 2

Figure DE112020004109T5_0007
optimiert.In some examples, the fit analysis module 185 solves for at least one sample parameter value by performing fit analysis on WR-SXR measurement data 135 with the WR-SXR response model 184 . In some examples is X S R X 2
Figure DE112020004109T5_0007
optimized.

Wie oben beschrieben, wird die Anpassung von WR-SXR-Daten durch Minimierung von Chi-Quadrat-Werten erreicht. Im Allgemeinen kann die Anpassung von WR-SXR-Daten jedoch durch andere Funktionen erreicht werden.As described above, fitting WR-SXR data is achieved by minimizing chi-square values. However, in general, customization of WR-SXR data can be achieved through other functions.

In einem anderen weiteren Aspekt ist das Computersystem 130 konfiguriert, um ein Eingabe-Ausgabe-Modell (z. B. ein neuronales Netzmodell, ein Signalantwort-Metrologiemodell usw.) zu trainieren, das WR-SXR-Messdaten auf Werte eines oder mehrerer interessierender Parameter abbildet, die die zu messenden Struktur charakterisieren (z.B. ein geometrischer Parameter, der die zu messende Struktur beschreibt). Darüber hinaus ist das Computersystem 130 so konfiguriert, dass es das Eingabe-Ausgabe-Modell verwendet, um Werte von interessierenden Parametern basierend auf WR-SXR-Messdaten zu schätzen.In another further aspect, the computer system 130 is configured to train an input-output model (e.g., a neural network model, a signal response metrology model, etc.) that maps WR-SXR measurement data to values of one or more parameters of interest that characterize the structure to be measured (e.g. a geometric parameter describing the structure to be measured). In addition, the computer system 130 is configured to use the input-output model to estimate values of parameters of interest based on WR-SXR measurement data.

WR-SXR-Daten können mit einer Reihe von Datenanpassungs- und Optimierungstechniken und -technologien analysiert werden, darunter: Bibliotheken, Modelle schnell reduzierter Ordnung, Regression, maschinelle Lernalgorithmen wie neuronale Netze und Support-Vektor-Maschinen (SVM), Dimensionalitäts-Reduktionsalgorithmen, z. B. PCA (Hauptkomponentenanalyse), ICA (unabhängige Komponentenanalyse) und LLE (lokal-lineare Einbettung), spärliche Darstellung wie Fourier- oder Wavelet-Transformation, Kalman-Filter, Algorithmen zur Förderung der Übereinstimmung von gleichen oder unterschiedlichen Werkzeugtypen und andere.WR-SXR data can be analyzed using a range of data fitting and optimization techniques and technologies including: libraries, fast reduced order models, regression, machine learning algorithms such as neural networks and support vector machines (SVM), dimensionality reduction algorithms, e.g. B. PCA (principal component analysis), ICA (independent component analysis) and LLE (local linear embedding), sparse representation like Fourier or wavelet transform, Kalman filters, algorithms to promote matching of same or different tool types and others.

WR-SXR-Daten können auch durch Algorithmen analysiert werden, die keine Modellierung, Optimierung und/oder Anpassung beinhalten, z.B. US-Patentveröffentlichung Nr. 2015/0204664 und US-Patentveröffentlichung Nr. 2016/0216197 von Bringoltz et al., deren Inhalt hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen wird.WR-SXR data can also be analyzed by algorithms that do not involve modeling, optimization and/or fitting, e.g. US Patent Publication No. 2015/0204664 and U.S. Patent Publication No. 2016/0216197 by Bringoltz et al., the contents of which are incorporated herein by reference in their entirety.

Die Analyse von WR-SXR-Messdaten ist für jede Art von WR-SXR-Technologie vorteilhaft, die eine Empfindlichkeit für interessierende geometrische und/oder Materialparameter bietet. Probenparameter können deterministisch (z. B. CD, SWA usw.) oder statistisch (z. B. rms-Höhe der Seitenwandrauheit, Rauheitskorrelationslänge usw.) sein, solange geeignete Modelle zur Beschreibung der WR-SXR-Strahlwechselwirkung mit der Probe verwendet werden.Analysis of WR-SXR measurement data is beneficial for any type of WR-SXR technology that offers sensitivity to geometric and/or material parameters of interest. Sample parameters can be deterministic (e.g. CD, SWA, etc.) or statistical (e.g. rms sidewall roughness level, roughness correlation length, etc.) as long as appropriate models are used to describe the WR-SXR beam interaction with the sample.

Im Allgemeinen ist das Computersystem 130 so konfiguriert, dass es auf Modellparameter in Echtzeit unter Verwendung von Real-Time-Critical-Dimensioning (RTCD) zugreift, oder es kann auf Bibliotheken von vorberechneten Modellen zugreifen, um einen Wert von mindestens einem Probenparameterwert, der mit der Probe 101 assoziiert ist, zu bestimmen. Im Allgemeinen kann irgendeine Form von CD-Maschine verwendet werden, um den Unterschied zwischen zugewiesenen CD-Parametern einer Probe und CD-Parametern, die mit der gemessenen Probe verbunden sind, zu bewerten. Beispielhafte Verfahren und Systeme zum Berechnen von Probenparameterwerten sind im US-Patent Nr. 7,826,071 , erteilt am 2. November 2010 an KLA-Tencor Corp., beschrieben, dessen Gesamtheit hier durch Bezugnahme aufgenommen ist.In general, the computer system 130 is configured to access model parameters in real time using Real-Time Critical Dimensioning (RTCD), or it can access libraries of pre-computed models to obtain a value from at least one sample parameter value associated with associated with sample 101. In general, any form of CD machine can be used to assess the difference between a sample's assigned CD parameters and CD parameters associated with the measured sample. Exemplary methods and systems for calculating sample parameter values are set forth in U.S. Patent No. 7,826,071 , issued November 2, 2010 to KLA-Tencor Corp., the entirety of which is incorporated herein by reference.

In einigen Beispielen verbessert die Modellbildungs- und Analyse-Maschine 180 die Genauigkeit der gemessenen Parameter durch eine beliebige Kombination aus Seitwärts-Kopplungs-Analyse, Vorwärts-Kopplungs-Analyse und Parallelanalyse. Seitwärts-Kopplungs-Analyse bezieht sich auf die Heranziehung mehrerer Datensätze von verschiedenen Bereichen derselben Probe und das Übergeben gemeinsamer Parameter, die aus dem ersten Datensatz bestimmt wurden, an den zweiten Datensatz zur Analyse. Die Vorwärts-Kopplungs-Analyse bezieht sich auf die Heranziehung von Datensätzen von verschiedenen Proben und die Weitergabe gemeinsamer Parameter an nachfolgende Analysen unter Verwendung eines schrittweisen, exakt kopierenden Parameter-Vorwärtskopplungs-Ansatzes. Parallelanalyse bezieht sich auf die parallele oder gleichzeitige Anwendung einer nichtlinearen Anpassungsmethodik auf mehrere Datensätze, bei denen mindestens ein gemeinsamer Parameter während der Anpassung gekoppelt wird.In some examples, the modeling and analysis engine 180 improves the accuracy of the measured parameters through any combination of sideways-coupling analysis, forward-coupling analysis, and parallel analysis. Side-linkage analysis refers to taking multiple data sets from different regions of the same sample and passing common parameters determined from the first data set to the second data set for analysis. Feedforward analysis refers to taking datasets from different samples and passing common parameters to subsequent analyzes using a stepwise, exactly copying, parameter feedforward approach. Parallel analysis refers to the parallel or simultaneous application of a nonlinear fitting methodology to multiple data sets where at least one common parameter is coupled during fitting.

Multiple Werkzeug- und Strukturanalyse bezieht sich auf eine Vorwärts-Kopplungs-, Seitwärts-Kopplungs- oder Parallelanalyse basierend auf Regression, einer Nachschlagetabelle (d. h. „Bibliotheks“-Abgleich) oder einem anderen Anpassungsverfahren für mehrere Datensätze. Beispielhafte Verfahren und Systeme für die Multiple Werkzeug- und Strukturanalyse sind in dem US-Patent Nr. 7,478,019 , erteilt am 13. Januar 2009 an KLA-Tencor Corp., beschrieben, das hier vollständig durch Bezugnahme eingeschlossen ist.Multiple tooling and structure analysis refers to a forward-coupling, sideways-coupling, or parallel analysis based on regression, a lookup table (ie, "library" matching), or other fitting method for multiple data sets. Exemplary methods and systems for multiple tool and structure analysis are in the U.S. Patent No. 7,478,019 , issued January 13, 2009 to KLA-Tencor Corp., which is incorporated herein by reference in its entirety.

In einem anderen weiteren Aspekt wird eine anfängliche Schätzung von Werten eines oder mehrerer interessierender Parameter basierend auf WR-SXR-Messungen bestimmt, die bei einer einzigen Orientierung des einfallenden Röntgenstrahls in Bezug auf das Metrologieziel durchgeführt werden. Die anfänglichen, geschätzten Werte werden als Startwerte der interessierenden Parameter für eine Regression des Messmodells mit Messdaten implementiert, die aus WR-SXR-Messungen bei mehreren Orientierungen gesammelt wurden. Auf diese Weise wird mit relativ geringem Rechenaufwand eine genaue Schätzung eines interessierenden Parameters bestimmt und durch Implementieren dieser genauen Schätzung als Ausgangspunkt für eine Regression über einen viel größeren Datensatz wird eine verfeinerte Schätzung des interessierenden Parameters mit weniger Gesamtrechenaufwand erhalten.In another further aspect, an initial estimate of values of one or more parameters of interest is determined based on WR-SXR measurements performed at a single orientation of the incident x-ray beam with respect to the metrology target. The initial estimated values are implemented as starting values of the parameters of interest for a regression of the measurement model with measurement data collected from WR-SXR measurements at multiple orientations. In this way, an accurate estimate of a parameter of interest is determined with relatively little computational effort, and by implementing this accurate estimate as a starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall computational effort.

In einem anderen Aspekt umfasst das Metrologiewerkzeug 100 ein Computersystem (z. B. Computersystem 130), das konfiguriert ist, um die hierin beschriebene Strahlsteuerungsfunktionalität zu implementieren. In der in 7 gezeigten Ausführungsform ist das Computersystem 130 als Strahlsteuerung konfiguriert, die betreibbar ist, um beliebige Beleuchtungseigenschaften zu steuern, wie etwa Intensität, Divergenz, Fleckgröße, Polarisation, Spektrum und Positionierung des einfallenden Beleuchtungsstrahls 114.In another aspect, metrology tool 100 includes a computer system (e.g., computer system 130) configured to implement the beam steering functionality described herein. in the in 7 In the embodiment shown, the computer system 130 is configured as a beam controller operable to control any illumination characteristics, such as intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 114.

Wie in 7 gezeigt, ist das Computersystem 130 kommunikativ an den Detektor 119 gekoppelt. Das Computersystem 130 ist dazu konfiguriert, Messdaten 135 vom Detektor 119 zu empfangen. In einem Beispiel beinhalten die Messdaten 135 eine Angabe der gemessenen Antwort der Probe (d. h. Intensitäten der Beugungsordnungen). Auf Grundlage der Verteilung der gemessenen Antwort auf der Oberfläche des Detektors 119 werden der Ort und die Fläche des Einfalls des Beleuchtungsstrahls 114 auf die Probe 101 durch das Rechensystem 130 bestimmt. In einem Beispiel werden Mustererkennungstechniken vom Computersystem 130 angewendet, um den Ort und die Fläche des Einfalls des Beleuchtungsstrahls 114 auf die Probe 101 auf Grundlage der Messdaten 135 zu bestimmen. In einigen Beispielen übermittelt das Computersystem 130 Befehlssignale 136 an die Röntgenbeleuchtungsquelle 110, um die gewünschte Beleuchtungswellenlänge auszuwählen. In einigen Beispielen übermittelt das Computersystem 130 Befehlssignale 137 an das Aktor-Subsystem 115, um die Röntgenemission umzuleiten, um eine gewünschte Strahlrichtung zu erreichen. In einigen Beispielen übermittelt das Computersystem 130 Befehlssignale 138 und 139 an die Strahlformungsschlitze 112 bzw. 113, die die Strahlformungsschlitze 112 und 113 veranlassen, die Strahlfleckgröße zu ändern und Beleuchtungswellenlängen so auszuwählen, dass der einfallende Beleuchtungsstrahl 114 mit der gewünschten Strahlfleckgröße, Orientierung und der/den gewünschten Wellenlänge(n) bei der Probe 101 ankommt. In einem Beispiel veranlassen die Befehlssignale 138 und 139 Aktoren, die den Schlitzen 112 und 113 zugeordnet sind, ihre Position zu ändern, um den einfallenden Strahl 114 in eine gewünschte Form und Größe umzuformen und gewünschte Wellenlängen auszuwählen. In einigen Beispielen übermittelt das Computersystem 130 Befehlssignale 151 an den Strahlenergiefilter 150, die bewirken, dass der Strahlenergiefilter 150 unerwünschte Wellenlängen aus dem Spektrum des Beleuchtungsstrahls 114 herausfiltert. In einigen anderen Beispielen übermittelt das Computersystem 130 ein Befehlssignal an das Waferpositionierungssystem 140, um die Probe 101 so zu positionieren und auszurichten, dass der einfallende Beleuchtungsstrahl 114 an der gewünschten Stelle und mit der gewünschten Winkelorientierung in Bezug auf die Probe 101 ankommt.As in 7 As shown, computer system 130 is communicatively coupled to detector 119 . Computer system 130 is configured to receive measurement data 135 from detector 119 . In one example, the measurement data 135 includes an indication of the measured response of the sample (ie, diffraction order intensities). Based on the distribution of the measured response on the surface of the detector 119, the location and area of incidence of the illumination beam 114 on the sample 101 is determined by the computing system 130. In one example, pattern recognition techniques are applied by computer system 130 to determine the location and area of incidence of illumination beam 114 on sample 101 based on measurement data 135 . In some examples, computer system 130 transmits command signals 136 to x-ray illumination source 110 to select the desired illumination wavelength. In some examples, computer system 130 transmits command signals 137 to actuator subsystem 115 to redirect x-ray emission to achieve a desired beam direction. In some examples, computer system 130 transmits command signals 138 and 139 to beam-forming slots 112 and 113, respectively, that cause beam-forming slots 112 and 113 to change beam spot size and select illumination wavelengths such that the incident illumination beam 114 is provided with the desired beam spot size, orientation, and/or arrives at the sample 101 at the desired wavelength(s). In one example, command signals 138 and 139 cause actuators associated with slots 112 and 113 to change position to reshape incident beam 114 to a desired shape and size and to select desired wavelengths. In some examples, computer system 130 transmits command signals 151 to beam energy filter 150 that cause beam energy filter 150 to filter out unwanted wavelengths from the spectrum of illumination beam 114 . In some other examples, computer system 130 transmits a command signal to wafer positioning system 140 to position and orient sample 101 such that incident illumination beam 114 arrives at the desired location and angular orientation with respect to sample 101.

In einem weiteren Aspekt werden WR-SXR-Messdaten verwendet, um ein Bild einer gemessenen Struktur basierend auf den gemessenen Intensitäten der erfassten Beugungsordnungen zu erzeugen. In einigen Ausführungsformen wird ein WR-SXR-Antwortfunktionsmodell verallgemeinert, um die Streuung von einem generischen Elektronendichtenetz zu beschreiben. Das Anpassen dieses Modells an die gemessenen Signale, während die modellierten Elektronendichten in diesem Netz eingeschränkt werden, um Kontinuität und spärliche Kanten zu erzwingen, liefert ein dreidimensionales Bild der Probe.In another aspect, WR-SXR measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a WR-SXR response function model is generalized to describe scattering from a generic electron density mesh. Fitting this model to the measured signals while constraining the modeled electron densities in this mesh to enforce continuity and sparse edges provides a three-dimensional image of the sample.

Obwohl die geometrische, modellbasierte, parametrische Inversion für die auf WR-SXR-Messungen basierende Metrologie der kritischen Dimension (CD-Metrologie) bevorzugt ist, sind WR-SXR-Messdaten nützlich, um Modellfehler zu identifizieren und zu korrigieren, wenn die gemessene Probe von den Annahmen des geometrischen Modells abweicht.Although geometric, model-based, parametric inversion is preferred for WR-SXR measurement-based critical dimension metrology (CD metrology), WR-SXR measurement data is useful to identify and correct model errors when the measured sample is of deviates from the assumptions of the geometric model.

In einigen Beispielen wird das Bild mit strukturellen Eigenschaften verglichen, die durch eine geometrische, modellbasierte parametrische Inversion derselben Scatterometrie-Messdaten geschätzt wurden. Abweichungen werden verwendet, um das geometrische Modell der gemessenen Struktur zu aktualisieren und die Messleistung zu verbessern. Die Fähigkeit zur Konvergenz zu einem genauen parametrischen Messmodell ist besonders wichtig, wenn integrierte Schaltungen gemessen werden, um ihren Herstellungsprozess zu steuern, zu überwachen und Fehler darin zu beheben.In some examples, the image is compared to structural features estimated by a geometric, model-based parametric inversion of the same scatterometry measurement data. Deviations are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge to an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor and troubleshoot their manufacturing process.

In einigen Beispielen ist das Bild eine zweidimensionale (2-D) Karte der Elektronendichte, des Absorptionsvermögens, des komplexen Brechungsindex oder einer Kombination dieser Materialeigenschaften. In einigen Beispielen ist das Bild eine dreidimensionale (3-D) Karte der Elektronendichte, des Absorptionsvermögens, des komplexen Brechungsindex oder einer Kombination dieser Materialeigenschaften. Die Karte wird unter Verwendung relativ weniger physikalischer Beschränkungen erzeugt. In einigen Beispielen werden ein oder mehrere interessierende Parameter, wie etwa kritische Dimension (CD), Seitenwandwinkel (SWA), Overlay, Kantenplatzierungsfehler, Teilungsgang usw., direkt aus der resultierenden Karte geschätzt. In einigen anderen Beispielen ist die Karte zum Debuggen des Waferprozesses nützlich, wenn die Probengeometrie oder die Materialien nach außerhalb des Bereichs der erwarteten Werte abweichen, der von einem parametrischen Strukturmodell in Betracht gezogen wird, das für modellbasierte CD-Messungen verwendet wird. In einem Beispiel werden die Unterschiede zwischen der Karte und einer Wiedergabe der Struktur, die durch das parametrische Strukturmodell gemäß seinen gemessenen Parametern vorhergesagt wird, verwendet, um das parametrische Strukturmodell zu aktualisieren und seine Messleistung zu verbessern. Weitere Einzelheiten sind in der US-Patentveröffentlichung Nr. 2015/0300965 beschrieben, deren Inhalt hiermit vollständig durch Bezugnahme aufgenommen wird. Weitere Einzelheiten sind in der US-Patentveröffentlichung Nr. 2015/0117610 beschrieben, deren Inhalt hiermit vollständig durch Bezugnahme aufgenommen wird.In some examples, the image is a two-dimensional (2-D) map of electron density, absorbance, complex refractive index, or a combination of these material properties. In some examples, the image is a three-dimensional (3-D) map of electron density, absorbance, complex refractive index, or a combination of these material properties. The map is created using relatively few physical constraints. In some examples, one or more parameters of interest, such as critical dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch pitch, etc. are estimated directly from the resulting map. In some other examples, the map is useful for debugging the wafer process when the sample geometry or materials deviate outside the range of expected values considered by a parametric structure model used for model-based CD measurements. In one example, the differences between the map and a representation of the structure predicted by the parametric structure model according to its measured parameters are used to update the parametric structure model and improve its measurement performance. Further details are described in US Patent Publication No. 2015/0300965, the entire content of which is hereby incorporated by reference. Further details are described in US Patent Publication No. 2015/0117610, the entire contents of which are hereby incorporated by reference.

In einem weiteren Aspekt wird die Modellbildungs- und Analysemaschine 180 verwendet, um Modelle für eine kombinierte Röntgen- und optische Messanalyse zu erzeugen. In einigen Beispielen basieren optische Simulationen beispielsweise auf einer Rigorous-Coupled-Wave-Analysis (RCWA), bei der die Maxwell-Gleichungen gelöst werden, um optische Signale wie Reflexionsvermögen für verschiedene Polarisationen, ellipsometrische Parameter, Phasenänderung usw. zu berechnen.In another aspect, the modeling and analysis engine 180 is used to generate models for combined x-ray and optical metrology analysis. For example, in some examples, optical simulations are based on rigorous coupled wave analysis (RCWA), where Maxwell's equations are solved to calculate optical signals such as reflectivities for different polarizations, ellipsometric parameters, phase change, etc.

Werte eines oder mehrerer interessierender Parameter werden basierend auf einer kombinierten Anpassungsanalyse der erfassten Intensitäten der Röntgenbeugungsordnungen bei den mehreren unterschiedlichen Einfallswinkeln und erfassten optischen Intensitäten mit einem kombinierten, geometrisch parametrisierten Antwortmodell bestimmt. Die optischen Intensitäten werden durch ein optisches Metrologiewerkzeug gemessen, das mechanisch in ein Röntgenmetrologiesystem integriert sein kann oder nicht, wie beispielsweise das in 1 dargestellte System 100. Weitere Einzelheiten sind in der US-Patentveröffentlichung Nr. 2014/0019097 und der US-Patentveröffentlichung Nr. 2013/0304424 beschrieben, deren Inhalte jeweils hierin durch Bezugnahme vollständig aufgenommen sind.Values of one or more parameters of interest are determined based on a combined fitting analysis of the detected X-ray diffraction order intensities at the multiple different angles of incidence and detected optical intensities with a combined, geometrically parameterized response model. The optical intensities are measured by an optical metrology tool, which may or may not be mechanically integrated into an X-ray metrology system, such as that in 1 system 100 shown. Further details are provided in U.S. Patent Publication No. 2014/0019097 and US Patent Publication No. 2013/0304424, the contents of each of which are incorporated herein by reference in their entirety.

Es sollte erkannt werden, dass die verschiedenen Schritte, die in der gesamten vorliegenden Offenbarung beschrieben sind, von einem einzelnen Computersystem 130 oder alternativ von einem System 130 mit mehreren Computern ausgeführt werden können. Darüber hinaus können verschiedene Untersysteme des Systems 100, wie beispielsweise das Probenpositionierungssystem 140, ein Computersystem umfassen, das zum Ausführen mindestens eines Teils der hier beschriebenen Schritte geeignet ist. Daher sollte die obige Beschreibung nicht als Einschränkung der vorliegenden Erfindung interpretiert werden, sondern lediglich als Veranschaulichung. Ferner können das eine oder die mehreren Computersysteme 130 konfiguriert sein, um einen oder mehrere andere Schritte einer beliebigen der hierin beschriebenen Verfahrensausführungsformen durchzuführen.It should be appreciated that the various steps described throughout the present disclosure may be performed by a single computer system 130 or, alternatively, by a multiple computer system 130 . In addition, various subsystems of system 100, such as sample positioning system 140, may include a computer system suitable for performing at least a portion of the steps described herein. Therefore, the above description should not be interpreted as a limitation of the present invention, but only as an illustration. Furthermore, the one or more computer systems 130 may be configured to perform one or more other steps of any of the method embodiments described herein.

Darüber hinaus kann das Computersystem 130 mit der Röntgenbeleuchtungsquelle 110, den Strahlformungsschlitzen 112 und 113, dem Fokussieroptik-Aktorsystem 115, dem Probenpositionierungssystem 140 und dem Detektor 119 auf jede im Stand der Technik bekannte Weise kommunikativ gekoppelt sein. Zum Beispiel können das eine oder die mehreren Computersysteme 130 mit Computersystemen verbunden sein, die mit der Röntgenbeleuchtungsquelle 110, den Strahlformungsschlitzen 112 und 113, dem Fokussieroptik-Aktorsystem 115, dem Probenpositionierungssystem 140 oder dem Detektor 119 verbunden sind. In einem anderen Beispiel können die Röntgenbeleuchtungsquelle 110, die Strahlformungsschlitze 112 und 113, das Fokussieroptik-Aktorsystem 115, das Probenpositionierungssystem 140 und der Detektor 119 direkt durch ein einzelnes Computersystem gesteuert werden, das an das Computersystem 130 gekoppelt ist.In addition, computer system 130 may be communicatively coupled to x-ray illumination source 110, beam-forming slits 112 and 113, focusing optics actuator system 115, sample positioning system 140, and detector 119 in any manner known in the art. For example, the one or more computer systems 130 may be connected to computer systems associated with x-ray illumination source 110, beam shaping slits 112 and 113, focusing optics actuator system 115, sample positioning system 140, or detector 119. In another example, x-ray illumination source 110, beam shaping slits 112 and 113, focusing optics actuator system 115, sample positioning system 140, and detector 119 may be directly controlled by a single computer system coupled to computer system 130.

Das Computersystem 130 kann dazu konfiguriert sein, Daten oder Informationen von den Subsystemen des Systems zu empfangen und/oder zu erfassen (z. B. Röntgenbeleuchtungsquelle 110, Strahlformungsschlitze 112 und 113, Fokussieroptik-Aktorsystem 115, Probenpositionierungssystem 140, Detektor 119 und dergleichen) durch ein Übertragungsmedium, das drahtgebundene und/oder drahtlose Teile beinhalten kann. Auf diese Weise kann das Übertragungsmedium als Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen.Computer system 130 may be configured to receive and/or acquire data or information from the system's subsystems (e.g., x-ray illumination source 110, beam-forming slits 112 and 113, focusing optics actuator system 115, sample positioning system 140, detector 119, and the like) through a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between computer system 130 and other subsystems of system 100.

Das Computersystem 130 des Metrologiesystems 100 kann konfiguriert sein, um Daten oder Informationen (z. B. Messergebnisse, Modellierungseingaben, Modellierungsergebnisse usw.) von anderen Systemen zu empfangen und/oder zu erfassen, durch ein Übertragungsmedium, das drahtgebundene und/oder drahtlose Teile umfassen kann. Auf diese Weise kann das Übertragungsmedium als Datenverbindung zwischen dem Computersystem 130 und anderen Systemen (z. B. integriertem Speicher im Metrologiesystem 100, externem Speicher oder externen Systemen) dienen. Zum Beispiel kann das Computersystem 130 dazu konfiguriert sein, Messdaten (z. B. Signale 135) von einem Speichermedium (d. h. Speicher 132 oder 190) über eine Datenverbindung zu empfangen. Beispielsweise können unter Verwendung des Detektors 119 erhaltene Spektralergebnisse in einer permanenten oder semipermanenten Speichervorrichtung (z. B. Speicher 132 oder 190) gespeichert werden. Dabei können die Messergebnisse aus einem integrierten Speicher oder aus einem externen Speichersystem importiert werden. Darüber hinaus kann das Computersystem 130 Daten über ein Übertragungsmedium an andere Systeme senden. Zum Beispiel können durch das Computersystem 130 bestimmte Probenparameterwerte 186 in einer permanenten oder semipermanenten Speichervorrichtung (z. B. Speicher 190) gespeichert werden. Dabei können Messergebnisse in ein anderes System exportiert werden.The computing system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurement results, modeling inputs, modeling results, etc.) from other systems through a transmission medium, including wired and/or wireless portions can. In this way, the transmission medium can serve as a data link between the computer system 130 and other systems (e.g., embedded memory in the metrology system 100, external memory, or external systems). For example, computer system 130 may be configured to receive measurement data (e.g., signals 135) from a storage medium (i.e., memory 132 or 190) via a data connection. For example, spectral results obtained using detector 119 may be stored in a permanent or semi-permanent storage device (e.g., memory 132 or 190). The measurement results can be imported from an integrated memory or from an external storage system. In addition, computer system 130 may send data to other systems over a transmission medium. For example, sample parameter values 186 determined by computer system 130 may be stored in a permanent or semi-permanent storage device (e.g., memory 190). Measurement results can be exported to another system.

Das Computersystem 130 kann ein Personalcomputersystem, ein Mainframe-Computersystem, eine Workstation, einen Bildcomputer, einen Parallelprozessor oder eine beliebige andere in der Technik bekannte Vorrichtung umfassen, ist jedoch nicht darauf beschränkt. Im Allgemeinen kann der Begriff „Computersystem“ breit definiert werden, um jede Vorrichtung mit einem oder mehreren Prozessoren zu umfassen, die Anweisungen von einem Speichermedium ausführen.The computer system 130 may be a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, or any other art known devices include, but is not limited to. In general, the term "computer system" can be broadly defined to include any device with one or more processors that execute instructions from a storage medium.

Programmanweisungen 134, die Verfahren implementieren, wie die hierin beschriebenen, können über ein Übertragungsmedium, wie etwa eine Leitung, ein Kabel oder eine drahtlose Übertragungsverbindung übertragen werden. Wie beispielsweise in 1 gezeigt, werden im Speicher 132 gespeicherte Programmanweisungen über den Bus 133 an den Prozessor 131 übertragen. Programmanweisungen 134 werden in einem computerlesbaren Medium (z. B. Speicher 132) gespeichert. Beispielhafte computerlesbare Medien umfassen Nur-Lese-Speicher, einen Direktzugriffsspeicher, eine magnetische oder optische Platte oder ein Magnetband.Program instructions 134 implementing methods such as those described herein may be transmitted over a transmission medium such as a wire, cable, or wireless transmission link. As for example in 1 As shown, program instructions stored in memory 132 are transmitted to processor 131 via bus 133 . Program instructions 134 are stored on a computer-readable medium (e.g., memory 132). Exemplary computer-readable media includes read-only memory, random access memory, magnetic or optical disk, or magnetic tape.

17 veranschaulicht ein Verfahren 500, das zur Implementierung durch die Metrologiesysteme 100, 200, 300 und 400 der vorliegenden Erfindung geeignet ist. In einem Aspekt wird erkannt, dass Datenverarbeitungsblöcke des Verfahrens 500 über einen vorprogrammierten Algorithmus ausgeführt werden können, der von einem oder mehreren Prozessoren des Computersystems 130 ausgeführt wird. Während die folgende Beschreibung im Zusammenhang mit den Metrologiesystemen 100, 200, 300 und 400 präsentiert wird, wird hierin erkannt, dass die besonderen strukturellen Aspekte der Metrologiesysteme 100, 200, 300 und 400 keine Beschränkungen darstellen und als lediglich illustrativ interpretiert werden sollten. 17 Figure 5 illustrates a method 500 suitable for implementation by the metrology systems 100, 200, 300 and 400 of the present invention. In one aspect, it is recognized that data processing blocks of method 500 may be performed via a pre-programmed algorithm executed by one or more processors of computer system 130. While the following description is presented in the context of metrology systems 100, 200, 300, and 400, it is recognized herein that the particular structural aspects of metrology systems 100, 200, 300, and 400 are not limiting and should be interpreted as illustrative only.

In Block 501 wird eine Menge weicher Röntgenstrahlung von einer Röntgenbeleuchtungsquelle erzeugt. Die weiche Röntgenstrahlung umfasst mehrere Beleuchtungswellenlängen innerhalb eines Photonenenergiebereichs von 10 Elektronenvolt bis 5.000 Elektronenvolt. Die Menge der weichen Röntgenstrahlung wird auf ein auf einem Halbleiterwafer hergestelltes Metrologieziel als Röntgenbeleuchtungsstrahl gerichtet, der auf den Halbleiterwafer mit einem nominalen streifenden Einfallswinkel zwischen 1 und 45 Grad einfällt.In block 501, a set of soft x-rays is generated from an x-ray illumination source. Soft x-rays include multiple illumination wavelengths within a photon energy range of 10 electron volts to 5,000 electron volts. The soft X-ray beam is directed onto a metrology target fabricated on a semiconductor wafer as an X-ray illumination beam incident on the semiconductor wafer at a nominal grazing angle of incidence between 1 and 45 degrees.

In Block 502 wird eine erste Menge an Röntgenstrahlung, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in mehrere unterschiedliche Beugungsordnungen gestreut wird, erfasst.At block 502, a first amount of x-ray radiation scattered into multiple different diffraction orders by the metrology target in response to the incident x-ray illumination beam is detected.

In Block 503 wird eine erste Vielzahl von Messsignalen erzeugt. Jedes der ersten Vielzahl von Messsignalen zeigt die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf einer aktiven Oberfläche eines ersten Detektors an. Die erste Vielzahl von Messsignalen zeigt auch eine bestimmte Wellenlänge der mehreren Beleuchtungswellenlängen an, die zu einer bestimmten Beugungsordnung der mehreren unterschiedlichen Beugungsordnungen gebeugt wird.In block 503, a first plurality of measurement signals are generated. Each of the first plurality of measurement signals is indicative of the detected amount of x-ray radiation at a different location on an active surface of a first detector. The first plurality of measurement signals is also indicative of a particular wavelength of the plurality of illumination wavelengths diffracted to a particular one of the plurality of different diffraction orders.

In Block 504 wird ein Wert eines interessierenden Parameters, der das Metrologieziel charakterisiert, basierend auf der Vielzahl der Messsignale bestimmt.In block 504, a value of a parameter of interest that characterizes the metrology target is determined based on the plurality of measurement signals.

In einigen Ausführungsformen werden Scatterometriemessungen, wie hierin beschrieben, als Teil eines Fertigungsverfahrenswerkzeugs implementiert. Beispiele für Fertigungsverfahrenswerkzeuge umfassen, sind jedoch nicht beschränkt auf, lithographische Belichtungswerkzeuge, Filmabscheidungswerkzeuge, Implantationswerkzeuge und Ätzwerkzeuge. Auf diese Weise werden die Ergebnisse einer WR-SXR-Analyse verwendet, um einen Herstellungsprozess zu steuern. In einem Beispiel werden von einem oder mehreren Zielen gesammelte WR-SXR-Messdaten an ein Fertigungsverfahrenswerkzeug gesendet. Die WR-SXR-Messdaten werden wie hier beschrieben analysiert, und die Ergebnisse werden verwendet, um den Betrieb des Fertigungsverfahrenswerkzeugs anzupassen, um Fehler bei der Herstellung von Halbleiterstrukturen zu reduzieren.In some embodiments, scatterometry measurements as described herein are implemented as part of a manufacturing process tool. Examples of manufacturing process tools include, but are not limited to, lithographic exposure tools, film deposition tools, implant tools, and etch tools. In this way, the results of a WR-SXR analysis are used to control a manufacturing process. In one example, WR-SXR measurement data collected from one or more targets is sent to a manufacturing process tool. The WR-SXR measurement data is analyzed as described herein and the results are used to adjust the operation of the manufacturing process tool to reduce errors in the fabrication of semiconductor structures.

Scatterometriemessungen, wie hierin beschrieben, können verwendet werden, um Eigenschaften einer Vielzahl von Halbleiterstrukturen zu bestimmen. Beispielhafte Strukturen umfassen, ohne aber darauf beschränkt zu sein, FinFETs, niedrigdimensionale Strukturen wie Nanodrähte oder Graphen, Sub-10-nm-Strukturen, lithografische Strukturen, Through-Substrate-Vias (TSVs), Speicherstrukturen wie DRAM, DRAM 4F2, FLASH, MRAM und Speicherstrukturen mit hohem Seitenverhältnis. Beispielhafte strukturelle Eigenschaften umfassen, sind aber nicht beschränkt auf, geometrische Parameter wie Linienkantenrauheit, Linienbreitenrauheit, Porengröße, Porendichte, Seitenwandwinkel, Profil, kritische Abmessung, Teilung, Dicke, Overlay und Materialparameter wie Elektronendichte, Zusammensetzung, Kornstruktur, Morphologie, Spannung, Dehnung, und Elementidentifikation. In einigen Ausführungsformen ist das Metrologieziel eine periodische Struktur. In einigen anderen Ausführungsformen ist das Metrologieziel aperiodisch.Scatterometry measurements as described herein can be used to determine properties of a variety of semiconductor structures. Exemplary structures include, but are not limited to, FinFETs, low-dimensional structures such as nanowires or graphene, sub-10 nm structures, lithographic structures, through-substrate vias (TSVs), memory structures such as DRAM, 4F2 DRAM, FLASH, MRAM and high aspect ratio memory structures. Exemplary structural properties include, but are not limited to, geometric parameters such as line edge roughness, line width roughness, pore size, pore density, sidewall angle, profile, critical dimension, pitch, thickness, overlay, and material parameters such as electron density, composition, grain structure, morphology, stress, strain, and element identification. In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology target is aperiodic.

In einigen Beispielen werden Messungen kritischer Abmessungen, von Dicken, von Overlay und von Materialeigenschaften von Halbleiterstrukturen mit hohem Seitenverhältnis, darunter, ohne aber darauf beschränkt zu sein, Spin-Transfer-Torque-Direktzugriffsspeicher (STT-RAM), dreidimensionale NAND-Speicher (3D-NAND) oder vertikale NAND-Speicher (V-NAND), dynamische Direktzugriffsspeicher (DRAM), dreidimensionale FLASH-Speicher (3D-FLASH), resistive Direktzugriffsspeicher (Re-RAM) und Phasenwechsel-Direktzugriffsspeicher (PC-RAM) mit WR-SXR-Metrologiesystemen wie hierin beschrieben durchgeführt.In some examples, measurements of critical dimensions, thickness, overlay, and material properties of high aspect ratio semiconductor structures including, but not limited to, spin-transfer-torque random access memory (STT-RAM), three-dimensional NAND memory (3D -NAND) or vertical NAND memory (V-NAND), dynamic random access memory (DRAM), three-dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM) with WR-SXR - metrology systems as described herein.

Wie hierin beschrieben, umfasst der Begriff „kritische Abmessung“ jede kritische Abmessung einer Struktur (z. B. untere kritische Abmessung, mittlere kritische Abmessung, obere kritische Abmessung, Seitenwandwinkel, Gitterhöhe usw.), eine kritische Abmessung zwischen beliebigen zwei oder mehr Strukturen (z.B. Abstand zwischen zwei Strukturen) und eine Verschiebung zwischen zwei oder mehr Strukturen (z.B. Overlayverschiebung zwischen sich überlagernden Gitterstrukturen usw.). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlaystrukturen usw. beinhalten.As described herein, the term "critical dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, lattice height, etc.), a critical dimension between any two or more structures ( e.g. distance between two structures) and a shift between two or more structures (e.g. overlay shift between overlapping lattice structures etc.). Textures can include three-dimensional textures, patterned textures, overlay textures, and so on.

Wie hierin beschrieben, umfasst der Begriff „Kritische-Abmessungs-Anwendung“ oder „Anwendung der Messung der kritischen Abmessung“ jede Messung der kritischen Abmessung.As described herein, the term "critical dimension application" or "critical dimension measurement application" encompasses any critical dimension measurement.

Wie hierin beschrieben, umfasst der Begriff „Metrologiesystem“ jedes System, das zumindest teilweise verwendet wird, um eine Probe in einem beliebigen Aspekt zu charakterisieren, einschließlich Kritischer-Abmessungs-Anwendungen und Anwendungen der Overlaymetrologie. Solche Fachbegriffe schränken jedoch den Umfang des Begriffs „Metrologiesystem“ wie hierin beschrieben nicht ein. Außerdem können die hierin beschriebenen Metrologiesysteme zum Messen von strukturierten Wafern und/oder unstrukturierten Wafern konfiguriert sein. Das Metrologiesystem kann als LED-Inspektionswerkzeug, Kanteninspektionswerkzeug, Rückseiteninspektionswerkzeug, Makroinspektionswerkzeug oder Multimoden-Inspektionswerkzeug (mit Daten von einer oder mehreren Plattformen gleichzeitig) und jedes andere Metrologie- oder Inspektionswerkzeug konfiguriert sein, das von den hier beschriebenen Messtechniken profitiert.As described herein, the term “metrology system” encompasses any system used, at least in part, to characterize a sample in any aspect, including critical dimension applications and overlay metrology applications. However, such terms do not limit the scope of the term “metrology system” as described herein. Additionally, the metrology systems described herein may be configured to measure patterned wafers and/or unpatterned wafers. The metrology system can be configured as an LED inspection tool, edge inspection tool, backside inspection tool, macro inspection tool, or multimode inspection tool (with data from one or more platforms simultaneously), and any other metrology or inspection tool that benefits from the metrology techniques described herein.

Hierin werden verschiedene Ausführungsformen für ein Halbleiterbearbeitungssystem (z. B. ein Inspektionssystem oder ein Lithographiesystem) beschrieben, das zum Bearbeiten einer Probe verwendet werden kann. Der Begriff „Probe“ wird hier verwendet, um sich auf einen Wafer, ein Retikel oder jede andere Probe zu beziehen, die mit im Stand der Technik bekannten Mitteln bearbeitet (z. B. gedruckt oder auf Defekte untersucht) werden kann.Described herein are various embodiments for a semiconductor processing system (e.g., an inspection system or a lithography system) that may be used to process a sample. The term "sample" is used herein to refer to a wafer, reticle, or any other sample that can be processed (e.g., printed or inspected for defects) by means known in the art.

Der Begriff „Wafer“, wie er hier verwendet wird, bezieht sich im Allgemeinen auf Substrate, die aus einem Halbleiter- oder Nichthalbleitermaterial gebildet sind. Beispiele umfassen, sind aber nicht beschränkt auf, monokristallines Silizium, Galliumarsenid und Indiumphosphid. Solche Substrate kommen üblicherweise in Halbleiterfertigungsanlagen vor und/oder werden dort verarbeitet. In einigen Fällen kann ein Wafer nur das Substrat (d. h. den bloßen Wafer) umfassen. Alternativ kann ein Wafer eine oder mehrere Schichten unterschiedlicher Materialien umfassen, die auf einem Substrat gebildet sind. Eine oder mehrere auf einem Wafer gebildete Schichten können „strukturiert“ oder „unstrukturiert“ sein. Zum Beispiel kann ein Wafer eine Vielzahl von Dies umfassen, die wiederholbare Mustermerkmale haben.As used herein, the term "wafer" generally refers to substrates formed from a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates are commonly found and/or processed in semiconductor manufacturing facilities. In some cases, a wafer may include only the substrate (i.e., the bare wafer). Alternatively, a wafer may include one or more layers of different materials formed on a substrate. One or more layers formed on a wafer may be "patterned" or "unpatterned". For example, a wafer may include a multitude of dies that have repeatable pattern features.

Ein „Retikel“ kann ein Retikel in einem beliebigen Stadium eines Retikel-Herstellungsprozesses oder ein fertiges Retikel sein, das zur Verwendung in einer Halbleiterfertigungsanlage freigegeben werden kann oder nicht. Ein Retikel oder eine „Maske“ wird im Allgemeinen als ein im Wesentlichen transparentes Substrat definiert, auf dem im Wesentlichen undurchsichtige Bereiche gebildet und in einem Muster konfiguriert sind. Das Substrat kann beispielsweise ein Glasmaterial wie amorphes SiO2 umfassen. Ein Retikel kann während eines Belichtungsschritts eines Lithographieprozesses über einem mit Fotolack bedeckten Wafer angeordnet sein, so dass das Muster auf dem Retikel auf den Fotolack übertragen werden kann.A “reticle” can be a reticle at any stage of a reticle manufacturing process or a completed reticle that may or may not be released for use in a semiconductor manufacturing facility. A reticle or "mask" is generally defined as a substantially transparent substrate on which substantially opaque areas are formed and configured in a pattern. For example, the substrate may comprise a glass material such as amorphous SiO 2 . A reticle may be placed over a photoresist covered wafer during an exposure step of a lithography process so that the pattern on the reticle can be transferred to the photoresist.

Eine oder mehrere auf einem Wafer gebildete Schichten können struktuiert oder unstrukturiert sein. Zum Beispiel kann ein Wafer mehrere Dies umfassen, die jeweils wiederholbare Mustermerkmale aufweisen. Die Bildung und Verarbeitung solcher Materialschichten kann letztendlich zu fertigen Bauelementen führen. Auf einem Wafer können viele verschiedene Arten von Bauelementen gebildet werden, und der Begriff Wafer, wie er hier verwendet wird, soll einen Wafer umfassen, auf dem jede beliebige Art von Bauelement, die in der Technik bekannt ist, hergestellt wird.One or more layers formed on a wafer can be patterned or unpatterned. For example, a wafer may include multiple dies, each having repeatable pattern features. The formation and processing of such layers of material can ultimately result in finished devices. Many different types of devices can be formed on a wafer, and as used herein the term wafer is intended to encompass a wafer on which any type of device known in the art is fabricated.

In einer oder mehreren beispielhaften Ausführungsformen können die beschriebenen Funktionen in Hardware, Software, Firmware oder einer beliebigen Kombination davon implementiert werden. Bei Implementierung in Software können die Funktionen auf einem computerlesbaren Medium gespeichert oder als eine oder mehrere Anweisungen oder Codes übertragen werden. Computerlesbare Medien umfassen sowohl Computerspeichermedien als auch Kommunikationsmedien, einschließlich aller Medien, die die Übertragung eines Computerprogramms von einem Ort zu einem anderen ermöglichen. Ein Speichermedium kann jedes verfügbare Medium sein, auf das von einem Universalrechner oder einem Spezialrechner zugegriffen werden kann. Als Beispiel und nicht einschränkend können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder andere optische Plattenspeicher, Magnetplattenspeicher oder andere magnetische Speichervorrichtungen oder jedes andere Medium umfassen, das verwendet werden kann, um gewünschte Programmcodemittel in Form von Anweisungen oder Datenstrukturen zu tragen oder zu speichern und auf die von einem Universal- oder Spezialcomputer oder einem Universal- oder Spezialprozessor zugegriffen werden kann. Außerdem wird jede Verbindung richtigerweise als computerlesbares Medium bezeichnet. Wenn die Software beispielsweise von einer Website, einem Server oder einer anderen entfernten Quelle über ein Koaxialkabel, Glasfaserkabel, Twisted Pair, digitale Teilnehmerleitung (DSL) oder drahtlose Technologien wie Infrarot, Funk und Mikrowelle übertragen wird, dann sind Koaxialkabel, Glasfaserkabel, Twisted Pair, DSL oder drahtlose Technologien wie Infrarot, Funk und Mikrowelle in der Definition von Medium enthalten. Disk und Disc, wie hierin verwendet, umfassen Compact Disc (CD), Laserdisc, XRF-Disc, Digital Versatile Disc (DVD), Floppy Disk und Blu-ray-Disc, wobei Disks Daten normalerweise magnetisch reproduzieren, während Discs Daten optisch mit Laser reproduzieren. Kombinationen des oben genannten sollten ebenfalls in den Bedeutungsumfang computerlesbarer Medien aufgenommen sein.In one or more example embodiments, the described functions may be implemented in hardware, software, firmware, or any combination thereof. When implemented in software, the functions may be stored on or stored on a computer-readable medium one or more instructions or codes are transmitted. Computer-readable media includes both computer storage media and communications media, including any media that enables the transfer of a computer program from one place to another. A storage medium can be any available medium that can be accessed by a general purpose or special purpose computer. By way of example and not limitation, such computer-readable media may include RAM, ROM, EEPROM, CD-ROM, or other optical disk storage, magnetic disk storage, or other magnetic storage device, or any other medium that can be used to carry desired program code means in the form of instructions or data structures or to be stored and accessed by a general purpose or special purpose computer or processor. Also, any compound is properly referred to as a computer-readable medium. For example, if the Software is transmitted from a website, server, or other remote source over coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technologies such as infrared, radio, and microwave, then coaxial cable, fiber optic cable, twisted pair, DSL or wireless technologies such as infrared, radio and microwave included in the definition of medium. Disc and disc as used herein include compact disc (CD), laser disc, XRF disc, digital versatile disc (DVD), floppy disc and Blu-ray disc, where discs typically reproduce data magnetically while discs reproduce data optically with laser reproduce. Combinations of the above should also be included within the scope of computer-readable media.

Obwohl oben bestimmte spezifische Ausführungsformen zum Zwecke der Unterrichtung beschrieben wurden, haben die Lehren dieses Patentdokuments allgemeine Anwendbarkeit und sind nicht auf die oben beschriebenen spezifischen Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen verschiedener Merkmale der beschriebenen Ausführungsformen praktiziert werden, ohne vom Umfang der Erfindung abzuweichen, wie er in den Ansprüchen dargelegt ist.Although certain specific embodiments have been described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 7929667 [0009, 0011, 0051]US7929667 [0009, 0011, 0051]
  • US 2016/0202193 [0009]US2016/0202193 [0009]
  • US 2017/0167862 [0009]US2017/0167862 [0009]
  • US 2018/0106735 [0009]US2018/0106735 [0009]
  • US 2017/0357155 A1 [0016]US 2017/0357155 A1 [0016]
  • US 2019/0215940 [0049]US2019/0215940 [0049]
  • US 2016/0249442 [0049]US2016/0249442 [0049]
  • US 2016/0128171 [0049]US2016/0128171 [0049]
  • US 2015/0076359 [0049]US2015/0076359 [0049]
  • US 2015/0008335 [0049]US2015/0008335 [0049]
  • US 2014/0306115 [0049]US2014/0306115 [0049]
  • US 2014/0246607 [0049]US2014/0246607 [0049]
  • US 8941336 [0050]US8941336 [0050]
  • US 8749179 [0050]US8749179 [0050]
  • US 10281263 [0097]US10281263 [0097]
  • US 10041873 [0097]US10041873 [0097]
  • US 10145674 [0097]US10145674 [0097]
  • US 2015/0204664 [0123]U.S. 2015/0204664 [0123]
  • US 2016/0216197 [0123]U.S. 2016/0216197 [0123]
  • US 7826071 [0125]US7826071 [0125]
  • US 7478019 [0127]US7478019 [0127]
  • US 2014/0019097 [0136]US 2014/0019097 [0136]

Claims (22)

Metrologiesystem, umfassend: eine Röntgenbeleuchtungsquelle, die dazu konfiguriert ist, eine Menge weicher Röntgenstrahlung zu erzeugen, die mehrere Beleuchtungswellenlängen innerhalb eines Photonenenergiebereichs von 10 Elektronenvolt bis 5.000 Elektronenvolt umfasst, wobei die Menge an weicher Röntgenstrahlung auf ein auf einem Halbleiterwafer hergestelltes Metrologieziel gerichtet ist, als ein Röntgenbeleuchtungsstrahl, der auf den Halbleiterwafer mit einem nominalen streifenden Einfallswinkel zwischen 1 und 45 Grad einfällt; einen ersten Detektor, der in einem optischen Pfad einer ersten Menge an Röntgenstrahlung angeordnet ist, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in mehrere unterschiedliche Beugungsordnungen gestreut wird, wobei der erste Detektor dazu konfiguriert ist, eine erste Vielzahl von Messsignalen zu erzeugen, von denen jedes die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf dem ersten Detektor anzeigt, wobei jedes der ersten Vielzahl von Messsignalen eine bestimmte Wellenlänge der mehreren Beleuchtungswellenlängen anzeigt, gebeugt zu einer bestimmten Beugungsordnung der mehreren, unterschiedlichen Beugungsordnungen; und ein Computersystem, das konfiguriert ist, um einen Wert eines interessierenden Parameters, der das Metrologieziel charakterisiert, basierend auf der ersten Vielzahl von Messsignalen zu bestimmen.Metrology system comprising: an x-ray illumination source configured to generate an amount of soft x-ray radiation comprising multiple illumination wavelengths within a photon energy range of 10 electron volts to 5,000 electron volts, the amount of soft x-ray radiation being directed at a metrology target fabricated on a semiconductor wafer, as an x-ray illumination beam, the is incident on the semiconductor wafer at a nominal grazing incidence angle of between 1 and 45 degrees; a first detector disposed in an optical path of a first quantity of x-ray radiation scattered from the metrology target into a plurality of different diffraction orders in response to the incident x-ray illumination beam, the first detector being configured to generate a first plurality of measurement signals, each indicative of the detected amount of x-ray radiation at a different location on the first detector, each of the first plurality of measurement signals being indicative of a particular one of the plurality of illumination wavelengths diffracted to a particular one of the plurality of different diffraction orders; and a computer system configured to determine a value of a parameter of interest characterizing the metrology target based on the first plurality of measurement signals. Metrologiesystem nach Anspruch 1, ferner umfassend: einen zweiten Detektor, der in einem optischen Pfad einer zweiten Menge an Röntgenstrahlung angeordnet ist, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in eine oder mehrere unterschiedliche Beugungsordnungen gestreut wird, wobei der zweite Detektor dazu konfiguriert ist, eine zweite Vielzahl von Messsignalen zu erzeugen, von denen jedes die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf dem zweiten Detektor anzeigt, wobei jedes der zweiten Vielzahl von Messsignalen die eine oder die mehreren unterschiedlichen Beugungsordnungen anzeigt, wobei das Computersystem ferner dazu konfiguriert ist, den Wert des interessierenden Parameters, der das Metrologieziel charakterisiert, auf der Grundlage der ersten und zweiten Vielzahl von Messsignalen zu bestimmen.metrology system claim 1 , further comprising: a second detector disposed in an optical path of a second quantity of x-ray radiation scattered from the metrology target into one or more different diffraction orders in response to the incident x-ray illumination beam, the second detector being configured to detect a second generate a plurality of measurement signals each indicative of the detected amount of x-ray radiation at a different location on the second detector, each of the second plurality of measurement signals being indicative of the one or more different diffraction orders, the computer system being further configured to determine the value of the parameter of interest that characterizes the metrology target based on the first and second plurality of measurement signals. Metrologiesystem nach Anspruch 2, wobei die zweite Menge an Röntgenstrahlung Strahlung in einem der folgenden Spektralbereiche umfasst: einem tiefen Ultraviolett-Spektralbereich, einem Vakuum-Ultraviolett-Spektralbereich, einem sichtbaren Spektralbereich und einem Infrarot-Spektralbereich.metrology system claim 2 , wherein the second amount of X-ray radiation comprises radiation in one of the following spectral ranges: a deep ultraviolet spectral range, a vacuum ultraviolet spectral range, a visible spectral range and an infrared spectral range. Metrologiesystem nach Anspruch 1, ferner umfassend: ein oder mehrere optische Röntgenbeleuchtungselemente, die in einem optischen Beleuchtungspfad zwischen der Röntgenbeleuchtungsquelle und dem Halbleiterwafer angeordnet sind, wobei das eine oder die mehreren optischen Röntgenbeleuchtungselemente die Menge an weicher Röntgenstrahlung auf den Halbleiterwafer als Röntgenbeleuchtungsstrahl fokussieren, der auf den Halbleiterwafer mit einem nominalen streifenden Einfallswinkel zwischen 1 und 45 Grad einfällt.metrology system claim 1 , further comprising: one or more X-ray illumination optical elements arranged in an illumination optical path between the X-ray illumination source and the semiconductor wafer, wherein the one or more X-ray illumination optical elements focus the amount of soft X-ray radiation onto the semiconductor wafer as an X-ray illumination beam directed onto the semiconductor wafer with a nominal grazing incidence angle between 1 and 45 degrees. Metrologiesystem nach Anspruch 1, wobei die Röntgenbeleuchtungsquelle eine laserbasierte Beleuchtungsquelle mit der Erzeugung hoher Harmonischer (HHG, High Harmonic Generation) ist.metrology system claim 1 , wherein the X-ray illumination source is a laser-based High Harmonic Generation (HHG) illumination source. Metrologiesystem nach Anspruch 1, ferner umfassend: einen Strahlenergiefilter, der sich in einem optischen Pfad zwischen der Röntgenbeleuchtungsquelle und dem Halbleiterwafer befindet, wobei der Strahlenergiefilter Röntgenbeleuchtung innerhalb eines gewünschten Strahlenergiebereichs durchlässt und Röntgenbeleuchtung außerhalb des gewünschten Strahlenergiebereichs absorbiert.metrology system claim 1 , further comprising: a beam energy filter located in an optical path between the x-ray illumination source and the semiconductor wafer, the beam energy filter transmitting x-ray illumination within a desired beam energy range and absorbing x-ray illumination outside the desired beam energy range. Metrologiesystem nach Anspruch 1, wobei die Röntgenbeleuchtungsquelle die Menge an weicher Röntgenstrahlung mit einer Strahldivergenz von weniger als einem Milliradiant emittiert.metrology system claim 1 , wherein the X-ray illumination source emits the quantity of soft X-rays with a beam divergence of less than one milliradian. Metrologiesystem nach Anspruch 1, ferner umfassend: ein optisches Verkleinerungselement in einem optischen Pfad zwischen der Röntgenbeleuchtungsquelle und dem Halbleiterwafer, wobei das optische Verkleinerungselement den Röntgenbeleuchtungsstrahl auf den Halbleiterwafer fokussiert, mit einem Vergrößerungsfaktor von 0,2 oder weniger in einer ersten Richtung quer zum Röntgenbeleuchtungsstrahl und mit einem Vergrößerungsfaktor von 0,9 oder mehr in einer zweiten Richtung quer zum Röntgenbeleuchtungsstrahl orthogonal zur ersten Richtung.metrology system claim 1 , further comprising: an optical reduction element in an optical path between the X-ray illumination source and the semiconductor wafer, wherein the optical reduction element focuses the X-ray illumination beam onto the semiconductor wafer with a magnification factor of 0.2 or less in a first direction transverse to the X-ray illumination beam and with a magnification factor of 0.9 or more in a second direction across the x-ray illumination beam orthogonal to the first direction. Metrologiesystem nach Anspruch 1, wobei die Röntgenbeleuchtungsquelle ferner dazu konfiguriert ist, Strahlung in einem der folgenden Spektralbereiche zu emittieren: einem tiefen Ultraviolett-Spektralbereich, einem Vakuum-Ultraviolett-Spektralbereich, einem sichtbaren Spektralbereich und einem Infrarot-Spektralbereich.metrology system claim 1 wherein the x-ray illumination source is further configured to emit radiation in one of the following spectral ranges: a deep ultraviolet spectral range, a vacuum ultraviolet spectral range, a visible spectral range, and an infrared spectral range. Metrologiesystem nach Anspruch 1, wobei die Röntgenbeleuchtungsquelle eine Beleuchtungsquellenfläche aufweist, die durch eine Abmessung einer maximalen Ausdehnung von weniger als 50 Mikrometer gekennzeichnet ist.metrology system claim 1 wherein the x-ray illumination source has an illumination source area characterized by a maximum extension dimension of less than 50 microns. Metrologiesystem nach Anspruch 1, wobei der interessierende Parameter einer der folgenden ist: ein Overlayfehler, eine kritische Abmessung, ein Kantenplatzierungsfehler.metrology system claim 1 , where the parameter of interest is one of the following: an overlay error, a critical dimension, an edge placement error. Metrologiesystem nach Anspruch 1, ferner umfassend: ein Dampfinjektionssystem, das während der Beleuchtung des Metrologieziels einen Gasstrom zu dem Metrologieziel bereitstellt, der ein Füllmaterial in einer Dampfphase enthält.metrology system claim 1 , further comprising: a vapor injection system that provides a gas flow to the metrology target during illumination of the metrology target that contains a fill material in a vapor phase. Metrologiesystem nach Anspruch 1, wobei die Röntgenbeleuchtungsquelle so eingestellt ist, dass sie die Menge an weicher Röntgenstrahlung einschließlich eines gewünschten Photonenenergiebereichs erzeugt.metrology system claim 1 , wherein the X-ray illumination source is adjusted to produce the amount of soft X-ray radiation including a desired photon energy range. Metrologiesystem nach Anspruch 1, ferner umfassend: ein oder mehrere optische Röntgenbeleuchtungselemente, die in einem optischen Beleuchtungspfad zwischen der Röntgenbeleuchtungsquelle und dem Halbleiterwafer angeordnet sind, wobei das eine oder die mehreren optischen Röntgenbeleuchtungselemente die Menge an weicher Röntgenstrahlung auf den Halbleiterwafer fokussieren, als einen Röntgenbeleuchtungsstrahl, der unter mehreren Einfallswinkeln, bei mehreren Wellenlängen und mit mehreren Azimutwinkeln auf den Halbleiterwafer einfällt.metrology system claim 1 , further comprising: one or more x-ray illumination optical elements arranged in an illumination optical path between the x-ray illumination source and the semiconductor wafer, wherein the one or more x-ray illumination optical elements focus the amount of soft x-ray radiation onto the semiconductor wafer as an x-ray illumination beam emitted at multiple angles of incidence , is incident on the semiconductor wafer at several wavelengths and with several azimuth angles. Metrologiesystem nach Anspruch 14, wobei das eine oder die mehreren optischen Röntgenbeleuchtungselemente graduelle optische Mehrschichtelemente sind, die die mehreren Beleuchtungswellenlängen auswählen.metrology system Claim 14 wherein the one or more X-ray illumination optical elements are graded multilayer optical elements that select the multiple illumination wavelengths. Verfahren, umfassend: Bereitstellen einer Menge weicher Röntgenstrahlung, die mehrere Beleuchtungswellenlängen innerhalb eines Photonenenergiebereichs von 10 Elektronenvolt bis 5.000 Elektronenvolt umfasst, wobei die Menge an weicher Röntgenstrahlung auf ein auf einem Halbleiterwafer hergestelltes Metrologieziel gerichtet ist, als ein auf den Halbleiterwafer einfallender Röntgenbeleuchtungsstrahl mit einem nominalen streifenden Einfallswinkel zwischen 1 und 45 Grad; Erfassen einer ersten Menge an Röntgenstrahlung, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in mehrere unterschiedliche Beugungsordnungen gestreut wird; Erzeugen einer ersten Vielzahl von Messsignalen, wobei jedes der ersten Vielzahl von Messsignalen die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf einer aktiven Oberfläche eines ersten Detektors anzeigt, wobei jedes der ersten Vielzahl von Messsignalen eine bestimmte Wellenlänge der mehreren Beleuchtungswellenlängen anzeigt, gebeugt zu einer bestimmten Beugungsordnung der mehreren, unterschiedlichen Beugungsordnungen; und Bestimmen eines Werts eines interessierenden Parameters, der das Metrologieziel charakterisiert, basierend auf der ersten Vielzahl von Messsignalen.Method comprising: Providing a quantity of soft X-ray radiation comprising multiple illumination wavelengths within a photon energy range of 10 electron volts to 5,000 electron volts, the quantity of soft X-ray radiation being directed at a metrology target fabricated on a semiconductor wafer as an X-ray illumination beam incident on the semiconductor wafer with a nominal grazing incidence angle between 1 and 45 degrees; detecting a first amount of x-ray radiation scattered into a plurality of different diffraction orders by the metrology target in response to the incident x-ray illumination beam; generating a first plurality of measurement signals, each of the first plurality of measurement signals being indicative of the detected amount of X-ray radiation at a different location on an active surface of a first detector, each of the first plurality of measurement signals being indicative of a particular wavelength of the plurality of illumination wavelengths diffracted to a specific diffraction order of the plurality of different diffraction orders; and determining a value of a parameter of interest characterizing the metrology target based on the first plurality of measurement signals. Verfahren nach Anspruch 16, ferner umfassend: Erfassen einer zweiten Menge an Röntgenstrahlung, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in eine oder mehrere Beugungsordnungen gestreut wird; und Erzeugen einer zweiten Vielzahl von Messsignalen, wobei jedes der zweiten Vielzahl von Messsignalen die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf einer aktiven Oberfläche eines zweiten Detektors anzeigt, wobei jedes der zweiten Vielzahl von Messsignalen die eine oder mehreren Beugungsordnungen anzeigt, wobei das Bestimmen des Werts des interessierenden Parameters, der das Metrologieziel charakterisiert, auf der ersten und zweiten Vielzahl von Messsignalen basiert.procedure after Claim 16 , further comprising: detecting a second amount of x-ray radiation scattered into one or more diffraction orders by the metrology target in response to the incident x-ray illumination beam; and generating a second plurality of measurement signals, each of the second plurality of measurement signals being indicative of the detected amount of x-ray radiation at a different location on an active surface of a second detector, each of the second plurality of measurement signals being indicative of the one or more diffraction orders, wherein the determining the value of the parameter of interest characterizing the metrology target is based on the first and second plurality of measurement signals. Verfahren nach Anspruch 17, wobei die zweite Menge an Röntgenstrahlung Strahlung in einem der folgenden Spektralbereiche umfasst: einem tiefen Ultraviolett-Spektralbereich, einem Vakuum-Ultraviolett-Spektralbereich, einem sichtbaren Spektralbereich und einem Infrarot-Spektralbereich.procedure after Claim 17 , wherein the second amount of X-ray radiation comprises radiation in one of the following spectral ranges: a deep ultraviolet spectral range, a vacuum ultraviolet spectral range, a visible spectral range and an infrared spectral range. Verfahren nach Anspruch 17, ferner umfassend: Filtern des Röntgenbeleuchtungsstrahls in einem optischen Pfad zwischen der Röntgenbeleuchtungsquelle und dem Halbleiterwafer.procedure after Claim 17 , further comprising: filtering the X-ray illumination beam in an optical path between the X-ray illumination source and the semiconductor wafer. Verfahren nach Anspruch 17, ferner umfassend: Verkleinern des Röntgenbeleuchtungsstrahls mit einem Vergrößerungsfaktor von 0,2 oder weniger in einer ersten Richtung quer zum Röntgenbeleuchtungsstrahl; und Projizieren des Röntgenbeleuchtungsstrahls auf den Halbleiterwafer mit einem Vergrößerungsfaktor von 0,9 oder mehr in einer zweiten Richtung quer zum Röntgenbeleuchtungsstrahl orthogonal zu der ersten Richtung.procedure after Claim 17 , further comprising: magnifying the X-ray illumination beam in a first direction transverse to the X-ray illumination beam by a magnification factor of 0.2 or less; and projecting the x-ray illumination beam onto the semiconductor wafer at a magnification factor of 0.9 or more in a second direction transverse to the x-ray illumination beam orthogonal to the first direction. Metrologiesystem, umfassend: eine Röntgenbeleuchtungsquelle, die dazu konfiguriert ist, eine Menge weicher Röntgenstrahlung zu erzeugen, die mehrere Beleuchtungswellenlängen innerhalb eines Photonenenergiebereichs von 10 Elektronenvolt bis 5.000 Elektronenvolt umfasst, wobei die Menge an weicher Röntgenstrahlung auf ein auf einem Halbleiterwafer hergestelltes Metrologieziel gerichtet ist, als ein auf den Halbleiterwafer einfallender Röntgenbeleuchtungsstrahl; einen ersten Detektor, der in einem optischen Pfad einer ersten Menge an Röntgenstrahlung angeordnet ist, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in mehrere unterschiedliche Beugungsordnungen gestreut wird, wobei der erste Detektor konfiguriert ist, um eine erste Vielzahl von Messsignalen zu erzeugen, wobei jedes der ersten Vielzahl von Messsignalen die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf dem ersten Detektor anzeigt, wobei jedes der ersten Vielzahl von Messsignalen eine bestimmte Wellenlänge der mehreren Beleuchtungswellenlängen anzeigt, gebeugt zu einer bestimmten Beugungsordnung der mehreren, unterschiedlichen Beugungsordnungen; einen zweiten Detektor, der in einem optischen Pfad einer zweiten Menge an Röntgenstrahlung angeordnet ist, die von dem Metrologieziel als Reaktion auf den einfallenden Röntgenbeleuchtungsstrahl in eine oder mehrere unterschiedliche Beugungsordnungen gestreut wird, wobei der zweite Detektor konfiguriert ist, um eine zweite Vielzahl von Messsignalen zu erzeugen, wobei jedes der zweiten Vielzahl von Messsignalen die erfasste Menge an Röntgenstrahlung an einer anderen Stelle auf dem zweiten Detektor anzeigt, wobei jedes der zweiten Vielzahl von Messsignalen die eine oder die mehreren unterschiedlichen Beugungsordnungen anzeigt; und ein Computersystem, das konfiguriert ist, um einen Wert eines interessierenden Parameters, der das Metrologieziel charakterisiert, basierend auf der ersten und der zweiten Vielzahl von Messsignalen zu bestimmen.Metrology system comprising: an x-ray illumination source configured to generate an amount of soft x-rays comprising multiple illumination wavelengths within a photon energy range of 10 electron volts to 5,000 electron volts, wherein the amount of soft x-rays is directed at a metrology target fabricated on a semiconductor wafer than at the semiconductor wafer incident X-ray illumination beam; a first detector disposed in an optical path of a first quantity of x-ray radiation scattered from the metrology target into a plurality of different diffraction orders in response to the incident x-ray illumination beam, the first detector being configured to generate a first plurality of measurement signals, each of the first plurality of measurement signals being indicative of the detected amount of x-ray radiation at a different location on the first detector, each of the first plurality of measurement signals being indicative of a particular wavelength of the plurality of illumination wavelengths diffracted to a particular one of the plurality of different diffraction orders; a second detector disposed in an optical path of a second quantity of x-ray radiation scattered from the metrology target into one or more different diffraction orders in response to the incident x-ray illumination beam, the second detector being configured to provide a second plurality of measurement signals generate each of the second plurality of measurement signals indicative of the detected amount of x-ray radiation at a different location on the second detector, each of the second plurality of measurement signals indicative of the one or more different diffraction orders; and a computer system configured to determine a value of a parameter of interest characterizing the metrology target based on the first and second plurality of measurement signals. Metrologiesystem nach Anspruch 21, wobei die zweite Menge an Röntgenstrahlung Strahlung in einem der folgenden Spektralbereiche umfasst: einem tiefen ultravioletten Spektralbereich, einem vakuumultravioletten Spektralbereich, einem sichtbaren Spektralbereich und einem infraroten Spektralbereich.metrology system Claim 21 , wherein the second quantity of X-ray radiation comprises radiation in one of the following spectral ranges: a deep ultraviolet spectral range, a vacuum ultraviolet spectral range, a visible spectral range and an infrared spectral range.
DE112020004109.3T 2019-08-26 2020-07-26 Methods and systems for semiconductor metrology based on soft X-ray reflectometry with wavelength resolution Pending DE112020004109T5 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/551,616 2019-08-26
US16/551,616 US11460418B2 (en) 2019-08-26 2019-08-26 Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
PCT/US2020/043653 WO2021040936A1 (en) 2019-08-26 2020-07-26 Methods and systems for semiconductor metrology based on wavelength resolved soft x-ray reflectometry

Publications (1)

Publication Number Publication Date
DE112020004109T5 true DE112020004109T5 (en) 2022-07-14

Family

ID=74679371

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112020004109.3T Pending DE112020004109T5 (en) 2019-08-26 2020-07-26 Methods and systems for semiconductor metrology based on soft X-ray reflectometry with wavelength resolution

Country Status (7)

Country Link
US (1) US11460418B2 (en)
JP (1) JP7427772B2 (en)
KR (1) KR102557179B1 (en)
CN (1) CN114207419B (en)
DE (1) DE112020004109T5 (en)
IL (1) IL290518B2 (en)
WO (1) WO2021040936A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3857187B1 (en) * 2018-09-26 2024-01-10 Honeywell International Inc. Apparatus and method for composite sheet weight determinations

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
CA3101076A1 (en) * 2019-12-04 2021-06-04 Institut National De La Recherche Scientifique (Inrs) Method and system for generating intense, ultrashort pulses of xuv and soft x-ray radiation via hhg
JP7458935B2 (en) * 2020-08-26 2024-04-01 キオクシア株式会社 Measuring device and measuring method
KR20230157405A (en) * 2021-03-15 2023-11-16 어플라이드 머티어리얼스, 인코포레이티드 How to Measure Efficiency for Optical Devices
WO2023092059A1 (en) * 2021-11-22 2023-05-25 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on planar substrate
TW202343611A (en) * 2021-12-30 2023-11-01 美商諾威量測設備股份有限公司 Production solutions for high-throughput/precision xps metrology using unsupervised machine learning

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7826071B2 (en) 2001-12-19 2010-11-02 Kla-Tencor Corporation Parametric profiling using optical spectroscopic systems
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20140019097A1 (en) 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US20140246607A1 (en) 2013-01-30 2014-09-04 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US20140306115A1 (en) 2013-04-10 2014-10-16 Kla-Tencor Corporation Debris Protection System For Reflective Optic Utilizing Gas Flow
US20150008335A1 (en) 2013-02-14 2015-01-08 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US20150076359A1 (en) 2013-07-22 2015-03-19 Kla-Tencor Corporation System and Method for Generation of Extreme Ultraviolet Light
US20150204664A1 (en) 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US20160128171A1 (en) 2014-11-01 2016-05-05 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US20160202193A1 (en) 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20160249442A1 (en) 2014-12-16 2016-08-25 Kla-Tencor Corporation Plasma-Based Light Source
US20170167862A1 (en) 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures
US20170357155A1 (en) 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method
US20180106735A1 (en) 2016-10-18 2018-04-19 Kla-Tencor Corporation Full Beam Metrology For X-Ray Scatterometry Systems
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US20190215940A1 (en) 2018-01-10 2019-07-11 Kla-Tencor Corporation X-Ray Metrology System With Broadband Laser Produced Plasma Illuminator

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
KR20060066799A (en) * 2004-12-14 2006-06-19 한국기초과학지원연구원 Simultaneous measurement of the integrated x-ray reflectivity for different orders of reflections by using continuous x-ray and apparatus for measurement thereof
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7406153B2 (en) * 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
JP2013148431A (en) 2012-01-18 2013-08-01 Fujitsu Ltd Total reflection x-ray analysis method and total reflection x-ray analysis device
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9553033B2 (en) 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
JP6602388B6 (en) * 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. Metrology method, metrology apparatus, and device manufacturing apparatus
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
IL253578B (en) * 2017-07-19 2018-06-28 Nova Measuring Instr Ltd X-ray based measurements in patterned structure
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
CN113196176A (en) * 2018-12-21 2021-07-30 Asml荷兰有限公司 Method and device for metering
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7826071B2 (en) 2001-12-19 2010-11-02 Kla-Tencor Corporation Parametric profiling using optical spectroscopic systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20140019097A1 (en) 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
US8941336B1 (en) 2012-08-14 2015-01-27 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US20160216197A1 (en) 2012-10-18 2016-07-28 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US20150204664A1 (en) 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US20140246607A1 (en) 2013-01-30 2014-09-04 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US20150008335A1 (en) 2013-02-14 2015-01-08 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US20140306115A1 (en) 2013-04-10 2014-10-16 Kla-Tencor Corporation Debris Protection System For Reflective Optic Utilizing Gas Flow
US20150076359A1 (en) 2013-07-22 2015-03-19 Kla-Tencor Corporation System and Method for Generation of Extreme Ultraviolet Light
US20160128171A1 (en) 2014-11-01 2016-05-05 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US20160249442A1 (en) 2014-12-16 2016-08-25 Kla-Tencor Corporation Plasma-Based Light Source
US20160202193A1 (en) 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20170167862A1 (en) 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US20170357155A1 (en) 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method
US20180106735A1 (en) 2016-10-18 2018-04-19 Kla-Tencor Corporation Full Beam Metrology For X-Ray Scatterometry Systems
US20190215940A1 (en) 2018-01-10 2019-07-11 Kla-Tencor Corporation X-Ray Metrology System With Broadband Laser Produced Plasma Illuminator

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3857187B1 (en) * 2018-09-26 2024-01-10 Honeywell International Inc. Apparatus and method for composite sheet weight determinations
US11879765B2 (en) 2018-09-26 2024-01-23 Honeywell International Inc. Apparatus for composite sheet weight determinations

Also Published As

Publication number Publication date
US20210063329A1 (en) 2021-03-04
KR20220050976A (en) 2022-04-25
CN114207419B (en) 2024-01-09
TW202111319A (en) 2021-03-16
WO2021040936A1 (en) 2021-03-04
CN114207419A (en) 2022-03-18
KR102557179B1 (en) 2023-07-18
JP7427772B2 (en) 2024-02-05
IL290518B1 (en) 2023-04-01
JP2022545724A (en) 2022-10-28
IL290518B2 (en) 2023-08-01
IL290518A (en) 2022-04-01
US11460418B2 (en) 2022-10-04

Similar Documents

Publication Publication Date Title
KR102536604B1 (en) Method and system for semiconductor metrology based on multicolor soft X-ray diffraction
TWI806955B (en) Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
DE112020004109T5 (en) Methods and systems for semiconductor metrology based on soft X-ray reflectometry with wavelength resolution
DE112017005271T5 (en) ENTIRE METROLOGY FOR X-RAY SCATTEROMETRY SYSTEMS
DE112016000410T5 (en) Measuring system optimization for X-ray-based metrology
DE112016001982T5 (en) CLEAN-EFFICIENT ON X-RAY SUPERVISED MEASUREMENT OF THE OVERLAY
DE112017000384T5 (en) Systems and methods for advanced infrared spectroscopic ellipsometry
DE112013004235T5 (en) Metrology of wavefront aberration of optics of EUV mask inspection systems
DE112020002023T5 (en) METHODS AND SYSTEMS FOR COMBINING X-RAY METROLOGY DATA SETS TO IMPROVE PARAMETER ESTIMATION
DE112013003491T5 (en) Modeling and analysis machine for a combined X-ray and optically based metrology
DE112013005358T5 (en) Apparatus and method for optical metrology with optimized system parameters
KR20200123848A (en) Method and system for real-time measurement control
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20190095525A (en) X-ray zoom lens for small angle X-ray scatterometry
DE112017000464T5 (en) Single wavelength ellipsometry with improved spot size capability
US11610297B2 (en) Tomography based semiconductor measurements using simplified models
TWI833979B (en) Metrology system and method