DE112019005372T5 - FORM A FLOWABLE DIELECTRIC LAYER WITH A HIGH CARBON CONTENT WITH LOW PROCESS DAMAGE - Google Patents

FORM A FLOWABLE DIELECTRIC LAYER WITH A HIGH CARBON CONTENT WITH LOW PROCESS DAMAGE Download PDF

Info

Publication number
DE112019005372T5
DE112019005372T5 DE112019005372.8T DE112019005372T DE112019005372T5 DE 112019005372 T5 DE112019005372 T5 DE 112019005372T5 DE 112019005372 T DE112019005372 T DE 112019005372T DE 112019005372 T5 DE112019005372 T5 DE 112019005372T5
Authority
DE
Germany
Prior art keywords
group
dielectric layer
starting material
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112019005372.8T
Other languages
German (de)
Inventor
Son Nguyen
Benjamin Briggs
Hosadurga Shobha
Devika Sil
Jasper Haigh Thomas Jr
Donald Francis Canaperi
Han You
Huy Cao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112019005372T5 publication Critical patent/DE112019005372T5/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • B05D3/029After-treatment with microwaves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/068Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using ionising radiations (gamma, X, electrons)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

Ein Verfahren zur Herstellung einer dielektrischen Schicht weist ein Abscheiden eines ersten Ausgangsstoffs auf einem Substrat auf. Der erste Ausgangsstoff weist eine zyklische Carbosiloxan-Gruppe mit einem sechsgliedrigen Ring auf. Das Verfahren weist außerdem ein Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat auf. Der erste Ausgangsstoff und der zweite Ausgangsstoff bilden eine vorläufige Schicht auf dem Substrat, und der zweite Ausgangsstoff weist Silicium, Kohlenstoff und Wasserstoff auf. Das Verfahren weist des Weiteren ein Einwirken einer Energie von einer Energiequelle auf die vorläufige Schicht auf, um eine poröse dielektrische Schicht zu bilden.A method for producing a dielectric layer comprises depositing a first starting material on a substrate. The first starting material has a cyclic carbosiloxane group with a six-membered ring. The method also includes depositing a second starting material on the substrate. The first raw material and the second raw material form a preliminary layer on the substrate, and the second raw material comprises silicon, carbon and hydrogen. The method further includes applying energy from an energy source to the preliminary layer to form a porous dielectric layer.

Description

HINTERGRUNDBACKGROUND

Die vorliegende Erfindung bezieht sich allgemein auf Herstellungsverfahren und resultierende Strukturen für Halbleitereinheiten. Spezifischer bezieht sich die vorliegende Erfindung auf Herstellungsverfahren und resultierende Strukturen für fließfähige dielektrische Schichten mit einem hohen Kohlenstoffgehalt, die mit geringen Prozessschädigungen gebildet werden.The present invention relates generally to manufacturing methods and resulting structures for semiconductor devices. More specifically, the present invention relates to manufacturing methods and resulting structures for flowable, high carbon dielectric layers formed with little process damage.

Integrierte Schaltungen in elektronischen Einheiten erfordern die Herstellung von Halbleitereinheiten. Eine Abfolge von photolithographischen und chemischen Prozessschritten erzeugt die elektronischen Schaltungen auf einem Halbleiterwafer. Die Halbleiterwafer sind einem Front-End-of-Line(FEOL)-Prozessablauf und einem Back-End-of-Linie(BEOL)-Prozessablauf unterworfen. Ein FEOL-Prozessablauf weist ein Bilden von Transistoren direkt in dem Silicium auf. Ein BEOL-Prozessablauf weist ein Verbinden der jeweiligen Halbleitereinheiten untereinander auf, um die elektrischen Schaltungen zu bilden. Insbesondere werden Zwischenverbindungs-Metalldrähte erzeugt, die durch isolierende Schichten getrennt sind. Bei dem isolierenden dielektrischen Material handelt es sich zum Beispiel um Siliciumdioxid oder um Materialien mit einer niedrigen Dielektrizitätskonstanten (k).Integrated circuits in electronic units require the manufacture of semiconductor units. A sequence of photolithographic and chemical process steps creates the electronic circuits on a semiconductor wafer. The semiconductor wafers are subject to a front-end-of-line (FEOL) process sequence and a back-end-of-line (BEOL) process sequence. A FEOL process flow involves forming transistors directly in the silicon. A BEOL process sequence includes connecting the respective semiconductor units to one another in order to form the electrical circuits. In particular, interconnection metal wires separated by insulating layers are produced. The insulating dielectric material is, for example, silicon dioxide or materials with a low dielectric constant (k).

KURZDARSTELLUNGSHORT REPRESENTATION

Ausführungsformen der vorliegenden Erfindung zielen auf ein Verfahren zur Herstellung einer dielektrischen Schicht ab. Ein nicht beschränkendes Beispiel für das Verfahren weist ein Abscheiden eines ersten Ausgangsstoffs auf einem Substrat auf. Der erste Ausgangsstoff enthält eine zyklische Carbosiloxan-Gruppe, die einen sechsgliedrigen Ring aufweist. Das Verfahren umfasst außerdem ein Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat. Der erste Ausgangsstoff und der zweite Ausgangsstoff bilden eine vorläufige Schicht auf dem Substrat, und der zweite Ausgangsstoff weist Silicium, Kohlenstoff und Wasserstoff auf. Das Verfahren umfasst des Weiteren ein Einwirken einer Energie von einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden. Vorteile des Verfahrens weisen das Bereitstellen einer geringeren Porengröße als bei den Carbosiloxan-Pendants mit einem achtgliedrigen Ring auf, so dass der k-Wert verringert und eine geringere Kapazität bereitgestellt wird.Embodiments of the present invention are directed to a method of making a dielectric layer. A non-limiting example of the method includes depositing a first starting material on a substrate. The first starting material contains a cyclic carbosiloxane group which has a six-membered ring. The method also includes depositing a second starting material on the substrate. The first raw material and the second raw material form a preliminary layer on the substrate, and the second raw material comprises silicon, carbon and hydrogen. The method further includes applying energy from an energy source to the preliminary layer to form a porous dielectric layer. Advantages of the method include the provision of a smaller pore size than in the case of the carbosiloxane counterparts with an eight-membered ring, so that the k value is reduced and a lower capacity is provided.

Ein weiteres nicht beschränkendes Beispiel für das Verfahren umfasst ein Abscheiden eines ersten Ausgangsstoffs auf einem Substrat. Der erste Ausgangsstoff weist die folgende Struktur auf:

Figure DE112019005372T5_0001
und bei a, b, c, d, e und f handelt es sich jeweils unabhängig voneinander um eine Alkyl-Gruppe oder eine Alkenyl-Gruppe. Das Verfahren umfasst außerdem ein Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat. Der erste Ausgangsstoff und der zweite Ausgangsstoff bilden eine vorläufige Schicht auf dem Substrat, und der zweite Ausgangsstoff weist ein lineares Carbosiloxan auf. Das Verfahren umfasst des Weiteren ein Einwirken einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden. Vorteile des Verfahrens umfassen eine geringere Porengröße als bei den Carbosiloxan-Pendants mit einem achtgliedrigen Ring, so dass der k-Wert verringert und eine geringere Kapazität bereitgestellt wird.Another non-limiting example of the method comprises depositing a first starting material on a substrate. The first starting material has the following structure:
Figure DE112019005372T5_0001
and a, b, c, d, e and f are each independently an alkyl group or an alkenyl group. The method also includes depositing a second starting material on the substrate. The first starting material and the second starting material form a preliminary layer on the substrate, and the second starting material comprises a linear carbosiloxane. The method further includes applying an energy source to the preliminary layer to form a porous dielectric layer. Advantages of the method include a smaller pore size than the carbosiloxane counterparts with an eight-membered ring, so that the k value is reduced and a lower capacity is provided.

Ein weiteres nicht beschränkendes Beispiel für das Verfahren umfasst ein Abscheiden eines ersten Ausgangsstoffs auf einem Substrat. Der erste Ausgangsstoff weist eine zyklische Carbosiloxan-Gruppe auf. Das Verfahren umfasst außerdem ein Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat. Der erste Ausgangsstoff und der zweite Ausgangsstoff bilden eine vorläufige Schicht auf dem Substrat, und der zweite Ausgangsstoff weist ein Carbosilan mit einem Verhältnis von Kohlenstoff zu Silicium auf, das höher als 3:1 ist. Das Verfahren umfasst des Weiteren ein Einwirken einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden. Vorteile des Verfahrens umfassen einen angereicherten Kohlenstoff-Gehalt sowie eine geringere Porengröße und somit ein starkes und stabiles Bonding innerhalb der Schicht, so dass verbesserte mechanische Eigenschaften (z.B. Dichte) sowie eine reduzierte Anfälligkeit für Prozessschädigungen bereitgestellt werden, wie beispielsweise jene durch Ätzen und Planarisierung.Another non-limiting example of the method comprises depositing a first starting material on a substrate. The first starting material has a cyclic carbosiloxane group. The method also includes depositing a second starting material on the substrate. The first starting material and the second starting material form a preliminary layer on the substrate, and the second starting material comprises a carbosilane with a ratio of carbon to silicon which is higher than 3: 1. The method further includes applying an energy source to the preliminary layer to form a porous dielectric layer. Advantages of the method include an enriched carbon content and a smaller pore size and thus a strong and stable bond within the layer, so that improved mechanical properties (e.g. density) and a reduced susceptibility to process damage are provided, such as those caused by etching and planarization.

Ausführungsformen der vorliegenden Erfindung zielen auf eine dielektrische Schicht ab. Ein nicht beschränkendes Beispiel für die dielektrische Schicht umfasst ein kovalent gebundenes Netzwerk, das Atome von Silicium, Sauerstoff, Kohlenstoff und Wasserstoff aufweist. Die dielektrische Schicht weist außerdem eine zyklische Carbosiloxan-Gruppe und eine brückenbildende Si-CH2-Si-Gruppe auf. Vorteile der dielektrischen Schicht umfassen einen angereicherten Kohlenstoff-Gehalt sowie eine geringere Porengröße und somit ein starkes und stabiles Bonding innerhalb der Schicht, so dass verbesserte mechanische Eigenschaften (z.B. Dichte) sowie eine reduzierte Anfälligkeit für Prozessschädigungen bereitgestellt werden, wie beispielsweise jene durch Ätzen und Planarisierung.Embodiments of the present invention are directed to a dielectric layer. One non-limiting example of the dielectric layer includes a covalently bonded network having atoms of silicon, oxygen, carbon, and hydrogen. The dielectric layer also has a cyclic carbosiloxane group and a bridging Si — CH 2 —Si group. Advantages of the dielectric layer include an enriched carbon content and a smaller pore size and thus a strong and stable bond within the layer, so that improved mechanical properties (e.g. density) and reduced susceptibility to process damage, such as those caused by etching and planarization, are provided .

Ein weiteres nicht beschränkendes Beispiel für die dielektrische Schicht umfasst ein kovalent gebundenes Netzwerk, das Atome von Silicium, Sauerstoff, Kohlenstoff, Wasserstoff und Stickstoff aufweist. Die dielektrische Schicht weist außerdem eine zyklische Carbosiloxan-Gruppe und eine brückenbildende Si-CH2-Si-Gruppe auf. Vorteile der dielektrischen Schicht umfassen einen angereicherten Kohlenstoff-Gehalt sowie eine geringere Porengröße und somit ein starkes und stabiles Bonding innerhalb der Schicht, so dass verbesserte mechanische Eigenschaften (z.B. Dichte) sowie eine reduzierte Anfälligkeit für Prozessschädigungen bereitgestellt werden, wie beispielsweise jene durch Ätzen und Planarisierung.Another non-limiting example of the dielectric layer includes a covalently bonded network having atoms of silicon, oxygen, carbon, hydrogen, and nitrogen. The dielectric layer also has a cyclic carbosiloxane group and a bridging Si — CH 2 —Si group. Advantages of the dielectric layer include an enriched carbon content and a smaller pore size and thus a strong and stable bond within the layer, so that improved mechanical properties (e.g. density) and reduced susceptibility to process damage, such as those caused by etching and planarization, are provided .

Weitere technische Merkmale und Vorteile werden durch die Techniken der vorliegenden Erfindung realisiert. Im Folgenden werden Ausführungsformen und Aspekte der Erfindung im Detail beschrieben und werden als Teil des beanspruchten Gegenstands betrachtet. Für ein besseres Verständnis wird auf die detaillierte Beschreibung und die Zeichnungen verwiesen.Other technical features and advantages are realized through the techniques of the present invention. In the following, embodiments and aspects of the invention are described in detail and are considered part of the claimed subject matter. For a better understanding, reference is made to the detailed description and the drawings.

FigurenlisteFigure list

Die hierin beschriebenen Spezifika der exklusiven Rechte sind insbesondere in den Ansprüchen am Ende der Beschreibung aufgezeigt und eindeutig beansprucht. Das Vorstehende und weitere Merkmale und Vorteile der Ausführungsformen der Erfindung sind aus der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen ersichtlich, in denen:

  • 1 bis 6 einen Prozessablauf für die Herstellung einer dielektrischen Schicht in einer Halbleitereinheit gemäß Ausführungsformen der vorliegenden Erfindung abbilden, in denen:
  • 1 eine Querschnittsseitenansicht eines strukturierten Substrats der Halbleitereinheit abbildet;
  • 2 eine Querschnittsseitenansicht des strukturierten Substrats anschließend an ein Auffließen eines ersten Ausgangsstoffs und eines zweiten Ausgangsstoffs auf das strukturierte Substrat abbildet;
  • 3 eine Querschnittsseitenansicht des strukturierten Substrats anschließend an ein Bilden einer vorläufigen dielektrischen Schicht auf dem strukturierten Substrat abbildet;
  • 4 eine Querschnittsseitenansicht des strukturierten Substrats anschließend an ein Einwirken eines Stickstoff enthaltenden Ausgangsstoffs auf die vorläufige dielektrische Schicht abbildet;
  • 5 eine Querschnittsseitenansicht des strukturierten Substrats anschließend an ein Einwirken einer Energiequelle auf die vorläufige dielektrische Schicht abbildet; und
  • 6 eine Querschnittsseitenansicht der porösen dielektrischen Schicht abbildet, die auf dem strukturierten Substrat ausgebildet ist;
  • 7 eine exemplarische elektronenmikroskopische Aufnahme abbildet, die eine in Gräben angeordnete dielektrische Schicht gemäß Ausführungsformen der vorliegenden Erfindung zeigt;
  • 8 eine graphische Darstellung abbildet, die Dielektrizitätskonstanten von dielektrischen Schichten vergleicht, die mit einer und ohne eine Härtung durch ultraviolettes (UV) Licht gebildet wurden;
  • 9A eine graphische Darstellung abbildet, die den atomaren Prozentsatz an Kohlenstoff (Atom-% Kohlenstoff) in einer dielektrischen Schicht gemäß Ausführungsformen der vorliegenden Erfindung zeigt;
  • 9B eine graphische Darstellung abbildet, die den atomaren Prozentsatz an Kohlenstoff (Atom-% Kohlenstoff) in einer dielektrischen Vergleichsschicht zeigt; und
  • 10 eine graphische Darstellung abbildet, welche die prozentuale Schrumpfung einer dielektrischen Schicht gemäß Ausführungsformen der vorliegenden Erfindung anschließend an eine Einwirkung von Ammoniak zeigt.
The specifics of the exclusive rights described herein are particularly indicated and clearly claimed in the claims at the end of the description. The foregoing and other features and advantages of the embodiments of the invention are apparent from the following detailed description in conjunction with the accompanying drawings, in which:
  • 1 to 6th depict a process flow for the production of a dielectric layer in a semiconductor device according to embodiments of the present invention, in which:
  • 1 depicts a cross-sectional side view of a patterned substrate of the semiconductor device;
  • 2 depicts a cross-sectional side view of the structured substrate subsequent to a flow of a first starting material and a second starting material onto the structured substrate;
  • 3 depicting a cross-sectional side view of the patterned substrate subsequent to forming a preliminary dielectric layer on the patterned substrate;
  • 4th depicts a cross-sectional side view of the patterned substrate subsequent to exposure of the preliminary dielectric layer to a nitrogen-containing precursor;
  • 5 depicts a cross-sectional side view of the patterned substrate subsequent to exposure of an energy source to the preliminary dielectric layer; and
  • 6th depicting a cross-sectional side view of the porous dielectric layer formed on the patterned substrate;
  • 7th FIG. 12 depicts an exemplary electron micrograph showing a trenched dielectric layer in accordance with embodiments of the present invention; FIG.
  • 8th FIGURE 9 is a graph comparing dielectric constants of dielectric layers formed with and without curing by ultraviolet (UV) light;
  • 9A Figure 12 is a graph showing the atomic percentage of carbon (atomic% carbon) in a dielectric layer in accordance with embodiments of the present invention;
  • 9B Figure 13 is a graph showing the atomic percentage of carbon (atomic% carbon) in a comparative dielectric layer; and
  • 10 Figure 12 is a graph showing the percent shrinkage of a dielectric layer in accordance with embodiments of the present invention following exposure to ammonia.

Die hier abgebildeten Darstellungen sind illustrativ. Es können viele Variationen in Bezug auf die hierin beschriebenen Darstellungen oder Vorgänge existieren, ohne von dem Inhalt der Erfindung abzuweichen. Zum Beispiel können die Prozesse in einer anderen Reihenfolge durchgeführt werden, oder es können Prozesse hinzugefügt, eliminiert oder modifiziert werden. Außerdem beschreibt der Begriff „gekoppelt“ und Variationen desselben, dass ein Verbindungspfad zwischen zwei Elementen vorliegt, und er impliziert nicht eine direkte Verbindung zwischen den Elementen ohne dazwischenliegende Elemente/Verbindungen zwischen diesen. Sämtliche dieser Variationen werden als Teil der Beschreibung betrachtet.The representations shown here are illustrative. Many variations in the depictions or acts described herein can exist without departing from the scope of the invention. For example, the processes can be performed in a different order, or processes can be added, eliminated, or modified. In addition, the term “coupled” and variations thereof describes that there is a connection path between two elements and does not imply a direct connection between the elements with no intervening elements / connections between them. All of these variations are considered part of the description.

In den beigefügten Figuren und der folgenden detaillierten Beschreibung der beschriebenen Ausführungsformen sind die in den Figuren dargestellten verschiedenen Elemente mit zwei- oder dreistelligen Bezugszeichen bereitgestellt. Mit wenigen Ausnahmen entspricht (entsprechen) die sich am weitesten links befindende(n) Ziffer(n) der Figur, in der das jeweilige Element erstmals dargestellt ist.In the accompanying figures and the following detailed description of the described embodiments, the various elements shown in the figures are provided with two- or three-digit reference symbols. With a few exceptions, the most closely matched left digit (s) of the figure in which the respective element is shown for the first time.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Der Kürze halber ist es möglich, dass herkömmliche Techniken in Bezug auf die Herstellung von Halbleitereinheiten und integrierten Schaltungen (ICs) hier im Detail beschrieben sind oder nicht beschrieben sind. Darüber hinaus können die hier beschriebenen verschiedenen Aufgabenstellungen und Prozessschritte in einem umfassenderen Verfahren oder Prozess mit zusätzlichen Schritten oder einer zusätzlichen Funktionalität integriert sein, die hier nicht im Detail beschrieben sind. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und ICs auf der Grundlage von Halbleitern allgemein bekannt, und so sind viele herkömmliche Schritte der Kürze halber hier nur kurz erwähnt oder sind insgesamt weggelassen, ohne die allgemein bekannten Prozessdetails bereitzustellen.For the sake of brevity, conventional techniques relating to the manufacture of semiconductor devices and integrated circuits (ICs) may or may not be described in detail herein. In addition, the various tasks and process steps described here can be integrated into a more comprehensive method or process with additional steps or additional functionality that are not described in detail here. In particular, various steps in the manufacture of semiconductor devices and ICs based on semiconductors are well known, and so many conventional steps are briefly mentioned here for the sake of brevity or are omitted altogether without providing the well-known process details.

Sich nunmehr einem Überblick über Technologien zuwendend, die für Aspekte der Erfindung spezifischer relevant sind, werden die Isolationsanforderungen strenger, wenn Leitungsabmessungen kleiner werden, um die Geschwindigkeit und die Speicherfähigkeit von mikroelektronischen Einheiten (z.B. Computerchips) zu erhöhen. Eine reduzierte Größe und reduzierte Abmessungen in diesen Einheiten erfordern ein Material mit einer niedrigeren Dielektrizitätskonstanten k, um die RC-Zeitkonstante zu minimieren, wobei R der Widerstand der leitfähigen Leitung ist, C die Kapazität der isolierenden dielektrischen Zwischenschicht ist und C umgekehrt proportional zum Abstand und proportional zur Dielektrizitätskonstanten k der ILD ist.Turning now to an overview of technologies more specifically relevant to aspects of the invention, insulation requirements become more stringent as line dimensions decrease in order to increase the speed and storage capacity of microelectronic devices (e.g., computer chips). Reduced size and dimensions in these units require a material with a lower dielectric constant k to minimize the RC time constant, where R is the resistance of the conductive line, C is the capacitance of the insulating interlayer dielectric, and C is inversely proportional to the distance and is proportional to the dielectric constant k of the ILD.

Viele der Herstellungsschritte für VLSI(Very Large Scale Integration)-Chips und ULSI(Ultra-Large Scale Integration)-Chips werden mittels einer plasmaunterstützten chemischen Gasphasenabscheidungs-Technik oder einer physikalischen Gasphasenabscheidungs-Technik ausgeführt. Die Fähigkeit, mittels einer plasmaunterstützten chemischen Gasphasenabscheidungs(PECVD)-Technik unter Verwendung von zuvor installierten und zur Verfügung stehenden Prozesseinrichtungen ein Material mit einer ultraniedrigen Dielektrizitätskonstanten k herzustellen, vereinfacht somit die Integration des Herstellungsprozesses, reduziert Herstellungskosten und erzeugt weniger schädliche Abfälle.Many of the manufacturing steps for VLSI (Very Large Scale Integration) chips and ULSI (Ultra-Large Scale Integration) chips are carried out by means of a plasma-assisted chemical vapor deposition technique or a physical vapor deposition technique. The ability to produce a material with an ultra-low dielectric constant k using a plasma-enhanced chemical vapor deposition (PECVD) technique using previously installed and available process facilities thus simplifies the integration of the production process, reduces production costs and generates less harmful waste.

Des Weiteren nehmen die Dicken der dielektrischen Isolatorschichten ab, wenn die Größe von elektronischen Einheiten geringer wird. Im Ergebnis unterliegen die dielektrischen Schichten einer erhöhten Neigung zu Verschlechterung oder Abnutzung, bekannt als zeitabhängiger dielektrischer Durchschlag (TDDB, Time-Dependent Dielectric Breakdown).Furthermore, the thicknesses of the dielectric insulator layers decrease as the size of electronic devices becomes smaller. As a result, the dielectric layers are subject to an increased tendency to deteriorate or wear, known as time-dependent dielectric breakdown (TDDB).

Dielektrische Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k, die Atome von Silicium (Si), Kohlenstoff (C), Sauerstoff (O) und Wasserstoff (H) (SiCOH) aufweisen, können nicht porös oder können porös sein. Im Allgemeinen weisen poröse SiCOH-Schichten eine niedrigere Dielektrizitätskonstante k (z.B. k kleiner als oder gleich 3,0) als die entsprechenden nicht porösen Schichten auf. Die k-Werte von porösen SiCOH-Schichten sind üblicherweise gleich etwa 1,8 bis etwa 2,95, wobei Schichten mit einer niedrigeren Dielektrizitätskonstanten k eine höhere Porosität aufweisen. Poröse Schichten können zum Beispiel durch Einbringen einer instabilen Porenbildner-Gruppe während der Abscheidung der vorläufigen Schichtstruktur gebildet werden, die anschließend durch Härten entfernt wird.Dielectric layers with an ultra-low dielectric constant k, which have atoms of silicon (Si), carbon (C), oxygen (O) and hydrogen (H) (SiCOH), can be non-porous or can be porous. In general, porous SiCOH layers have a lower dielectric constant k (e.g., k less than or equal to 3.0) than the corresponding non-porous layers. The k values of porous SiCOH layers are usually equal to about 1.8 to about 2.95, layers with a lower dielectric constant k having a higher porosity. Porous layers can be formed, for example, by introducing an unstable pore-forming group during the deposition of the preliminary layer structure, which is then removed by hardening.

Poröse SiCOH-Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k können jedoch im Vergleich zu entsprechenden nicht porösen Dielektrika sub-optimale Eigenschaften aufweisen, wie zum Beispiel eine hohe Bruchgeschwindigkeit und -spannung sowie ein geringes Modul und eine geringe Härte. Die dielektrischen SiCOH-Schichten können sich außerdem verschlechtern, wenn die Dielektrizitätskonstante k kleiner wird. Im Ergebnis sind viele SiCOH-Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k anfällig für Prozessschädigungen. Zum Beispiel ist es möglich, dass der Kohlenstoff-Gehalt in üblichen SiCOH-Schichten während Strukturierungs- und Ätzprozessen abgebaut wird (z.B. während reaktiven Plasma-Ionenätzprozessen und Plasma-Veraschungsprozessen auf der Grundlage von Sauerstoff). Im Ergebnis kann sich die Schicht wie poröses Siliciumoxid verhalten, und es ist möglich, dass sie durch einen üblichen Nassätzprozess schnell entfernt wird, was sich auf die Steuerung von Abmessungen während der Strukturierung auswirken kann. Des Weiteren wird bei dem k-Wert von porösem Siliciumoxid leicht Feuchtigkeit aufgenommen, und es weist einen viel höheren k-Wert auf (z.B. k größer als 4,2). Durch die Schicht aus einem porösen Oxid werden daher der k-Gesamtwert und die Kapazität sowie die Zuverlässigkeit der endgültigen Einheit erhöht. Bei der plasmainduzierten Schädigung (PID, Plasma Induced Damage) handelt es sich um einen Parameter, der diese Art von Verschlechterung in einer dielektrischen Schicht beschreibt.Porous SiCOH layers with an ultra-low dielectric constant k can, however, have sub-optimal properties compared to corresponding non-porous dielectrics, such as, for example, a high breaking speed and stress as well as a low modulus and a low hardness. The SiCOH dielectric layers may also deteriorate as the dielectric constant k becomes smaller. As a result, many SiCOH layers with an ultra-low dielectric constant k are prone to process damage. For example, it is possible that the carbon content in common SiCOH layers is degraded during structuring and etching processes (e.g. during reactive plasma ion etching processes and plasma incineration processes based on oxygen). As a result, the layer can behave like porous silicon oxide and it is possible that it can be quickly removed by a common wet etching process, which can affect the control of dimensions during patterning. Furthermore, the k value of porous silica is easy to absorb moisture and has a much higher k value (e.g., k greater than 4.2). The porous oxide layer therefore increases the total k value and capacitance, as well as the reliability of the final unit. Plasma Induced Damage (PID) is a parameter that describes this type of deterioration in a dielectric layer.

Verbesserte mechanische Eigenschaften von SiCOH-Dielektrika mit einer niedrigen oder einer ultraniedrigen Dielektrizitätskonstanten k können durch eine Behandlung der SiCOH-Schichten nach der Abscheidung erzielt werden. Zum Beispiel wurde eine Härtung oder eine Behandlung unter Verwendung einer thermischen Energie, von ultraviolettem (UV) Licht, einer Bestrahlung mit einem Elektronenstrahl, einer chemischen Energie oder einer Kombination dieser Energiequellen dazu verwendet, das dielektrische Material mit einer niedrigen oder einer ultraniedrigen Dielektrizitätskonstanten k zu stabilisieren und die mechanischen Eigenschaften desselben zu verbessern. Wenngleich derartige Behandlungen nach der Abscheidung möglich sind, fügen sie zusätzliche Prozessschritte und somit zusätzliche Kosten bei der Herstellung von dielektrischen Schichten mit einer niedrigen oder einer ultraniedrigen Dielektrizitätskonstanten k hinzu. Dementsprechend besteht ein Bedarf an einem Material für verbesserte dielektrische Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k, das nicht anfällig für mechanische Nachbearbeitungs-Schädigungen ist und zum Füllen von Einheiten mit reduzierter Größe und reduzierten Abmessungen verwendet werden kann.Improved mechanical properties of SiCOH dielectrics with a low or an ultra-low dielectric constant k can be achieved by treating the SiCOH layers after the deposition. For example, curing or treatment using thermal energy, ultraviolet (UV) light, irradiation with an electron beam, a chemical energy or a combination of these energy sources is used to stabilize the dielectric material with a low or an ultra-low dielectric constant k and to improve the mechanical properties thereof. While such post-deposition treatments are possible, they add additional process steps and thus additional costs in the production of dielectric layers with a low or an ultra-low dielectric constant k. Accordingly, there is a need for a material for improved dielectric layers having an ultra-low dielectric constant, k, which is not prone to mechanical rework damage and which can be used to fill units of reduced size and dimensions.

SiCOH-Schichten können unter Verwendung von CVD-Prozessen gebildet werden, bei denen Ausgangsstoffe auf strukturierte Bereiche eines Substrats abgeschieden werden. Ausgangsstoffe, die zur Bildung der Schichten verwendet werden, weisen zum Beispiel zyklische und lineare Organosilicium-Verbindungen (z.B. zyklische Siloxane, wie beispielsweise Octamethylcyclotetrasiloxan [(CH3)2SiO]4) sowie lineares Tetramethylorthosilicat (Si(OCH3)4) auf. Die Ausgangsstoffe bilden eine Schicht, die während der Abscheidung auf das Substrat fließt, so dass ein Füllen begrenzter Geometrien erleichtert wird.SiCOH layers can be formed using CVD processes, in which starting materials are deposited on structured areas of a substrate. Starting materials that are used to form the layers include, for example, cyclic and linear organosilicon compounds (for example cyclic siloxanes such as octamethylcyclotetrasiloxane [(CH 3 ) 2 SiO] 4 ) and linear tetramethyl orthosilicate (Si (OCH 3 ) 4 ). The starting materials form a layer that flows onto the substrate during deposition, so that it is easier to fill limited geometries.

Wenngleich SiCOH-Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k gewünscht sind, können poröse SiCOH-Schichten mit niedrigeren k-Werten im Vergleich zu entsprechenden nicht porösen Materialien sub-optimale mechanische Eigenschaften aufweisen, wie zum Beispiel eine hohe Bruchgeschwindigkeit und -spannung sowie ein geringes Modul und eine geringe Härte. Dementsprechend sind diese Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k anfällig für Prozessschädigungen, wie beispielsweise jene durch eine chemisch-mechanische Planarisierung (CMP). Dementsprechend besteht ein Bedarf an einem Material für verbesserte dielektrische Schichten mit einer ultraniedrigen Dielektrizitätskonstanten k, das für mechanische Nachbearbeitungs-Schädigungen nicht anfällig ist und zum Füllen von Einheiten mit einer reduzierten Größe und reduzierten Abmessungen verwendet werden kann.Although SiCOH layers with an ultra-low dielectric constant k are desired, porous SiCOH layers with lower k values compared to corresponding non-porous materials can have sub-optimal mechanical properties, such as, for example, a high breaking speed and stress and a low modulus and a low hardness. Accordingly, these layers with an ultra-low dielectric constant k are prone to process damage, such as, for example, those caused by chemical mechanical planarization (CMP). Accordingly, there is a need for a material for improved dielectric layers having an ultra-low dielectric constant k that is not prone to mechanical rework damage and can be used to fill units of reduced size and dimensions.

Sich nunmehr einer Übersicht über die Aspekte der Erfindung zuwendend, gehen eine oder mehrere Ausführungsformen der Erfindung die vorstehend beschriebenen Schwachpunkte des Stands der Technik an, indem Abscheidungsverfahren bereitgestellt werden, die in dielektrischen Schichten mit einer niedrigen Dielektrizitätskonstanten k mit einem hohen Kohlenstoff-Gehalt (z.B. größer als 20 %) resultieren. Zur Bildung der dielektrischen Schichten werden zwei Ausgangsstoffe verwendet. Bei dem ersten Ausgangsstoff handelt es sich um eine zyklische Organosilicium-Verbindung, die eine zyklische Carbosiloxan-Gruppe mit einem sechsgliedrigen Ring aus drei Silicium-Atomen, die sich mit drei Sauerstoff-Atomen abwechseln, oder mit einem achtgliedrigen Ring aus vier Silicium-Atomen aufweist, die sich mit vier Sauerstoff-Atomen abwechseln. Der erste Ausgangsstoff weist einen hohen Kohlenstoff-Gehalt auf. Bei dem zweiten Ausgangsstoff handelt es sich um lineares Carbosiloxan oder Carbosilan mit einem hohen Kohlenstoff-Gehalt. Im Vergleich zu zyklischen Ausgangsstoffen mit vier Silicium-Atomen (z.B. Octamethylcyclotetrasiloxan) weisen zyklische Carbosiloxan-Ausgangsstoffe mit sechsgliedrigen Ringen einen höheren Kohlenstoff-Gehalt auf. Bei einigen Ausführungsformen der vorliegenden Erfindung ist das Verhältnis von C:Si höher als 4:1, und das Verhältnis von C:O ist höher als 1. Sobald der erste Ausgangsstoff und der zweite Ausgangsstoff auf dem strukturierten Substrat abgeschieden sind, kann die vorläufige Schicht einen Stickstoff enthaltenden Ausgangsstoff (z.B. Ammoniak (NH3)) ausgesetzt werden, um sowohl eine Stickstoff enthaltende SiCONH-Schicht zu bilden als auch ein Schrumpfen der Schicht zu reduzieren. Die vorläufige Schicht wird gehärtet, um die endgültige poröse Schicht zu bilden.Turning now to an overview of the aspects of the invention, one or more embodiments of the invention address the above-described weaknesses in the prior art by providing deposition methods that can be used in low k dielectric layers with a high carbon content (e.g. greater than 20%). Two starting materials are used to form the dielectric layers. The first starting material is a cyclic organosilicon compound which has a cyclic carbosiloxane group with a six-membered ring made up of three silicon atoms, which alternate with three oxygen atoms, or with an eight-membered ring made up of four silicon atoms that alternate with four oxygen atoms. The first starting material has a high carbon content. The second starting material is linear carbosiloxane or carbosilane with a high carbon content. Compared to cyclic starting materials with four silicon atoms (eg octamethylcyclotetrasiloxane), cyclic carbosiloxane starting materials with six-membered rings have a higher carbon content. In some embodiments of the present invention, the C: Si ratio is higher than 4: 1 and the C: O ratio is higher than 1. Once the first starting material and the second starting material are deposited on the patterned substrate, the preliminary layer can a nitrogen-containing starting material (eg ammonia (NH 3 )) to both form a nitrogen-containing SiCONH layer and to reduce shrinkage of the layer. The preliminary layer is hardened to form the final porous layer.

Die vorstehend beschriebenen Aspekte der Erfindung gehen die Schwachpunkte des Stands der Technik an, indem fließfähige, poröse SiCOH- und SiCONH-Schichten mit hohen Kohlenstoff-Gehalten und niedrigen k-Werten bereitgestellt werden (gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung z.B. niedriger als 3,0). Die zyklischen Carbosiloxane mit drei Silicium-Atomen stellen eine geringere Porengröße als ihre Pendants mit vier Silicium-Atomen bereit, so dass eine reduzierte Porengröße bereitgestellt wird, durch die der k-Wert verringert und eine geringere Kapazität bereitgestellt wird. Aufgrund der Kombination eines höheren Kohlenstoff-Gehalts und einer geringeren Porengröße und somit eines starken und stabilen Bondings innerhalb der Schicht weisen die Schichten verbesserte mechanische Eigenschaften (z.B. Dichte) sowie eine reduzierte Anfälligkeit für Prozessschädigungen auf, wie beispielsweise jene durch Ätzen und Planarisierung. Die Schichten weisen außerdem eine verbesserte konforme Bedeckung für ein Füllen von kleinen Zwischenräumen in strukturierten Substraten auf.The aspects of the invention described above address the weaknesses of the prior art by providing flowable, porous SiCOH and SiCONH layers with high carbon contents and low k values (e.g. lower than 3 according to one or more embodiments of the present invention , 0). The three silicon cyclic carbosiloxanes provide a smaller pore size than their four silicon counterparts, thus providing a reduced pore size that reduces the k value and provides less capacity. Due to the combination of a higher carbon content and a smaller pore size and thus a strong and stable bond within the layer, the layers have improved mechanical properties (e.g. density) and a reduced susceptibility to process damage, such as those caused by etching and planarization. The layers also have improved conformal coverage for filling small spaces in structured substrates.

Sich nunmehr einer detaillierteren Beschreibung von Aspekten der vorliegenden Erfindung zuwendend, bilden die 1 bis 6 einen Prozessablauf für ein Bilden einer dielektrischen Schicht auf einem einen oder mehrere Gräben 102 aufweisenden strukturierten Substrat 101 gemäß Ausführungsformen der vorliegenden Erfindung ab. 1 bildet eine Querschnittsseitenansicht des strukturierten Substrats 101 ab. Das strukturierte Substrat 101 weist ein Material aus einer einzigen Schicht oder aus mehreren Schichten auf, das ein halbleitendes Material, ein isolierendes Material, ein leitendes Material oder irgendeine Kombination derselben umfasst, jedoch nicht auf diese beschränkt ist. Nicht beschränkende Beispiel für halbleitende Materialien umfassen Si, SiGe, SiGeC, SiC, GaAs, InAs, InP und andere III/V- oder Il/Vl-Verbindungshalbleiter. Das strukturierte Substrat 101 kann außerdem ein geschichtetes Substrat umfassen, wie zum Beispiel Si/SiGe, Si/SiC, Silicium-auf-Isolatoren (SOls) oder Siliciumgermanium-auf-Isolatoren (SGOls).Turning now to a more detailed description of aspects of the present invention, FIGS 1 to 6th a process flow for forming a dielectric layer on one or more trenches 102 having structured substrate 101 according to embodiments of the present invention. 1 forms a cross-sectional side view of the structured Substrate 101 from. The structured substrate 101 comprises a single or multiple layer material including, but not limited to, a semiconducting material, an insulating material, a conductive material, or any combination thereof. Non-limiting examples of semiconducting materials include Si, SiGe, SiGeC, SiC, GaAs, InAs, InP, and other III / V or II / VI compound semiconductors. The structured substrate 101 may also include a layered substrate such as Si / SiGe, Si / SiC, silicon-on-insulators (SOIs), or silicon germanium-on-insulators (SGOIs).

Wenn das strukturierte Substrat 101 ein isolierendes Material aufweist, kann es sich bei dem isolierenden Material um einen organischen Isolator, einen anorganischen Isolator oder eine Kombination derselben handeln, die mehrere Schichten aufweist. Wenn das strukturierte Substrat 101 ein leitendes Material aufweist, kann das strukturierte Substrat 101 zum Beispiel Polysilicium, ein elementares Metall, Legierungen von elementaren Metallen, ein Metallsilicid, ein Metallnitrid sowie Kombinationen derselben aufweisen, die mehrere Schichten umfassen. Das strukturierte Substrat 101 kann eine Kombination aus einem halbleitenden Material und einem isolierenden Material, eine Kombination aus einem halbleitenden Material und einem leitfähigen Material oder eine Kombination aus einem halbleitenden Material, einem isolierenden Material und einem leitfähigen Material aufweisen.When the structured substrate 101 comprises an insulating material, the insulating material can be an organic insulator, an inorganic insulator, or a combination thereof comprising multiple layers. When the structured substrate 101 having a conductive material, the structured substrate 101 for example, polysilicon, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride, and combinations thereof comprising multiple layers. The structured substrate 101 may comprise a combination of a semiconducting material and an insulating material, a combination of a semiconducting material and a conductive material, or a combination of a semiconducting material, an insulating material and a conductive material.

Der eine Graben oder die mehreren Gräben 102 (oder die Zwischenräume) in dem strukturierten Substrat 101 werden zum Beispiel durch Ätzen gebildet. Bei einer Betrachtung von der Oberfläche aus können die Gräben 102 irgendeine beliebige Form oder Abmessung aufweisen. Die Gräben 102 können kreisförmig, oval, polygonal, rechtwinklig sein oder können eine Vielzahl von anderen Formen aufweisen. Die Gräben 102 weisen eine Höhe und eine Breite auf, die ein Aspektverhältnis von Höhe zu Breite definieren, das höher als 1:1 ist (z.B. 5:1 oder höher, 6:1 oder höher, 7:1 oder höher, 8:1 oder höher, 9:1 oder höher, 10:1 oder höher, 11:1 oder höher, 12:1 oder höher etc.). Bei einigen Ausführungsformen der vorliegenden Erfindung ist das hohe Aspektverhältnis auf geringe Zwischenraumbreiten von weniger als 32 Nanometer (nm), weniger als 28 nm, weniger als 22 nm oder weniger als 16 nm zurückzuführen. Wenngleich die 1 bis 6 Ausführungsformen eines strukturierten Substrats 101 darstellen, ist das Substrat gemäß anderen Ausführungsformen nicht strukturiert. Die hierin beschriebenen Schichten können auf irgendein beliebiges Substrat abgeschieden werden, das irgendeine beliebige Oberflächentopologie aufweist, einschließlich einer, die eben oder im Wesentlichen eben ist.The one trench or the several trenches 102 (or the spaces) in the structured substrate 101 are formed, for example, by etching. When viewed from the surface, the trenches 102 have any shape or dimension. The trenches 102 can be circular, oval, polygonal, rectangular, or can have a variety of other shapes. The trenches 102 have a height and a width that define an aspect ratio of height to width that is higher than 1: 1 (e.g. 5: 1 or higher, 6: 1 or higher, 7: 1 or higher, 8: 1 or higher, 9: 1 or higher, 10: 1 or higher, 11: 1 or higher, 12: 1 or higher etc.). In some embodiments of the present invention, the high aspect ratio is due to small gap widths of less than 32 nanometers (nm), less than 28 nm, less than 22 nm, or less than 16 nm. Although the 1 to 6th Embodiments of a structured substrate 101 represent, the substrate is not structured according to other embodiments. The layers described herein can be deposited on any substrate having any surface topology, including one that is planar or substantially planar.

2 bildet eine Querschnittsseitenansicht des strukturierten Substrats 101 anschließend an ein Auffließen eines ersten Ausgangsstoffs 204 und eines zweiten Ausgangsstoffs 206 auf die Oberfläche des strukturierten Substrats 101 ab. Das strukturierte Substrat 101 ist in einer Reaktorkammer angeordnet. Dann werden der erste Ausgangsstoff 204 und der zweite Ausgangsstoff 206, die in Form einer Flüssigkeit, eines Gases oder eines Dampfs vorliegenden können, in die Kammer eingeleitet. Es kann irgendein beliebiges Abscheidungsverfahren verwendet werden, zum Beispiel Aufschleuderbeschichtung, plasmaunterstützte chemische Gasphasenabscheidung (PECVD), thermische chemische Gasphasenabscheidung, Aufdampfung oder weitere Verfahren. 2 forms a cross-sectional side view of the structured substrate 101 subsequent to a flow of a first starting material 204 and a second raw material 206 onto the surface of the structured substrate 101 from. The structured substrate 101 is arranged in a reactor chamber. Then become the first raw material 204 and the second starting material 206 which may be in the form of a liquid, a gas or a vapor, introduced into the chamber. Any suitable deposition method can be used, for example spin coating, plasma enhanced chemical vapor deposition (PECVD), thermal chemical vapor deposition, vapor deposition, or other methods.

Bei dem ersten Ausgangsstoff 204 handelt es sich um zyklisches Carbosiloxan, das Atome von Silicium (Si), Kohlenstoff (C), Sauerstoff (O) und Wasserstoff (H) aufweist. Bei dem ersten Ausgangsstoff 204 handelt es sich gemäß einigen Ausführungsformen der vorliegenden Erfindung um einen sechsgliedrigen Ring aus drei Silicium-Atomen, die sich mit drei Sauerstoff-Atomen abwechseln. Gemäß anderen Ausführungsformen der vorliegenden Erfindung handelt es sich bei dem ersten Ausgangsstoff 204 um einen achtgliedrigen Ring aus vier Silicium-Atomen, die sich mit vier Sauerstoff-Atomen abwechseln.With the first raw material 204 is a cyclic carbosiloxane that has atoms of silicon (Si), carbon (C), oxygen (O) and hydrogen (H). With the first raw material 204 According to some embodiments of the present invention, it is a six-membered ring made up of three silicon atoms, which alternate with three oxygen atoms. According to other embodiments of the present invention, it is the first starting material 204 around an eight-membered ring made of four silicon atoms, which alternate with four oxygen atoms.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der erste Ausgangsstoff 204 die folgende Struktur auf:

Figure DE112019005372T5_0002
und
bei a, b, c, d, e und f handelt es sich jeweils unabhängig voneinander um eine Alkyl-Gruppe oder eine Alkenyl-Gruppe. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkyl-Gruppe um eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe. Gemäß einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkenyl-Gruppe um eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe.According to one or more embodiments of the present invention, the first starting material comprises 204 the following structure:
Figure DE112019005372T5_0002
and
a, b, c, d, e and f are each, independently of one another, an alkyl group or an alkenyl group. According to one or more embodiments of the present invention, the alkyl group is a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. According to some embodiments of the present invention, the alkenyl group is an ethenyl group, a propenyl group, a butenyl group or a pentenyl group.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der erste Ausgangsstoff 204 die folgende Struktur auf:

Figure DE112019005372T5_0003
und
bei a, c und e handelt es sich jeweils um eine Methyl-Gruppe, und bei b, d und f handelt es sich jeweils um eine Ethenyl-Gruppe.According to one or more embodiments of the present invention, the first starting material comprises 204 the following structure:
Figure DE112019005372T5_0003
and
a, c and e are each a methyl group; and b, d and f are each an ethenyl group.

Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist der erste Ausgangsstoff 204 die folgende Struktur auf:

Figure DE112019005372T5_0004
und bei a, b, c, d, e und f handelt es sich jeweils unabhängig voneinander um eine Alkyl-Gruppe oder eine Alkenyl-Gruppe. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkyl-Gruppe um eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe. Gemäß einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkenyl-Gruppe um eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe.According to some embodiments of the present invention, the first starting material comprises 204 the following structure:
Figure DE112019005372T5_0004
and a, b, c, d, e and f are each independently an alkyl group or an alkenyl group. According to one or more embodiments of the present invention, the alkyl group is a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. According to some embodiments of the present invention, the alkenyl group is an ethenyl group, a propenyl group, a butenyl group or a pentenyl group.

Bei einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der erste Ausgangsstoff 204 ein Verhältnis von Kohlenstoff zu Silicium (C:Si-Verhältnis) auf, das höher als 2:1 ist. Gemäß anderen Ausführungsformen weist der erste Ausgangsstoff ein C:Si-Verhältnis auf, das gleich etwa 3:1 bis etwa 9:1 ist.In one or more embodiments of the present invention, the first starting material comprises 204 a ratio of carbon to silicon (C: Si ratio) which is higher than 2: 1. According to other embodiments, the first starting material has a C: Si ratio that is equal to about 3: 1 to about 9: 1.

Bei dem zweiten Ausgangsstoff 206 handelt es sich gemäß einer oder mehreren Ausführungsformen der Erfindung um ein lineares Carbosiloxan, und er weist Atome von Silicium (Si), Kohlenstoff (C), Sauerstoff (O) und Wasserstoff (H) auf. Gemäß anderen Ausführungsformen der vorliegenden Erfindung handelt es sich bei dem zweiten Ausgangsstoff 206 um ein Carbosilan, und er weist Atome von Silicium (Si), Kohlenstoff (C) und Wasserstoff (H) auf.With the second starting material 206 According to one or more embodiments of the invention, it is a linear carbosiloxane and it has atoms of silicon (Si), carbon (C), oxygen (O) and hydrogen (H). According to other embodiments of the present invention, it is the second starting material 206 is a carbosilane, and it has atoms of silicon (Si), carbon (C) and hydrogen (H).

Der zweite Ausgangsstoff 206 weist außerdem einen hohen Kohlenstoff-Gehalt auf. Bei einigen Ausführungsformen der vorliegenden Erfindung ist das Verhältnis von C:Si in dem zweiten Ausgangsstoff 206 höher als 3:1. Gemäß anderen Ausführungsformen ist das Verhältnis von C:Si in dem zweiten Ausgangsstoff 206 gleich etwa 4:1 bis etwa 8:1. Bei einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 406 ein Verhältnis von Kohlenstoff zu Sauerstoff (C:O-Verhältnis) auf, das höher als 4:1 ist. Bei anderen Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 406 ein Verhältnis von C:O auf, das höher als 1 ist.The second raw material 206 also has a high carbon content. In some embodiments of the present invention, the ratio of C: Si is in the second raw material 206 higher than 3: 1. According to other embodiments, the ratio of C: Si is in the second starting material 206 equal to about 4: 1 to about 8: 1. In one or more embodiments of the present invention, the second starting material comprises 406 a ratio of carbon to oxygen (C: O ratio) that is higher than 4: 1. In other embodiments of the present invention, the second starting material comprises 406 has a C: O ratio higher than 1.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0005
und bei g, h, i, j, k und I handelt es sich jeweils unabhängig voneinander um eine Alkyl-Gruppe, eine Alkenyl-Gruppe oder eine Alkoxyl-Gruppe. Bei der Alkyl-Gruppe handelt es sich bei einigen Ausführungsformen der vorliegenden Erfindung um eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkenyl-Gruppe um eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe. Gemäß einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkoxyl-Gruppe um eine Methoxyl-Gruppe, eine Ethoxyl-Gruppe, eine Propoxyl-Gruppe oder eine Butoxyl-Gruppe.According to one or more embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0005
and g, h, i, j, k and I are each, independently of one another, an alkyl group, an alkenyl group or an alkoxyl group. In some embodiments of the present invention, the alkyl group is a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. According to one or more embodiments of the present invention, the alkenyl group is an ethenyl group, a propenyl group, a butenyl group or a pentenyl group. According to some embodiments of the present invention, the alkoxyl group is a methoxyl group, an ethoxyl group, a propoxyl group or a butoxyl group.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0006
According to one or more embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0006

Gemäß anderen Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0007
According to other embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0007

Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0008
und bei m, n, o und p handelt es sich jeweils unabhängig voneinander um Wasserstoff, eine Alkyl-Gruppe, eine Alkenyl-Gruppe oder eine Alkoxyl-Gruppe. Bei der Alkyl-Gruppe handelt es sich bei einigen Ausführungsformen der vorliegenden Erfindung um eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkenyl-Gruppe um eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe. Gemäß einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkoxyl-Gruppe um eine Methoxyl-Gruppe, eine Ethoxyl-Gruppe, eine Propoxyl-Gruppe oder eine Butoxyl-Gruppe.According to some embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0008
and m, n, o and p are each independently hydrogen, an alkyl group, an alkenyl group or an alkoxyl group. In some embodiments of the present invention, the alkyl group is a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. According to one or more embodiments of the present invention, the alkenyl group is an ethenyl group, a propenyl group, a butenyl group or a pentenyl group. According to some embodiments of the present invention, the alkoxyl group is a methoxyl group, an ethoxyl group, a propoxyl group or a butoxyl group.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0009
According to one or more embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0009

Gemäß anderen Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0010
und bei q und r handelt es sich jeweils unabhängig voneinander um Wasserstoff, eine Alkyl-Gruppe, eine Alkenyl-Gruppe oder eine Alkoxyl-Gruppe. Bei der Alkyl-Gruppe handelt es sich bei einigen Ausführungsformen der vorliegenden Erfindung um eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkenyl-Gruppe um eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe. Gemäß einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Alkoxyl-Gruppe um eine Methoxyl-Gruppe, eine Ethoxyl-Gruppe, eine Propoxyl-Gruppe oder eine Butoxyl-Gruppe.According to other embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0010
and q and r are each independently hydrogen, an alkyl group, an alkenyl group or an alkoxyl group. In some embodiments of the present invention, the alkyl group is a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. According to one or more embodiments of the present invention, the alkenyl group is an ethenyl group, a propenyl group, a butenyl group or a pentenyl group. According to some embodiments of the present invention, the alkoxyl group is a methoxyl group, an ethoxyl group, a propoxyl group or a butoxyl group.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist der zweite Ausgangsstoff 206 die folgende Struktur auf:

Figure DE112019005372T5_0011
According to one or more embodiments of the present invention, the second starting material comprises 206 the following structure:
Figure DE112019005372T5_0011

Während der erste Ausgangsstoff 204 und der zweite Ausgangsstoff 206 abgeschieden werden, kann ein oxidierendes Gas oder ein inertes Gas in der Kammer enthalten sein. Nicht beschränkende Beispiele für oxidierende Gase umfassen Kohlenstoffdioxid, Sauerstoff, Distickstoffoxid oder irgendeine Kombination derselben. Das oxidierende Gas kann dazu verwendet werden, die Reaktanten in dem Reaktor zu stabilisieren und die Gleichmäßigkeit der auf dem Substrat abgeschiedenen dielektrischen Schicht zu verbessern. Das oxidierende Gas ist optional und kann dazu verwendet werden, die Reaktivität und die Gleichmäßigkeit zu unterstützen.During the first raw material 204 and the second starting material 206 are deposited, an oxidizing gas or an inert gas may be contained in the chamber. Non-limiting examples of oxidizing gases include carbon dioxide, oxygen, nitrous oxide, or any combination thereof. The oxidizing gas can be used to stabilize the reactants in the reactor and to improve the uniformity of the dielectric layer deposited on the substrate. The oxidizing gas is optional and can be used to aid reactivity and uniformity.

Die Bedingungen für das Abscheiden des ersten Ausgangsstoffs 204 und des zweiten Ausgangsstoffs 206 können in Abhängigkeit von der gewünschten endgültigen Dielektrizitätskonstanten der dielektrischen Schicht variieren. Die Verwendung einer niedrigen Temperatur stellt jedoch eine Schicht mit einem hohen Kohlenstoff-Gehalt bereit, indem ermöglicht wird, dass zumindest ein Anteil der instabilen organischen Porenbildner-Gruppen in der endgültigen Schicht verbleibt. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung kann die verwendete Temperatur in einem Bereich von etwa 10 °C bis etwa 300 °C liegen. Bei einem weiteren Aspekt kann die Temperatur mit einer Temperatur in einem Bereich von etwa 25 °C bis etwa 200 °C vorgegeben werden. Bei noch weiteren Ausführungsformen der vorliegenden Erfindung kann die Temperatur mit etwa 60 °C bis etwa 120 °C vorgegeben werden.The conditions for the deposition of the first raw material 204 and the second raw material 206 may vary depending on the ultimate dielectric constant of the dielectric layer desired. However, the use of a low temperature provides a layer with a high carbon content by allowing at least a portion of the unstable organic pore-forming groups remain in the final layer. In accordance with one or more embodiments of the present invention, the temperature used can range from about 10 ° C to about 300 ° C. In a further aspect, the temperature can be specified with a temperature in a range from about 25 ° C to about 200 ° C. In still further embodiments of the present invention, the temperature can be specified at about 60 ° C to about 120 ° C.

3 bildet eine Querschnittsseitenansicht des strukturierten Substrats 101 anschließend an ein Bilden der vorläufigen dielektrischen Schicht 303 aus dem ersten und dem zweiten Ausgangsstoff 204, 206 auf dem strukturierten Substrat 101 ab. Die vorläufige Schicht 303 weist ein kovalent gebundenes Netzwerk aus Silicium (Si), Sauerstoff (O), Kohlenstoff (C) und Wasserstoff (H) zusammen mit einer zyklischen Carbosiloxan-Struktur auf. Die vorläufige Schicht 303 weist außerdem gemäß einigen Ausführungsformen der vorliegenden Erfindung eine brückenbildende Si-CH2-Si-Gruppe von dem zweiten Ausgangsstoff 206 auf. 3 forms a cross-sectional side view of the structured substrate 101 subsequent to forming the preliminary dielectric layer 303 from the first and the second starting material 204 , 206 on the structured substrate 101 from. The preliminary shift 303 has a covalently bonded network of silicon (Si), oxygen (O), carbon (C) and hydrogen (H) together with a cyclic carbosiloxane structure. The preliminary shift 303 also has, in accordance with some embodiments of the present invention, a bridging Si-CH 2 -Si group from the second starting material 206 on.

Die vorläufige dielektrische Schicht 303 bildet eine konforme Schicht, die auf der Oberfläche des strukturierten Substrats 101 im Allgemeinen gleichmäßig ist. Die vorläufige dielektrische Schicht 303 füllt die Gräben 102 selbst bei hohen Aspektverhältnissen, ohne Luftzwischenräume oder Hohlräume darin zu bilden.The preliminary dielectric layer 303 forms a conformal layer that is on the surface of the patterned substrate 101 is generally uniform. The preliminary dielectric layer 303 fills the trenches 102 even with high aspect ratios, without creating air gaps or cavities in them.

Die vorläufige dielektrische Schicht 303 weist außerdem einen instabilen Porenbildner auf, von dem ein Anteil anschließend entfernt wird, um eine endgültige poröse dielektrische Schicht zu bilden. Bei einem Porenbildner handelt es sich um eine instabile, entfernbare funktionelle Gruppe, die in der vorläufigen Schicht vorhanden ist und kovalent an diese gebunden ist. Zumindest ein Anteil des Porenbildners wird anschließend mittels eines energetischen Behandlungsschritts (siehe 5) entfernt, um die endgültige poröse Schicht mit einer niedrigen Dielektrizitätskonstanten k bereitzustellen. Wie hierin erwähnt, weist eine poröse dielektrische Schicht eine Mehrzahl von Poren oder Öffnungen innerhalb der Schicht auf, die durch das Entfernen einer Mehrzahl von instabilen Porenbildnern gebildet werden.The preliminary dielectric layer 303 also has an unstable pore former, a portion of which is then removed to form a final porous dielectric layer. A pore former is an unstable, removable functional group that is present in and covalently bonded to the temporary layer. At least a portion of the pore former is then removed by means of an energetic treatment step (see 5 ) is removed to provide the final porous layer with a low dielectric constant k. As mentioned herein, a porous dielectric layer has a plurality of pores or openings within the layer that are formed by the removal of a plurality of unstable pore formers.

4 bildet eine Querschnittsseitenansicht des strukturierten Substrats 101 anschließend an ein Einwirken eines Stickstoff enthaltenden Ausgangsstoffs 410 auf die vorläufige dielektrische Schicht 303 ab. Gemäß einer oder mehreren Ausführungsformen weist der Stickstoff enthaltende Ausgangsstoff 410 Ammoniak (NH3) auf. Der Stickstoff enthaltende Ausgangsstoff 410 weist gemäß einigen Ausführungsformen der vorliegenden Erfindung Distickstoffmonoxid (D2O) auf. 4th forms a cross-sectional side view of the structured substrate 101 subsequent to the action of a nitrogen-containing starting material 410 on the preliminary dielectric layer 303 from. According to one or more embodiments, the nitrogen-containing starting material comprises 410 Ammonia (NH 3 ). The starting material containing nitrogen 410 comprises nitrous oxide (D 2 O) according to some embodiments of the present invention.

Durch das Einwirken des Stickstoff enthaltenden Ausgangsstoffs 410 auf die vorläufige dielektrische Schicht 303 kann eine geringe Menge an Stickstoff (N) zu den Schichten hinzugefügt werden oder nicht hinzugefügt werden. Somit weist die resultierende Schicht anschließend an das Einwirken des Stickstoff enthaltenden Ausgangsstoffs 410 Silicium, Kohlenstoff, Sauerstoff und Wasserstoff (SiCOH) oder Silicium, Kohlenstoff, Sauerstoff, Wasserstoff und Stickstoff (SiCONH) auf. Das Einwirken eines Stickstoff enthaltenden Ausgangsstoffs 410 auf die vorläufige Schicht 303 schwächt ein Schrumpfen der Schicht ab und ermöglicht, dass die Schicht eine höhere physische Festigkeit als eine unbehandelte, ansonsten gleichartige Schicht bewahrt. Ohne an eine Theorie gebunden zu sein, kann das Einwirken des Stickstoff enthaltenden Ausgangsstoffs 410 die Effektivität des nachfolgenden Härtungsprozesses erhöhen, der unerwünschte Komponenten entfernen kann und die Schichtmatrix vernetzen kann, um ein starres Materialgitter zu bilden.By the action of the nitrogen-containing starting material 410 on the preliminary dielectric layer 303 a small amount of nitrogen (N) may or may not be added to the layers. Thus, the resulting layer is then exposed to the action of the nitrogen-containing starting material 410 Silicon, carbon, oxygen and hydrogen (SiCOH) or silicon, carbon, oxygen, hydrogen and nitrogen (SiCONH). The action of a nitrogen-containing raw material 410 on the preliminary shift 303 mitigates shrinkage of the layer and enables the layer to retain greater physical strength than an untreated, otherwise similar layer. Without being bound to a theory, the action of the nitrogen-containing starting material 410 increase the effectiveness of the subsequent hardening process, which can remove unwanted components and cross-link the layer matrix to form a rigid material lattice.

5 bildet eine Querschnittsseitenansicht der mit Stickstoff behandelten vorläufigen dielektrischen Schicht 303a während einer Härtung mit einer Energiequelle 505 ab. Um die endgültige poröse Schicht mit einer niedrigen Dielektrizitätskonstanten k zu bilden (303b, wie in 6 gezeigt), wird Energie 505 in Form von thermischer Energie, UV-Licht, Mikrowellen, in Form eines Elektronenstrahls, eines lonenstrahls oder in Form einer anderen Energiequelle, wie beispielsweise katalytischen Spezies, auf die mit Stickstoff behandelte vorläufige dielektrische Schicht 303a angewendet. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine Kombination von zwei oder mehr dieser Energiequellen eingesetzt. Diese zugeführte Energie wandelt die mit Stickstoff behandelte vorläufige dielektrische Schicht 303a in die endgültige poröse Schicht mit einer niedrigen Dielektrizitätskonstanten k um (wie in 6 gezeigt). Spezifisch stellt das Entfernen von zumindest einem Anteil der instabilen Porenbildner-Gruppe aus der vorläufigen Schicht eine poröse Schicht bereit, wie im Folgenden beschrieben. Aufgrund der Struktur des ersten Ausgangsstoffs 204 mit einer zyklischen Carbosiloxan-Struktur werden zum Beispiel im Vergleich mit anderen zyklischen Tetrasilan-Ausgangsstoffen, z.B. Octamethylcyclotetrasilan (OMCTS) kleinere, im Wesentlichen untereinander nicht verbundene Poren bereitgestellt. Aufgrund des hohen Kohlenstoff-Gehalts des zweiten Ausgangsstoffs ist außerdem der Kohlenstoff-Gehalt der endgültigen porösen Schicht ebenfalls höher. 5 Figure 12 is a cross-sectional side view of the nitrogen treated preliminary dielectric layer 303a during curing with an energy source 505 from. To form the final porous layer with a low dielectric constant k (303b, as in 6th shown) becomes energy 505 in the form of thermal energy, UV light, microwaves, in the form of an electron beam, an ion beam or in the form of another energy source such as catalytic species on the preliminary dielectric layer treated with nitrogen 303a applied. In some embodiments of the present invention, a combination of two or more of these energy sources is used. This supplied energy converts the temporary dielectric layer treated with nitrogen 303a into the final porous layer with a low dielectric constant k µm (as in 6th shown). Specifically, removing at least a portion of the unstable pore-forming group from the preliminary layer provides a porous layer as described below. Due to the structure of the first raw material 204 With a cyclic carbosiloxane structure, for example, compared with other cyclic tetrasilane starting materials, for example octamethylcyclotetrasilane (OMCTS), smaller, essentially non-interconnected pores are provided. Because of the high carbon content of the second starting material, the carbon content of the final porous layer is also higher.

Gemäß einigen Ausführungsformen der vorliegenden Erfindung ist die Temperatur des Härtungsprozesses gleich etwa 100 °C bis etwa 450 °C. Gemäß anderen Ausführungsformen ist die Temperatur des Härtungsprozesses gleich etwa 200 °C bis etwa 400 °C. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung ist die Temperatur des Härtungsprozesses gleich etwa 250 °C bis etwa 385 °C oder gleich etwa 300 °C bis etwa 350 °C.According to some In embodiments of the present invention, the temperature of the curing process is equal to about 100 ° C to about 450 ° C. In other embodiments, the temperature of the curing process is equal to about 200 ° C to about 400 ° C. According to one or more embodiments of the present invention, the temperature of the curing process is equal to about 250 ° C to about 385 ° C or equal to about 300 ° C to about 350 ° C.

Daher ist zumindest ein Anteil des Porenbildners in der endgültigen Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k nicht vorhanden. Bei dem Schritt der energetischen Behandlung werden Poren oder Hohlräume oder Löcher in der endgültigen Schicht erzeugt. Die resultierende endgültige Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k weist eine Porosität im Nanometer-Bereich auf, die durch ein Entfernen der Porenbildner-Gruppen gebildet wird.Hence, at least some of the pore former is in the final layer 303b with a low dielectric constant k does not exist. In the energetic treatment step, pores or voids or holes are created in the final layer. The resulting final layer 303b with a low dielectric constant k has a porosity in the nanometer range, which is formed by removing the pore-forming groups.

Die Dicke der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k variiert im Allgemeinen und ist von der gewünschten Anwendung abhängig. Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k eine Dicke von etwa 5 Nanometern (nm) bis etwa 500 nm auf. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k eine Dicke von etwa 20 nm bis etwa 120 nm auf.The thickness of the layer 303b with a low dielectric constant k generally varies and is dependent on the desired application. According to some embodiments of the present invention, the layer 303b with a low dielectric constant k has a thickness of about 5 nanometers (nm) to about 500 nm. In some embodiments of the present invention, the layer has 303b with a low dielectric constant k has a thickness of about 20 nm to about 120 nm.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung ist die Dielektrizitätskonstante (k) der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k niedriger als 3,0. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der k-Wert der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k niedriger als 2,7. Bei noch einem anderen Aspekt ist der k-Wert der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k niedriger als etwa 2,6. Bei noch einem weiteren Aspekt ist der k-Wert der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k gleich etwa 2,2 bis etwa 2,8.According to one or more embodiments of the present invention, the dielectric constant is (k) of the layer 303b with a low dielectric constant k lower than 3.0. In some embodiments of the present invention is the k-value of the layer 303b with a low dielectric constant k lower than 2.7. In yet another aspect is the k-value of the layer 303b with a low dielectric constant k lower than about 2.6. In yet another aspect is the k-value of the layer 303b with a low dielectric constant k equal to about 2.2 to about 2.8.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k um eine SiCOH-Schicht, und sie weist ein kovalent gebundenes Netzwerk aus Atomen von Si, C, O und H auf. Die Atome bilden eine dreidimensionale Netzwerk-Struktur, in der Si, C, O und H untereinander verbunden sind und in der x-, der y- und der z-Richtung zueinander in Beziehung stehen. Die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k weist einen hohen Prozentsatz an Kohlenstoff (C) auf, der eine Vernetzung in der Schicht verstärkt. Des Weiteren stellt ein höherer Kohlenstoff-Gehalt vorteilhafte Eigenschaften bereit, einschließlich einer geringeren plasmainduzierten Schädigung (PID), einer kleineren mittleren Porengröße sowie eines verbesserten Moduls. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten keine brückenbildende Si-CH2-Si-Gruppe auf.According to one or more embodiments of the present invention, it is the layer 303b with a low dielectric constant k around a SiCOH layer, and it has a covalently bonded network of atoms of Si, C, O and H on. The atoms form a three-dimensional network structure in which Si, C, O and H are connected to one another and are related to one another in the x, y and z directions. The layer 303b with a low dielectric constant k has a high percentage of carbon (C), which increases crosslinking in the layer. In addition, higher carbon content provides beneficial properties including lower plasma induced damage (PID), smaller mean pore size, and improved modulus. In some embodiments of the present invention, the layer has 303b with a low dielectric constant no bridging Si-CH 2 -Si group.

Bei einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k einen atomaren Prozentsatz (Atom-%) von Kohlenstoff (C) von zumindest etwa 30 auf (30 Atom-% Kohlenstoff (C)). Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k zumindest 35 Atom% Kohlenstoff (C) auf. Bei noch weiteren Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k etwa 35 Atom-% Kohlenstoff (C) bis etwa 50 Atom-% Kohlenstoff (C) auf.In one or more embodiments of the present invention, the layer has 303b with a low dielectric constant k, an atomic percentage (atomic%) of carbon (C) of at least about 30 to (30 atomic% carbon (C)). In some embodiments of the present invention, the layer has 303b with a low dielectric constant k has at least 35 atom% carbon (C). In still further embodiments of the present invention, the layer has 303b with a low dielectric constant k has about 35 atom% carbon (C) to about 50 atom% carbon (C).

Gemäß einer oder mehreren Ausführungsformen handelt es sich bei der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k um eine SiCONH-Schicht, und sie weist eine geringe Menge an Stickstoff (N) auf, so dass Stabilität und PID verbessert werden. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k etwa 1 Atom-% Stickstoff (N) bis etwa 15 Atom-% Stickstoff (N) auf. Bei anderen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k etwa 1 Atom-% Stickstoff (N) bis etwa 4 Atom-% Stickstoff (N) auf.According to one or more embodiments, it is the layer 303b with a low dielectric constant k around a SiCONH layer, and it has a small amount of nitrogen (N), so that stability and PID are improved. In some embodiments of the present invention, the layer has 303b with a low dielectric constant k about 1 atom% nitrogen (N) to about 15 atom% nitrogen (N). In other embodiments of the present invention, the layer has 303b with a low dielectric constant k about 1 atom% nitrogen (N) to about 4 atom% nitrogen (N).

Die chemische Struktur der Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k verbessert die mechanischen Eigenschaften des Materials. Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k bei einer Dicke von 400 nm ein Modul von 3 Gigapascal (GPa) bis etwa 12 GPa auf. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k bei 400 nm ein Modul von etwa 7 GPa bis etwa 10 GPa auf.The chemical structure of the layer 303b having a low dielectric constant k improves the mechanical properties of the material. According to one or more embodiments of the present invention, the layer 303b with a low dielectric constant k at a thickness of 400 nm has a modulus of 3 gigapascals (GPa) to about 12 GPa. In some embodiments of the present invention, the layer has 303b with a low dielectric constant k at 400 nm has a modulus of about 7 GPa to about 10 GPa.

Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k eine Porosität von etwa 1 % bis etwa 50 % auf. Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist die Schicht 303b mit einer niedrigen Dielektrizitätskonstanten k eine Porosität von etwa 8 % bis etwa 20 % auf.According to one or more embodiments of the present invention, the layer 303b with a low dielectric constant k has a porosity of about 1% to about 50%. According to some embodiments of the present invention, the layer 303b with a low dielectric constant k has a porosity of about 8% to about 20%.

Die Schichten 303b mit einer niedrigen Dielektrizitätskonstanten k können bei einer Vielzahl von Anwendungen eingesetzt werden. Die Schichten können zum Beispiel in Verfahren und Einheiten mit vollständig ausgerichteten Durchkontakten, Zwischenraum-Füll-Anwendungen bei FEOL-Gate-Stapeln und Zwischenraum-Füll-Verfahren und -Einheiten bei magnetoresistiven Speichern mit wahlfreiem Zugriff und magnetischem Tunnelübergang (MTJ MRAM) eingesetzt werden.The layers 303b with a low dielectric constant k can be used in a variety of applications. For example, the layers can be used in processes and devices with fully aligned vias, space-fill applications in FEOL gate stacks, and space-fill processes and devices. Units are used in magnetoresistive memories with random access and magnetic tunnel junction (MTJ MRAM).

BEISPIELEEXAMPLES

7 bildet eine exemplarische elektronenmikroskopische Aufnahme 700 ab, die eine in Gräben eines Substrats angeordnete dielektrische Schicht 702 gemäß Ausführungsformen der vorliegenden Erfindung zeigt. Bei der Schicht 702 handelte es sich um eine SiCOH-Schicht, und sie wies eine Dicke von etwa 80 nm bei einem k-Wert von etwa 2,75 auf. Wie gezeigt, füllte die Schicht 702 die Zwischenräume mit einem hohen Aspektverhältnis zwischen Säulen in dem Substrat 707. Die Zwischenräume wiesen ein Aspektverhältnis von 1:1 auf. Die Schicht 702 füllte die Zwischenräume ohne irgendeinen Anhaltspunkt für Hohlräume. 7th forms an exemplary electron microscope image 700 a dielectric layer arranged in trenches in a substrate 702 according to embodiments of the present invention. At the shift 702 it was a SiCOH layer and it was about 80 nm thick with a k value of about 2.75. As shown, filled the layer 702 the high aspect ratio spaces between pillars in the substrate 707 . The spaces had an aspect ratio of 1: 1. The layer 702 filled the spaces with no evidence of voids.

8 bildet eine graphische Darstellung 800 ab, die Dielektrizitätskonstanten von dielektrischen Schichten vergleicht, die mit einer und ohne eine Härtung mit ultraviolettem (UV) Licht gebildet wurden. Die Messungen wurden bei 150 °C durchgeführt. Im Allgemeinen wiesen die dielektrischen Schichten 802 ohne eine UV-Härtung höhere k-Werte auf als dielektrische Schichten 804, die mit UV-gehärtet wurden. Eine UV-Härtung verringerte die k-Werte von etwa 2,8 auf etwa 2,6. 8th forms a graphic representation 800 ab, which compares dielectric constants of dielectric layers formed with and without curing with ultraviolet (UV) light. The measurements were carried out at 150 ° C. In general, the dielectric layers 802 without UV curing, the k values are higher than those of dielectric layers 804 that have been UV cured. UV cure reduced the k values from about 2.8 to about 2.6.

9A bildet eine graphische Darstellung 900 ab, die einen atomaren Prozentsatz an Kohlenstoff (Atom-% bzw. at.-% Kohlenstoff) in einer gemäß Ausführungsformen der vorliegenden Erfindung hergestellten Schicht zeigt, die aus einem Ausgangsstoff mit einer zyklischen Carbosiloxan-Gruppe mit einem sechsgliedrigen Ring aus Silicium und Sauerstoff gebildet wurde. 9B bildet eine graphische Darstellung 901 ab, die einen atomaren Prozentsatz an Kohlenstoff (Atom-% bzw. at.-% Kohlenstoff) in einer dielektrischen Vergleichsschicht zeigt, die aus einem Octamethylcyclotetrasilan(OMCTS)-Ausgangsstoff mit einem achtgliedrigen Ring aus Silicium und Sauerstoff gebildet wurde. Wie in den 9A und 9B gezeigt, ist der atomare Prozentsatz (at.%) an Kohlenstoff 904 der erfinderischen Schicht höher (40 at.-% bis 45 at.-%) (9A) als der atomare Prozentsatz (at.%) an Kohlenstoff 903 der Vergleichsschicht, der etwa gleich 30 at.-% war (9B). 9A forms a graphic representation 900 which shows an atomic percentage of carbon (atomic% or at .-% carbon) in a layer produced according to embodiments of the present invention, which layer is formed from a starting material having a cyclic carbosiloxane group with a six-membered ring of silicon and oxygen has been. 9B forms a graphic representation 901 which shows an atomic percentage of carbon (atom% or at% carbon) in a comparative dielectric layer formed from an octamethylcyclotetrasilane (OMCTS) starting material with an eight-membered ring of silicon and oxygen. As in the 9A and 9B shown is the atomic percentage (at.%) of carbon 904 the inventive layer higher (40 at .-% to 45 at .-%) ( 9A) as the atomic percentage (at.%) of carbon 903 the comparison layer, which was approximately equal to 30 at .-% ( 9B) .

10 bildet eine graphische Darstellung 1000 ab, die eine prozentuale Schrumpfung einer dielektrischen Schicht gemäß Ausführungsformen der vorliegenden Erfindung anschließend an ein Einwirken von Ammoniak zeigt. Wie gezeigt, verringerte eine Behandlung der Schichten mit Ammoniak das Schrumpfen der Schichten. 10 forms a graphic representation 1000 showing percent shrinkage of a dielectric layer in accordance with embodiments of the present invention following exposure to ammonia. As shown, treating the layers with ammonia reduced the shrinkage of the layers.

Hierin sind verschiedene Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die zugehörigen Zeichnungen beschrieben. Alternative Ausführungsformen können konzipiert werden, ohne von dem Umfang dieser Erfindung abzuweichen. Wenngleich in der folgenden Beschreibung und in den Zeichnungen verschiedene Verbindungen und positionelle Beziehungen (z.B. über, unter, benachbart etc.) zwischen Elementen dargelegt sind, erkennt ein Fachmann, dass viele der hierin beschriebenen positionellen Beziehungen unabhängig von einer Orientierung sind, wenn die beschriebene Funktionalität aufrechterhalten wird, auch wenn die Orientierung geändert wird. Diese Verbindungen und/oder positionellen Beziehungen können, wenn nichts anderes spezifiziert ist, direkt oder indirekt sein, und die vorliegende Erfindung soll in dieser Hinsicht nicht beschränkend sein. Dementsprechend kann sich eine Kopplung von Einheiten entweder auf eine direkte oder auf eine indirekte Kopplung beziehen, und bei einer positionellen Beziehung zwischen Einheiten kann es sich um eine direkte oder eine indirekte positionelle Beziehung handeln. Als ein Beispiel für eine indirekte positionelle Beziehung umfassen Bezugnahmen in der vorliegenden Beschreibung auf das Bilden einer Schicht „A“ über einer Schicht „B“ Situationen, in denen sich eine oder mehrere zwischenliegende(n) Schicht(en) (z.B. eine Schicht „C“) zwischen der Schicht „A“ und der Schicht „B“ befinden, solange die relevanten Eigenschaften und Funktionalitäten der Schicht „A“ und der Schicht „B“ durch die zwischenliegende(n) Schicht(en) nicht wesentlich geändert werden.Various embodiments of the present invention are described herein with reference to the accompanying drawings. Alternative embodiments can be devised without departing from the scope of this invention. While various connections and positional relationships (e.g., above, below, adjacent, etc.) between elements are set forth in the following description and in the drawings, one skilled in the art will recognize that many of the positional relationships described herein are orientation-independent if the functionality described is maintained even if the orientation is changed. These connections and / or positional relationships, unless otherwise specified, can be direct or indirect, and the present invention is not intended to be limiting in these respects. Accordingly, a coupling of entities can refer to either a direct or an indirect coupling, and a positional relationship between entities can be a direct or an indirect positional relationship. As an example of an indirect positional relationship, references in this specification to forming a layer “A” over a layer “B” include situations where one or more intervening layers (e.g., a layer “C ") Are located between layer" A "and layer" B ", as long as the relevant properties and functionalities of layer" A "and layer" B "are not significantly changed by the layer (s) in between.

Die folgenden Definitionen und Abkürzungen sind für die Interpretation der Ansprüche und der Beschreibung zu verwenden. Wie hierin verwendet, sollen die Begriffe „weist auf“, „aufweisend“, „umfasst“, „umfassend“, „weist auf“, „aufweisend“, „enthält“ oder „enthaltend“ oder irgendeine beliebige andere Variation derselben eine nicht ausschließende Inklusion abdecken. Zum Beispiel ist eine Zusammensetzung, ein Gemisch, ein Prozess, ein Verfahren, ein Gegenstand oder eine Vorrichtung, die/das/der eine Liste von Elementen aufweist, nicht zwangsläufig nur auf diese Elemente beschränkt, sondern kann weitere Elemente umfassen, die nicht ausdrücklich aufgelistet sind oder die für eine derartige Zusammensetzung, ein derartiges Gemisch, einen derartigen Prozess, ein derartiges Verfahren, einen derartigen Gegenstand oder eine derartige Vorrichtung inhärent sind.The following definitions and abbreviations are to be used for the interpretation of the claims and the description. As used herein, the terms “has,” “having,” “comprises,” “comprising,” “has,” “having,” “contains,” or “including” or any other variation thereof are intended to be a non-exclusive inclusion cover. For example, a composition, mixture, process, method, article, or device that has a list of items is not necessarily limited to only those items, but may include other items that are not specifically listed or which are inherent in such a composition, mixture, process, method, article or device.

Darüber hinaus wird der Begriff „exemplarisch“ hierin so verwendet, dass er die Bedeutung „als ein Beispiel, als ein Fall oder als eine Darstellung dienend“ aufweist. Irgendeine beliebige Ausführungsform oder irgendeine beliebige Auslegung, die hierin als „exemplarisch“ beschrieben ist, ist nicht zwangsläufig als bevorzugt oder vorteilhaft gegenüber anderen Ausführungsformen oder Auslegungen zu interpretieren. Die Begriffe „zumindest einer/eine/eines“ und „ein/eine/eines oder mehrere“ sind so zu verstehen, dass sie irgendeine beliebige ganze Zahl größer als oder gleich eins umfassen, d.h. eins, zwei, drei, vier etc. Der Begriff „eine Mehrzahl“ ist so zu verstehen, dass er irgendeine beliebige ganze Zahl größer als oder gleich zwei umfasst, d.h. zwei, drei, vier, fünf etc. Der Begriff „Verbindung“ kann eine indirekte „Verbindung“ und eine direkte „Verbindung“ umfassen.Additionally, the term “exemplary” is used herein to mean “serving as an example, case, or illustration”. Any embodiment or configuration described herein as "exemplary" is not necessarily preferred or advantageous over to interpret other embodiments or designs. The terms “at least one” and “one or more” are to be understood to include any integer greater than or equal to one, ie, one, two, three, four, etc. The term “A plurality” is to be understood to include any integer greater than or equal to two, ie, two, three, four, five, etc. The term “connection” can include an indirect “connection” and a direct “connection” .

Bezugnahmen in der Beschreibung auf „die eine Ausführungsform“, „eine Ausführungsform“, „eine beispielhafte Ausführungsform“ etc. weisen darauf hin, dass die beschriebene Ausführungsform ein spezielles Merkmal, eine spezielle Struktur oder ein spezielles Charakteristikum aufweisen kann, dass jedoch jede Ausführungsform das spezielle Merkmal, die spezielle Struktur oder das spezielle Charakteristikum aufweisen kann oder nicht aufweisen kann. Darüber hinaus beziehen sich derartige Phrasen nicht zwangsläufig auf die gleiche Ausführungsform. Wenn des Weiteren ein spezielles Merkmal, eine spezielle Struktur oder ein spezielles Charakteristikum in Verbindung mit einer Ausführungsform beschrieben ist, wird zu bedenken gegeben, dass es im Wissen eines Fachmanns liegt, ein derartiges Merkmal, eine derartige Struktur oder ein derartiges Charakteristikum in Verbindung mit anderen Ausführungsformen zu beeinflussen, unabhängig davon, ob explizit beschrieben oder nicht explizit beschrieben.References in the description to “the one embodiment,” “an embodiment,” “an exemplary embodiment,” etc. indicate that the described embodiment may have a particular feature, structure, or characteristic, but that each embodiment does special feature, which may or may not have the special structure or characteristic. In addition, such phrases do not necessarily refer to the same embodiment. Furthermore, when describing a particular feature, structure, or characteristic in connection with an embodiment, it should be considered that such feature, structure, or characteristic is well within the knowledge of one skilled in the art in connection with others To influence embodiments, regardless of whether explicitly described or not explicitly described.

Für die Zwecke der Beschreibung im Folgenden beziehen sich die Begriffe „oberer/obere/oberes“, „unterer/untere/unteres“, „rechts“, „links“, „vertikal“, „horizontal“, „oben“, „unten“ sowie Ableitungen derselben auf die beschriebenen Strukturen und Verfahren, wie sie in den Zeichnungsfiguren orientiert sind. Die Begriffe „darüberliegend“, „darüber“, „oben auf“, „positioniert auf“ oder „positioniert über“ bedeuten, dass ein erstes Element, wie beispielsweise eine erste Struktur, auf einem zweiten Element vorhanden ist, wie beispielsweise auf einer zweiten Struktur, wobei zwischen dem ersten Element und dem zweiten Element dazwischenliegende Elemente vorhanden sein können, wie beispielsweise eine Zwischenschichtstruktur. Der Begriff „direkter Kontakt“ bedeutet, dass ein erstes Element, wie beispielsweise eine erste Struktur, und ein zweites Element, wie beispielsweise eine zweite Struktur, ohne irgendwelche dazwischenliegenden leitenden, isolierenden oder halbleitenden Schichten an der Grenzfläche der zwei Elemente verbunden sind.For the purposes of the description in the following, the terms "upper / upper / upper", "lower / lower / lower", "right", "left", "vertical", "horizontal", "top", "bottom" refer to and derivatives of the same on the structures and methods described, as they are oriented in the drawing figures. The terms "overlying", "above", "on top", "positioned on" or "positioned above" mean that a first element, such as a first structure, is present on a second element, such as, for example, a second structure wherein there may be intervening elements between the first element and the second element, such as an interlayer structure. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intervening conductive, insulating or semiconducting layers at the interface of the two elements.

Die Phrase „selektiv in Bezug auf“, wie zum Beispiel „ein erstes Element selektiv in Bezug auf ein zweites Element“, bedeutet, dass das erste Element geätzt werden kann und das zweite Element als ein Ätzstopp wirken kann.The phrase "selective with respect to" such as "a first element selective with respect to a second element" means that the first element can be etched and the second element can act as an etch stop.

Die Begriffe „etwa“, „im Wesentlichen“, „ungefähr“ sowie Variationen derselben sollen das Maß eines Fehlers, der mit einer Messung der speziellen Größe verknüpft ist, auf Grundlage der Geräte enthalten, die zum Zeitpunkt der Einreichung der Anmeldung zur Verfügung standen. Zum Beispiel kann „etwa“ einen Bereich von ± 8 % oder 5 % oder 2 % eines gegebenen Werts umfassen.The terms "about", "substantially", "approximately" and variations thereof are intended to include the degree of error associated with a measurement of the particular quantity based on the equipment available at the time of filing the application. For example, “about” can encompass a range of ± 8% or 5% or 2% of a given value.

Wie zuvor hierin erwähnt, können der Kürze halber herkömmliche Techniken in Bezug auf eine Herstellung von Halbleitereinheiten und integrierten Schaltungen (ICs) hier im Detail beschrieben sein oder nicht beschrieben sein. Als Hintergrundinformation wird nunmehr jedoch eine allgemeinere Beschreibung der Herstellungsprozesse für Halbleitereinheiten bereitgestellt, die bei einer Realisierung von einer oder mehreren Ausführungsformen der vorliegenden Erfindung eingesetzt werden können. Wenngleich spezifische Herstellungsvorgänge, die bei einer Realisierung von einer oder mehreren Ausführungsformen der vorliegenden Erfindung verwendet werden, einzeln bekannt sein können, sind die beschriebene Kombination von Vorgängen und/oder die beschriebenen resultierenden Strukturen der vorliegenden Erfindung einzigartig. Somit wird bei der einzigartigen Kombination der in Verbindung mit der Herstellung einer Halbleitereinheit gemäß der vorliegenden Erfindung beschriebenen Arbeitsgänge eine Vielzahl von einzeln bekannten physikalischen und chemischen Prozessen eingesetzt, die an einem Halbleitersubstrat (z.B. einem Silicium-Substrat) durchgeführt werden, von denen einige in den unmittelbar folgenden Abschnitten beschrieben werden.As previously mentioned herein, for the sake of brevity, conventional techniques relating to fabrication of semiconductor devices and integrated circuits (ICs) may or may not be described in detail herein. However, as background information, a more general description of the semiconductor device manufacturing processes that may be used in implementing one or more embodiments of the present invention is now provided. While specific manufacturing processes used in practicing one or more embodiments of the present invention may be individually known, the described combination of processes and / or the described resulting structures are unique to the present invention. Thus, in the unique combination of the operations described in connection with the manufacture of a semiconductor device according to the present invention, a variety of individually known physical and chemical processes are employed that are performed on a semiconductor substrate (e.g., a silicon substrate), some of which are in the immediately following sections.

Allgemein fallen die verschiedenen Prozesse, die zur Bildung eines Mikro-Chips verwendet werden, das in einen IC gepackt wird, in vier übliche Kategorien, und zwar Schichtabscheidung, Entfernen/Ätzen, Halbleiterdotierung sowie Strukturierung/Lithographie. Bei der Abscheidung handelt es sich um irgendeinen beliebigen Prozess, bei dem ein Material auf den Wafer aufgewachsen wird, dieser mit einem Material beschichtet wird oder ein Material auf eine andere Weise auf den Wafer transferiert wird. Zur Verfügung stehende Technologien umfassen unter anderem physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), elektrochemische Abscheidung (ECD), Molekularstrahlepitaxie (MBE) und in jüngerer Zeit atomare Schichtabscheidung (ALD). Beim Entfernen/Ätzen handelt es sich um irgendeinen beliebigen Prozess, bei dem Material von dem Wafer entfernt wird. Beispiele umfassen Ätzprozesse (entweder Nass- oder Trockenätzprozesse) sowie chemisch-mechanische Planarisierung (CMP) und dergleichen. Bei der Halbleiterdotierung handelt es sich um die Modifikation von elektrischen Eigenschaften durch Dotieren von zum Beispiel Transistor-Sources und -Drains im Allgemeinen mittels Diffusion und/oder mittels Ionenimplantation. Diesen Dotierprozessen folgt ein Tempern im Ofen oder ein schnelles thermisches Tempern (RTA). Das Tempern dient dazu, die implantierten Dotierstoffe zu aktivieren. Schichten sowohl aus Leitern (z.B. aus Polysilicium, Aluminium, Kupfer etc.) als auch aus Isolatoren (z.B. aus verschiedenen Formen von Siliciumdioxid, Siliciumnitrid etc.) werden dazu verwendet, Transistoren und ihre Komponenten zu verbinden und zu trennen. Eine selektive Dotierung verschiedener Bereiche des Halbleitersubstrats ermöglicht eine Änderung der Leitfähigkeit des Substrats bei Anlegen einer Spannung. Indem Strukturen aus diesen verschiedenen Komponenten erzeugt werden, können Millionen von Transistoren hergestellt und miteinander verdrahtet werden, um den komplexen Schaltungsaufbau einer modernen mikroelektronischen Einheit zu bilden. Bei der Halbleiter-Lithographie handelt es sich um die Bildung von dreidimensionalen Reliefbildern oder Strukturen auf dem Halbleitersubstrat für einen nachfolgenden Transfer der Struktur auf das Substrat. Bei der Halbleiter-Lithographie werden Strukturen mittels eines lichtempfindlichen Polymers gebildet, das als Photoresist bezeichnet wird. Um die komplexen Strukturen, aus denen ein Transistor besteht, und die vielen Verdrahtungen herzustellen, welche die Millionen von Transistoren einer Schaltung verbinden, werden Lithographie-Schritte und Schritte für einen Transfer der Ätzstruktur viele Male wiederholt. Jede Struktur, die auf den Wafer gedruckt wird, wird zu den zuvor gebildeten Strukturen ausgerichtet, und die Leiter, Isolatoren und selektiv dotierten Bereiche werden nach und nach hergestellt, um die endgültige Einheit zu bilden.In general, the various processes used to form a microchip that is packaged into an IC fall into four common categories, namely film deposition, removal / etching, semiconductor doping, and patterning / lithography. Deposition is any process that involves growing a material onto the wafer, coating it with a material, or otherwise transferring a material onto the wafer. Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and, more recently, atomic layer deposition (ALD). The remove / etch is any process that removes material from the wafer. Examples include etching processes (either wet or dry etching processes) as well as chemical mechanical planarization (CMP) and the like. Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by means of diffusion and / or by means of ion implantation. These doping processes are followed by annealing in the oven or rapid thermal annealing (RTA). The annealing serves to activate the implanted dopants. Layers of both conductors (e.g. made of polysilicon, aluminum, copper etc.) and of insulators (e.g. made of various forms of silicon dioxide, silicon nitride etc.) are used to connect and disconnect transistors and their components. Selective doping of different areas of the semiconductor substrate enables the conductivity of the substrate to be changed when a voltage is applied. By creating structures from these various components, millions of transistors can be fabricated and wired together to form the complex circuitry of a modern microelectronic device. Semiconductor lithography involves the formation of three-dimensional relief images or structures on the semiconductor substrate for a subsequent transfer of the structure to the substrate. In semiconductor lithography, structures are formed using a photosensitive polymer called photoresist. To create the complex structures that make up a transistor and the many wirings that connect the millions of transistors in a circuit, lithography steps and steps for transferring the etch structure are repeated many times. Each structure that is printed on the wafer is aligned with the previously formed structures, and the conductors, insulators and selectively doped areas are gradually fabricated to form the final unit.

Das Flussdiagramm und die Blockschaubilder in den Figuren stellen mögliche Realisierungen von Herstellungs- und/oder Betriebsverfahren gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung dar. Verschiedene Funktionen/Vorgänge des Verfahrens sind durch Blöcke in dem Flussdiagramm dargestellt. Bei einigen alternativen Realisierungen können die in den Blöcken erwähnten Funktionen in einer anderen Reihenfolge als der in den Figuren vermerkten Reihenfolge stattfinden. Zum Beispiel können zwei aufeinanderfolgend gezeigte Blöcke in Wirklichkeit im Wesentlichen gleichzeitig ausgeführt werden, oder die Blöcke können mitunter in Abhängigkeit von der involvierten Funktionalität in der umgekehrten Reihenfolge ausgeführt werden.The flowchart and the block diagrams in the figures represent possible implementations of manufacturing and / or operating methods according to various embodiments of the present invention. Various functions / processes of the method are represented by blocks in the flowchart. In some alternative implementations, the functions mentioned in the blocks may take place out of the order noted in the figures. For example, two blocks shown in sequence may in fact be executed essentially simultaneously, or the blocks may sometimes be executed in the reverse order, depending on the functionality involved.

Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zu Illustrationszwecken präsentiert, sie sollen jedoch nicht erschöpfend oder auf die beschriebenen Ausführungsformen beschränkt sein. Für einen Fachmann sind viele Modifikationen und Variationen ersichtlich, ohne von dem Umfang der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber auf dem Markt zu findenden Technologien am besten zu erläutern oder um andere Fachleute in die Lage zu versetzen, die hierin beschriebenen Ausführungsformen zu verstehen.The descriptions of the various embodiments of the present invention have been presented for purposes of illustration and are not intended to be exhaustive or limited to the embodiments described. Many modifications and variations will be apparent to those skilled in the art without departing from the scope of the described embodiments. The terminology used herein was chosen to best explain the spirit of the embodiments, practical application, or technical improvement over technologies found in the marketplace, or to enable others skilled in the art to understand the embodiments described herein.

Claims (25)

Verfahren zur Herstellung einer dielektrischen Schicht, wobei das Verfahren aufweist: Abscheiden eines ersten Ausgangsstoffs auf einem Substrat, wobei der erste Ausgangsstoff eine zyklische Carbosiloxan-Gruppe mit einem sechsgliedrigen Ring aufweist; Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat, wobei der erste Ausgangsstoff und der zweite Ausgangsstoff eine vorläufige Schicht auf dem Substrat bilden und wobei der zweite Ausgangsstoff Silicium, Kohlenstoff und Wasserstoff aufweist; und Einwirken einer Energie von einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden.A method of making a dielectric layer, the method comprising: Depositing a first starting material on a substrate, the first starting material having a cyclic carbosiloxane group with a six-membered ring; Depositing a second starting material on the substrate, wherein the first starting material and the second starting material form a preliminary layer on the substrate and wherein the second starting material comprises silicon, carbon and hydrogen; and Applying energy from an energy source to the preliminary layer to form a porous dielectric layer. Verfahren nach Anspruch 1, wobei die poröse dielektrische Schicht zumindest 30 Atom% Kohlenstoff aufweist.Procedure according to Claim 1 wherein the porous dielectric layer comprises at least 30 atomic percent carbon. Verfahren nach Anspruch 1, wobei es sich bei dem Substrat um ein strukturiertes Substrat handelt und wobei die poröse Schicht in Gräben innerhalb des strukturierten Substrats abgeschieden wird.Procedure according to Claim 1 wherein the substrate is a structured substrate and the porous layer is deposited in trenches within the structured substrate. Verfahren nach Anspruch 1, das des Weiteren ein Einwirken eines Stickstoff enthaltenden Ausgangsstoffs auf die vorläufige Schicht aufweist.Procedure according to Claim 1 which further comprises an action of a nitrogen-containing raw material on the preliminary layer. Verfahren nach Anspruch 4, wobei der Stickstoff enthaltende Ausgangsstoff Ammoniak, Distickstoffmonoxid oder eine Kombination derselben aufweist.Procedure according to Claim 4 wherein the nitrogen-containing feedstock comprises ammonia, nitrous oxide, or a combination thereof. Verfahren nach Anspruch 1, wobei die poröse dielektrische Schicht des Weiteren etwa 1 Atom-% bis etwa 4 Atom-% Stickstoff aufweist.Procedure according to Claim 1 wherein the porous dielectric layer further comprises about 1 atom% to about 4 atom% nitrogen. Verfahren nach Anspruch 1, wobei die poröse dielektrische Schicht einen k-Wert von etwa 2,2 bis etwa 2,8 aufweist.Procedure according to Claim 1 wherein the porous dielectric layer has a k value of from about 2.2 to about 2.8. Verfahren zur Herstellung einer dielektrischen Schicht, wobei das Verfahren aufweist: Abscheiden eines ersten Ausgangsstoffs auf einem Substrat, wobei der erste Ausgangsstoff die folgende Struktur aufweist:
Figure DE112019005372T5_0012
und wobei es sich bei a, b, c, d, e und f jeweils unabhängig voneinander um eine Alkyl-Gruppe oder eine Alkenyl-Gruppe handelt; Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat, wobei der erste Ausgangsstoff und der zweite Ausgangsstoff eine vorläufige Schicht auf dem Substrat bilden und wobei der zweite Ausgangsstoff ein lineares Carbosiloxan aufweist; und Einwirken einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden.
A method for producing a dielectric layer, the method comprising: depositing a first starting material on a substrate, the first starting material having the following structure:
Figure DE112019005372T5_0012
and wherein a, b, c, d, e and f are each independently an alkyl group or an alkenyl group; Depositing a second starting material on the substrate, wherein the first starting material and the second starting material form a preliminary layer on the substrate and wherein the second starting material comprises a linear carbosiloxane; and applying an energy source to the preliminary layer to form a porous dielectric layer.
Verfahren nach Anspruch 8, wobei die Alkyl-Gruppe eine Methyl-Gruppe, eine Ethyl-Gruppe, eine Propyl-Gruppe, eine Butyl-Gruppe oder eine Pentyl-Gruppe aufweist.Procedure according to Claim 8 wherein the alkyl group has a methyl group, an ethyl group, a propyl group, a butyl group or a pentyl group. Verfahren nach Anspruch 8, wobei die Alkenyl-Gruppe eine Ethenyl-Gruppe, eine Propenyl-Gruppe, eine Butenyl-Gruppe oder eine Pentenyl-Gruppe aufweist.Procedure according to Claim 8 wherein the alkenyl group has an ethenyl group, a propenyl group, a butenyl group or a pentenyl group. Verfahren nach Anspruch 8, wobei das lineare Carbosiloxan die folgende Struktur aufweist:
Figure DE112019005372T5_0013
und wobei es sich bei g, h, i, j, k und l jeweils unabhängig voneinander um eine Alkyl-Gruppe, eine Alkenyl-Gruppe oder eine Alkoxy-Gruppe handelt.
Procedure according to Claim 8 , wherein the linear carbosiloxane has the following structure:
Figure DE112019005372T5_0013
and wherein g, h, i, j, k and l are each independently an alkyl group, an alkenyl group or an alkoxy group.
Verfahren nach Anspruch 8, wobei ein Verhältnis von Kohlenstoff zu Sauerstoff in dem zweiten Ausgangsstoff höher als 1 ist.Procedure according to Claim 8 , wherein a ratio of carbon to oxygen in the second raw material is higher than 1. Verfahren nach Anspruch 8, das des Weiteren ein Einwirken eines Stickstoff enthaltenden Ausgangsstoffs auf die vorläufige Schicht aufweist.Procedure according to Claim 8 which further comprises an action of a nitrogen-containing raw material on the preliminary layer. Verfahren zur Herstellung einer dielektrischen Schicht, wobei das Verfahren aufweist: Abscheiden eines ersten Ausgangsstoffs auf einem Substrat, wobei der erste Ausgangsstoff eine zyklische Carbosiloxan-Gruppe aufweist; Abscheiden eines zweiten Ausgangsstoffs auf dem Substrat, wobei der erste Ausgangsstoff und der zweite Ausgangsstoff eine vorläufige Schicht auf dem Substrat bilden und wobei der zweite Ausgangsstoff ein Carbosilan mit einem Verhältnis von Kohlenstoff zu Silicium aufweist, das höher als 3:1 ist; Einwirken einer Energiequelle auf die vorläufige Schicht, um eine poröse dielektrische Schicht zu bilden.A method of making a dielectric layer, the method comprising: Depositing a first starting material on a substrate, the first starting material having a cyclic carbosiloxane group; Depositing a second starting material on the substrate, wherein the first starting material and the second starting material form a preliminary layer on the substrate and wherein the second starting material comprises a carbosilane with a ratio of carbon to silicon which is higher than 3: 1; Applying an energy source to the preliminary layer to form a porous dielectric layer. Verfahren nach Anspruch 14, das des Weiteren ein Einwirken eines Stickstoff enthaltenden Ausgangsstoffs auf die vorläufige Schicht aufweist.Procedure according to Claim 14 which further comprises an action of a nitrogen-containing raw material on the preliminary layer. Verfahren nach Anspruch 14, wobei die poröse dielektrische Schicht zumindest 30 Atom% Kohlenstoff aufweist.Procedure according to Claim 14 wherein the porous dielectric layer comprises at least 30 atomic percent carbon. Verfahren nach Anspruch 14, wobei ein Verhältnis von Kohlenstoff zu Silicium in dem zweiten Ausgangsstoff höher als 4:1 ist.Procedure according to Claim 14 wherein a ratio of carbon to silicon in the second raw material is higher than 4: 1. Verfahren nach Anspruch 14, wobei die Energiequelle thermische Energie, ultraviolettes Licht, Mikrowellenenergie, Elektronenstrahlenergie, lonenstrahlenergie oder katalytische Spezies aufweist.Procedure according to Claim 14 wherein the energy source comprises thermal energy, ultraviolet light, microwave energy, electron beam energy, ion beam energy, or catalytic species. Poröse dielektrische Schicht, die aufweist: ein kovalent gebundenes Netzwerk, das Atome von Silicium, Sauerstoff, Kohlenstoff und Wasserstoff aufweist; eine zyklische Carbosiloxan-Gruppe; und eine brückenbildende Si-CH2-Si-Gruppe.A porous dielectric layer comprising: a covalently bonded network comprising atoms of silicon, oxygen, carbon and hydrogen; a cyclic carbosiloxane group; and a bridging Si-CH 2 -Si group. Poröse dielektrische Schicht nach Anspruch 19, wobei die poröse dielektrische Schicht zumindest 30 Atom% Kohlenstoff aufweist.Porous dielectric layer after Claim 19 wherein the porous dielectric layer comprises at least 30 atomic percent carbon. Poröse dielektrische Schicht nach Anspruch 19, wobei die poröse dielektrische Schicht etwa 35 Atom-% bis etwa 50 Atom-% Kohlenstoff aufweist.Porous dielectric layer after Claim 19 wherein the porous dielectric layer comprises from about 35 atomic percent to about 50 atomic percent carbon. Poröse dielektrische Schicht nach Anspruch 19, wobei die dielektrische Schicht einen k-Wert von etwa 2,2 bis etwa 2,8 aufweist.Porous dielectric layer after Claim 19 wherein the dielectric layer has a k value of from about 2.2 to about 2.8. Poröse dielektrische Schicht, die aufweist: ein kovalent gebundenes Netzwerk, das Atome von Silicium, Sauerstoff, Kohlenstoff, Wasserstoff und Stickstoff aufweist; eine zyklische Carbosiloxan-Gruppe; und eine brückenbildende Si-CH2-Si-Gruppe.A porous dielectric layer comprising: a covalently bonded network comprising atoms of silicon, oxygen, carbon, hydrogen and nitrogen; a cyclic carbosiloxane group; and a bridging Si-CH 2 -Si group. Poröse dielektrische Schicht nach Anspruch 23, wobei ein Gehalt an Stickstoff etwa 1 Atom-% bis etwa 4 Atom-% umfasst.Porous dielectric layer after Claim 23 wherein a content of nitrogen comprises about 1 atom% to about 4 atom%. Poröse dielektrische Schicht nach Anspruch 23, wobei die poröse dielektrische Schicht zumindest 30 Atom-% Kohlenstoff aufweist.Porous dielectric layer after Claim 23 wherein the porous dielectric layer comprises at least 30 atomic percent carbon.
DE112019005372.8T 2019-01-18 2019-12-12 FORM A FLOWABLE DIELECTRIC LAYER WITH A HIGH CARBON CONTENT WITH LOW PROCESS DAMAGE Ceased DE112019005372T5 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/251,526 2019-01-18
US16/251,526 US11756786B2 (en) 2019-01-18 2019-01-18 Forming high carbon content flowable dielectric film with low processing damage
PCT/IB2019/060709 WO2020148587A1 (en) 2019-01-18 2019-12-12 Forming high carbon content flowable dielectric film with low processing damage

Publications (1)

Publication Number Publication Date
DE112019005372T5 true DE112019005372T5 (en) 2021-07-15

Family

ID=71610105

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112019005372.8T Ceased DE112019005372T5 (en) 2019-01-18 2019-12-12 FORM A FLOWABLE DIELECTRIC LAYER WITH A HIGH CARBON CONTENT WITH LOW PROCESS DAMAGE

Country Status (6)

Country Link
US (1) US11756786B2 (en)
JP (1) JP2022517363A (en)
CN (1) CN113454764A (en)
DE (1) DE112019005372T5 (en)
GB (1) GB2595103B (en)
WO (1) WO2020148587A1 (en)

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
EP1504138A2 (en) 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4217870B2 (en) * 2002-07-15 2009-02-04 日本電気株式会社 Organosiloxane copolymer film, manufacturing method thereof, growth apparatus, and semiconductor device using the copolymer film
JP2004274020A (en) 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc Manufacture of electronic device
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7271700B2 (en) 2005-02-16 2007-09-18 International Business Machines Corporation Thin film resistor with current density enhancing layer (CDEL)
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20070299239A1 (en) 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP2010067810A (en) 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd Method for forming si-containing film, insulator film, and semiconductor device
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5671253B2 (en) 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8405192B2 (en) 2010-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Low dielectric constant material
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130260575A1 (en) * 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9209017B2 (en) * 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
US10113234B2 (en) 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
CN105336680B (en) * 2014-08-13 2020-02-11 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
JP6585724B2 (en) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Composition for carbon-doped silicon-containing film and method of using the same
US10304773B2 (en) 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
KR102458309B1 (en) 2015-12-28 2022-10-24 삼성전자주식회사 Method of forming a SiOCN material layer and method of fabricating a semiconductor device
JP2018182077A (en) 2017-04-13 2018-11-15 三星電子株式会社Samsung Electronics Co.,Ltd. Method of forming low-permittivity film and method of manufacturing semiconductor element using the same

Also Published As

Publication number Publication date
GB202110858D0 (en) 2021-09-08
GB2595103B (en) 2022-10-05
CN113454764A (en) 2021-09-28
JP2022517363A (en) 2022-03-08
US11756786B2 (en) 2023-09-12
US20200234949A1 (en) 2020-07-23
WO2020148587A1 (en) 2020-07-23
GB2595103A (en) 2021-11-17

Similar Documents

Publication Publication Date Title
DE69933598T2 (en) Low k fluorinated amorphous carbon dielectric, and method of making the same
DE102008046400B4 (en) A method of fabricating a CMOS device comprising MOS transistors having depressed drain and source regions and a Si / Ge material in the drain and source regions of the PMOS transistor
DE102015213498B4 (en) Method of manufacturing a semiconductor structure containing a ferroelectric material and semiconductor structure comprising a ferroelectric transistor
DE10248272A1 (en) Semiconductor device and method for its manufacture
DE102014119006B4 (en) Semiconductor device having an isolation structure in a recess in a semiconductor substrate and method of forming the same
DE112012003749T5 (en) C-Rich Carbobornitride Dielectric Thin Films for Use in Electronic Devices
DE102019201354A1 (en) Gate-cut structure with liner spacer and associated method
DE112017005474T5 (en) VERTICAL TRANSPORT FET UNITS USING SELECTIVE EPITAXIA AT LOW TEMPERATURE
DE102007046846A1 (en) Sidewall protection layer
DE102020128189B4 (en) Techniques for preventing delamination of flowable gap-filling dielectric
DE102010002451A1 (en) Contact elements of semiconductor devices that have a continuous transition to metal lines of a metallization layer
DE102007030021B4 (en) A method of forming a semiconductor structure having a field effect transistor having a strained channel region and semiconductor structure
DE102010040071B4 (en) A method for restoring surface properties of sensitive low ε dielectrics in microstructure devices using in-situ surface modification
DE10341576B4 (en) Method for producing a vertical hard mask
DE102007030058B3 (en) A technique for making an interlayer dielectric material with increased reliability over a structure having leaky leads
DE102022100207B4 (en) Structure providing a poly resistor under shallow trench isolation and over high resistance polysilicon layer and method of fabricating same
DE102018222424B4 (en) MICROWAVE BAKING-OUT OF FLOWABLE OXIDES WITH CAPTURE LAYERS AND INTEGRATED CIRCUIT STRUCTURE
DE102005063129B4 (en) Method for producing a semiconductor device with isolation trench with reduced sidewall strain
DE102011080439B4 (en) Semiconductor device and method for manufacturing a semiconductor device
DE112010004205T5 (en) MOSFET with high operating current
DE102007057688B4 (en) A method of making a strained interlayer dielectric semiconductor device using an etch control interlayer of increased thickness
DE112004002634B4 (en) Integrated circuit, integrated circuit fabrication method, and method of making shallow trench isolation structures
DE112019005372T5 (en) FORM A FLOWABLE DIELECTRIC LAYER WITH A HIGH CARBON CONTENT WITH LOW PROCESS DAMAGE
DE102011090167A1 (en) Integrated circuit system with very low ε dielectric and manufacturing method thereof
DE102019121169A1 (en) GAP FILLING WITH A CARBON- AND NITROGEN-DOPED LAYER

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R084 Declaration of willingness to licence
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final