DE112015007283B3 - SEMICONDUCTOR PACKAGE WITH THROUGH BRIDGES-THE-CONNECTIONS - Google Patents

SEMICONDUCTOR PACKAGE WITH THROUGH BRIDGES-THE-CONNECTIONS Download PDF

Info

Publication number
DE112015007283B3
DE112015007283B3 DE112015007283.7T DE112015007283T DE112015007283B3 DE 112015007283 B3 DE112015007283 B3 DE 112015007283B3 DE 112015007283 T DE112015007283 T DE 112015007283T DE 112015007283 B3 DE112015007283 B3 DE 112015007283B3
Authority
DE
Germany
Prior art keywords
build
die
bridge die
layer
bridge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112015007283.7T
Other languages
German (de)
Inventor
Zhiguo Qian
Jianyong Xie
Kemal Aygun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of DE112015007283B3 publication Critical patent/DE112015007283B3/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

Halbleiter-Package (200, 320), umfassend:eine erste Aufbauschicht (102, 204) mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht (102, 204) einen Leistungskontakt (108; 206; 304) auf der oberen Oberfläche der ersten Aufbauschicht (102, 204) aufweist;eine zweite Aufbauschicht (110, 210), die über der ersten Aufbauschicht (102, 204) liegt, wobei die zweite Aufbauschicht (110, 210) einen Hohlraum definiert, der über dem Leistungskontakt (108; 206) liegt;einen Brücken-Die (118, 212, 324), der innerhalb des Hohlraums der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei der Brücken-Die (118, 212, 324) eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt (108, 206) gekoppelt ist; undeine dritte Aufbauschicht (130, 220), die über der Oberseite des Brücken-Dies (118, 212, 324) liegend und über der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei die dritte Aufbauschicht (112, 220) eine Zwischenverbindungsleiterbahn aufweist (132; 224), wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt (230) der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist,wobei der Brücken-Die einen Bulk-Teil (120; 214) umfasst, der elektrisch mit einem Rückseitenmetall gekoppelt ist, das auf der Brücken-Die-Rückseite bereitgestellt ist, und wobei wenigstens einer der Mehrzahl von Brücken-Die-Oberseitenkontakten elektrisch mit dem Bulk-Teil gekoppelt ist, um Leistung durch den Bulk-Teil des Brücken-Dies zu dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten und zu einem oder mehreren Dies, die elektrisch mit dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten verbunden sind, zu leiten.A semiconductor package (200, 320) comprising: a first build-up layer (102, 204) having a top surface and a bottom surface opposite said top surface, said first build-up layer (102, 204) having a power contact (108; 206; 304) on the top surface of the first structural layer (102, 204);a second structural layer (110, 210) overlying the first structural layer (102, 204), the second structural layer (110, 210) defining a cavity overlying the power contact (108; 206); a bridge die (118, 212, 324) disposed within the cavity of the second structural layer (110, 210), the bridge die (118, 212, 324) being a bridge - having a die back and a bridge die top, said bridge die top having a plurality of bridge die top contacts, said bridge die back being electrically coupled to said power contact (108, 206); anda third build-up layer (130, 220) overlying the top of the bridge die (118, 212, 324) and disposed over the second build-up layer (110, 210), the third build-up layer (112, 220) having an interconnection trace (132; 224), wherein the interconnection trace is electrically coupled to a first bridge die top contact (230) of the plurality of bridge die top contacts, the bridge die including a bulk portion (120; 214) electrically coupled to a backside metal provided on the bridge die backside, and wherein at least one of the plurality of bridge die top contacts is electrically coupled to the bulk portion to transmit power through the bulk portion of the bridge die the at least one of the plurality of bridge die top contacts and to one or more dies electrically connected to the at least one of the plurality of bridge die top contacts.

Description

GEBIET DER TECHNIKFIELD OF TECHNOLOGY

Diese Offenbarung betrifft im Allgemeinen Halbleiter-Packages mit einem Brücken-Die und insbesondere elektrische Durchgangsbrücken-Die-Verbindungen.This disclosure relates generally to semiconductor packages having a bridge die, and more particularly to electrical through bridge die connections.

HINTERGRUNDBACKGROUND

Eine integrierte Schaltung bzw. integrierte Schaltungen und andere elektronische Vorrichtungen können auf einem Halbleiter-Package untergebracht sein. Das Halbleiter-Package kann auf einem elektronischen System integriert sein, beispielsweise auf einem Verbraucherelektroniksystem. Die integrierte(n) Schaltung(en) und/oder elektronischen Vorrichtungen, die auf dem Halbleiter-Package bereitgestellt werden, können Eingangs-/Ausgangsanforderungen mit relativ feinem Pitch sowie relativ strenge Anforderungen an die Leistungsabgabe oder Masseebene aufweisen. Patentdokument 1, DE 10 2014 107 514 A1 bezieht sich auf geschichtete Verbindungsstrukturen in Anordnungen integrierter Schaltungen. Patentdokument 2, WO 2015/ 130 264 A1 bezieht sich auf eine mikroelektronische Struktur.An integrated circuit or integrated circuits and other electronic devices can be housed on a semiconductor package. The semiconductor package may be integrated on an electronic system, such as a consumer electronics system. The integrated circuit(s) and/or electronic devices provided on the semiconductor package may have relatively fine pitch input/output requirements, as well as relatively stringent power delivery or ground plane requirements. patent document 1, DE 10 2014 107 514 A1 refers to layered interconnect structures in integrated circuit assemblies. Patent document 2, WO 2015/130264 A1 relates to a microelectronic structure.

Figurenlistecharacter list

Es wird nun auf die beigefügten Zeichnungen Bezug genommen, die nicht notwendigerweise maßstabsgetreu sind, und wobei:

  • 1A-1G stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar.
  • 2 stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package mit mehreren darin bereitgestellten Dies mit Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
  • 3A und 3B stellen vereinfachte schematische Querschnittsdiagramme dar, die Leistungsabgabepfade in einem Multi-Die-Halbleiter-Package ohne und mit Durchgangs-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulichen.
  • 4 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen von Halbleiter-Packages mit den Durchgangsbrücken-Die-Verbindungen aus 1-3 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
  • 5A-5F stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Brücken-Dies mit Durchgangs-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar.
  • 6 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen des Brücken-Dies mit den Durchgangs-Die-Verbindungen aus 5A-5F gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
Reference is now made to the accompanying drawings, which are not necessarily to scale, and in which:
  • 1A-1G 12 illustrate simplified cross-sectional schematic diagrams of an example semiconductor package with through bridge die connections and a manufacturing process therefor according to example embodiments of the disclosure.
  • 2 12 is a simplified schematic cross-sectional diagram illustrating a semiconductor package having multiple dies provided therein with through-bridge die connections according to example embodiments of the disclosure.
  • 3A and 3B 12 are simplified cross-sectional schematic diagrams illustrating power delivery paths in a multi-die semiconductor package with and without through-die interconnects, according to example embodiments of the disclosure.
  • 4 FIG. 12 depicts a flow chart depicting an exemplary method for fabricating semiconductor packages with the via bridge die interconnects 1-3 according to exemplary embodiments of the disclosure.
  • 5A-5F 12 illustrate simplified cross-sectional schematic diagrams of an example bridge die with through-die connections and a manufacturing process thereof, according to example embodiments of the disclosure.
  • 6 FIG. 12 depicts a flowchart outlining an example method for fabricating the bridge die with the through die connections 5A-5F according to exemplary embodiments of the disclosure.

DETAILLIERTE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN DER OFFENBARUNGDETAILED DESCRIPTION OF EMBODIMENTS OF THE DISCLOSURE

Ausführungsformen der Offenbarung werden im Folgenden unter Bezugnahme auf die beigefügten Zeichnungen näher beschrieben, in denen beispielhafte Ausführungsformen der Offenbarung gezeigt sind. Diese Offenbarung kann jedoch in vielen verschiedenen Formen ausgeführt werden. Gleiche Zahlen beziehen sich durchgehend auf gleiche, aber nicht notwendigerweise dieselben oder identische Elemente.Embodiments of the disclosure are described in more detail below with reference to the accompanying drawings, in which exemplary embodiments of the disclosure are shown. However, this disclosure may be embodied in many different forms. Like numbers refer to like, but not necessarily the same or identical, elements throughout.

Die folgenden Ausführungsformen werden ausreichend detailliert beschrieben, um es zumindest Fachleuten auf dem Gebiet zu ermöglichen, die Offenbarung zu verstehen und zu verwenden. Es versteht sich, dass andere Ausführungsformen basierend auf der vorliegenden Offenbarung offensichtlich sein werden und dass Prozess-, mechanische, Material-, dimensionale, Prozessanlagen- und parametrische Änderungen vorgenommen werden können.The following embodiments are described in sufficient detail to enable at least those skilled in the art to understand and use the disclosure. It is understood that other embodiments will be apparent based on the present disclosure and that process, mechanical, material, dimensional, process tooling, and parametric changes may be made.

In der folgenden Beschreibung sind zahlreiche spezielle Details dargelegt, um ein gründliches Verständnis der verschiedenen Ausführungsformen der Offenbarung bereitzustellen. Es wird jedoch offensichtlich sein, dass die Offenbarung ohne diese speziellen Details ausgeführt werden kann. Um zu vermeiden, dass die vorliegende Offenbarung verschleiert wird, können einige wohlbekannte Systemkonfigurationen und Prozessschritte nicht vollständig offenbart sein. Ähnlich sind die Zeichnungen, die Ausführungsformen der Offenbarung zeigen, halbdiagrammatisch und nicht maßstabsgetreu, und insbesondere dienen einige der Abmessungen zur Klarheit der Darstellung und können in den Zeichnungen übertrieben sein. Wenn mehrere Ausführungsformen offenbart und beschrieben werden, dass sie einige gemeinsame Merkmale aufweisen, werden zusätzlich ähnliche und gleiche Merkmale der Klarheit und Einfachheit der Darstellung, Beschreibung und des Verständnisses halber derselben üblicherweise mit gleichen Bezugszeichen beschrieben, selbst wenn die Merkmale nicht identisch sind.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the various embodiments of the disclosure. However, it will be apparent that the disclosure may be practiced without these specific details. To avoid obscuring the present disclosure, some well-known system configurations and process steps may not be fully disclosed. Similarly, the drawings depicting embodiments of the disclosure are semi-diagrammatic and not to scale, and in particular some of the dimensions are for clarity of illustration and may be exaggerated in the drawings. Additionally, when multiple embodiments are disclosed and described as having some common features, similar and like features are commonly described with like reference numbers for clarity and ease of illustration, description and understanding thereof, even if the features are not identical.

Der Begriff „horizontal“, wie er hierin verwendet wird, kann als eine Richtung parallel zu einer Ebene oder Oberfläche (z. B. Oberfläche eines Substrats) unabhängig von ihrer Ausrichtung definiert werden. Der Begriff „vertikal“, wie er hierin verwendet wird, kann sich auf eine Richtung beziehen, die orthogonal zur horizontalen Richtung ist, wie gerade beschrieben. Begriffe wie „auf“, „oberhalb“, „unterhalb“, „Unterseite“, „Oberseite“, „Seite-“ (wie in „Seitenwand“), „höher“, „niedriger“, „obere“, „über“ und „unter“ können in Bezug auf die horizontale Ebene referenziert werden. Der Begriff „Verarbeitung“, wie er hierin verwendet wird, schließt das Abscheiden von Material oder Photoresist, Strukturieren, Belichten, Entwickeln, Ätzen, Reinigen, Abtragen, Polieren und/oder Entfernen des Materials oder Photoresists ein, wie es zum Bilden einer beschriebenen Struktur erforderlich ist.The term "horizontal" as used herein can be defined as a direction parallel to a plane or surface (e.g. surface of a substrate) regardless of its orientation. The term "vertical" as used herein may refer to a direction orthogonal to the horizontal direction as just described. Terms such as "on", "above", "below", "bottom", "top", "side-" (as in "sidewall"), "higher", "lower", "upper", "above" and "below" can be referenced in relation to the horizontal plane. The term "processing" as used herein includes depositing material or photoresist, patterning, exposing, developing, etching, cleaning, ablating, polishing and/or removing the material or photoresist as necessary to form a described structure is required.

In beispielhaften Ausführungsformen können Halbleiter-Package-Strukturen ein Package-Substrat aufweisen. In einigen Fällen kann das Package-Substrat eine organische Struktur sein. In anderen Fällen kann das Package-Substrat anorganisch sein (z. B. Keramik, Glas usw.). Das Package-Substrat kann in beispielhaften Ausführungsformen eine Kernschicht mit einer oder mehreren Zwischenverbindungsschichten aufweisen, die auf einer oder beiden Seiten der Kernschicht aufgebaut sind. Die Aufbauschichten, wie sie auf dem Kern aufgebaut sind, können darin ausgebildete Zwischenverbindungen aufweisen. Die Zwischenverbindungen können elektrische Pfade für Signale zwischen elektronischen Komponenten (z. B. integrierten Schaltungen, passiven Vorrichtungen usw.), Eingangs-/Ausgangs(E/A)-Verbindungen auf dem Halbleiter-Package, Signal-Fan-out von/zu den elektronischen Komponenten, Signalverbindungen zwischen zwei oder mehr elektrischen Komponenten, Leistungsabgabe an (eine) elektrische Komponente(n), Masseverbindungen an (eine) elektrische Komponente(n), Taktsignalabgabe an die elektrische Komponente(n), Kombinationen davon oder dergleichen bereitstellen. Die Aufbauschichten können auf einer oder beiden Seiten des Package-Kerns gefertigt sein. In einigen Fällen kann die gleiche Anzahl von Aufbauschichten auf beiden Seiten des Package-Kerns vorhanden sein. In anderen Fällen können die Aufbauschichten, die auf beiden Seiten des Package-Kerns ausgebildet sind, asymmetrisch sein. Ferner kann der Kern des Halbleiter-Packages eine Mehrzahl von Durchkontaktierungen aufweisen, um elektrische Verbindungen von einer Seite des Kerns zur anderen Seite des Kerns herzustellen. Somit können Durchkontaktierungen im Kern elektrische Verbindungen zwischen einer oder mehreren Aufbauschichten auf der Oberseite des Halbleiter-Packages zu einer oder mehreren Aufbauschichten auf der Unterseite des Halbleiter-Packages ermöglichen.In example embodiments, semiconductor package structures may include a package substrate. In some cases, the package substrate can be an organic structure. In other cases, the package substrate can be inorganic (e.g. ceramic, glass, etc.). The package substrate, in example embodiments, may include a core layer with one or more interconnect layers built up on one or both sides of the core layer. The build-up layers as built up on the core may have interconnects formed therein. The interconnects can be electrical paths for signals between electronic components (e.g., integrated circuits, passive devices, etc.), input/output (I/O) connections on the semiconductor package, signal fan-out from/to the electronic components, signal connections between two or more electrical components, power delivery to electrical component(s), ground connections to electrical component(s), clock signal delivery to the electrical component(s), combinations thereof, or the like. The build-up layers can be fabricated on one or both sides of the package core. In some cases, there may be the same number of build-up layers on both sides of the package core. In other cases, the build-up layers formed on both sides of the package core may be asymmetrical. Furthermore, the core of the semiconductor package may have a plurality of vias to make electrical connections from one side of the core to the other side of the core. Thus, vias in the core may enable electrical connections between one or more build-up layers on top of the semiconductor package to one or more build-up layers on the bottom of the semiconductor package.

Eine oder mehrere elektronische Komponenten, einschließlich wenigstens eines Dies für integrierte Schaltungen, können elektrisch und mechanisch über einen beliebigen geeigneten Mechanismus, wie beispielsweise Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, beliebiger Typ von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, Controlled Collapse Chip Connect (C4), anisotroper leitfähiger Film (ACF, Anisotropic Conductive Film), nicht-leitfähiger Film (NCF, Nonconductive Film), Kombinationen davon oder dergleichen, mit dem Package-Substrat gekoppelt sein. In einigen beispielhaften Ausführungsformen können die Aufbauschichten auf der Unterseite des Kerns eine oder mehrere Eingangs-/Ausgangs(E/A)-Verbindungen zwischen dem Halbleiter-Package und einer Platine aufweisen. Auf einer oder beiden Seiten des Package-Substrats können Halbleiter-Package-zu-Board-Level-Zwischenverbindungen bereitgestellt sein. In beispielhaften Ausführungsformen können die Halbleiter-Package-zu-Board-Level-Zwischenverbindungen Kugelgitteranordnung(BGA, Ball Grid Array)-Verbindungen, andere Flächenverbindungen, Peripherieverbindungen oder dergleichen sein.One or more electronic components, including at least one integrated circuit die, may be electrically and mechanically attached via any suitable mechanism, such as metal posts (e.g., copper posts), flip-chip bumps, solder bumps, any type of low-lead or lead-free solder bumps, tin-copper bumps, wire bonds, wedge bonds, controlled collapse chip connect (C4), anisotropic conductive film (ACF), nonconductive film (NCF), combinations thereof, or the like, be coupled to the package substrate. In some example embodiments, the build-up layers on the underside of the core may include one or more input/output (I/O) connections between the semiconductor package and a circuit board. Semiconductor package-to-board level interconnects may be provided on one or both sides of the package substrate. In exemplary embodiments, the semiconductor package-to-board level interconnects may be ball grid array (BGA) interconnects, other area interconnects, peripheral interconnects, or the like.

Ausführungsformen der Offenbarung können ein Halbleiter-Package und ein Verfahren zum Fertigen des Halbleiter-Packages bereitstellen. In beispielhaften Ausführungsformen kann das Halbleiter-Package einen oder mehrere Brücken-Dies aufweisen, wie beispielsweise einen oder mehrere eingebettete Dies innerhalb eines Halbleiter-Packages, das auf einer eingebetteten Multi-Die-Zwischenverbindungsbrücke (EMIB, Embedded Multi-Die Interconnect Bridge) basiert, wie hierin beschrieben. Diese Halbleiter-Packages mit dem eingebetteten Brücken-Die können unter Verwendung der hierin offenbarten Verfahren gefertigt werden. Der Brücken-Die kann innerhalb des Package-Substrats bereitgestellt sein, wie beispielsweise in einer Kavität, die innerhalb von Aufbauschichten des Package-Substrats ausgebildet ist. um relativ feinere (z. B. hochdichte) Zwischenverbindungen zwischen elektrischen Komponenten (z. B. integrierten Schaltungen), die auf dem Halbleiter-Package bereitgestellt werden, zu ermöglichen. Der Brücken-Die kann ein relativ starreres Substrat als das Halbleiter-Package-Substrat, das innerhalb eines Teils des Halbleiter-Package-Substrats eingebettet ist, bereitstellen. Infolge der relativ größeren Steifigkeit des eingebetteten Brücken-Dies kann es möglich sein, Zwischenverbindungen mit feinerem Pitch zwischen Dies herzustellen, die auf der Oberfläche des Halbleiter-Packages angeordnet sind.Embodiments of the disclosure may provide a semiconductor package and a method of manufacturing the semiconductor package. In exemplary embodiments, the semiconductor package may include one or more bridge dies, such as one or more embedded dies within a semiconductor package based on an embedded multi-die interconnect bridge (EMIB). as described herein. These semiconductor packages with the embedded bridge die can be fabricated using the methods disclosed herein. The bridge die may be provided within the package substrate, such as in a cavity formed within build-up layers of the package substrate. to enable relatively finer (e.g., high density) interconnections between electrical components (e.g., integrated circuits) provided on the semiconductor package. The bridge die may provide a relatively more rigid substrate than the semiconductor package substrate embedded within a portion of the semiconductor package substrate. Due to the relatively greater stiffness of the embedded bridge die, it may be possible to fabricate finer pitch interconnects between dies disposed on the surface of the semiconductor package.

In einigen Fällen kann der eingebettete Brücken-Die in einer Halbleiterfertigungseinrichtung (z. B. Fab) gefertigt werden, während das Halbleiter-Package-Substrat in einer Halbleiter-Packaging-Einrichtung gefertigt werden kann. Typischerweise kann eine Fab Anlagen und Einrichtungen zur Fertigung von Merkmalen kleinerer Abmessungen auf dem Brücken-Die aufweisen, als sie in einer Packaging-Einrichtung gefertigt werden könnten. Alternativ können Anlagen vom Siliziumfertigungstyp in einer Packaging-Einrichtung bereitgestellt werden, um den Brücken-Die mit Strukturen mit relativ feinem Pitch zu fertigen.In some cases, the embedded bridge die may be fabricated at a semiconductor fabrication facility (e.g., fab) while the semiconductor package substrate may be fabricated at a semiconductor packaging facility direction can be manufactured. Typically, a fab may have equipment and facilities to fabricate smaller sized features on the bridge die than could be fabricated at a packaging facility. Alternatively, silicon fabrication type tools can be provided in a packaging facility to fabricate the bridge die with relatively fine pitch structures.

In beispielhaften Ausführungsformen können Dies, die am Halbleiter-Package-Substrat angebracht sind, Teile, die über dem Brücken-Die liegen, und andere Teile, die nicht über dem Brücken-Die liegen, aufweisen. In einigen beispielhaften Ausführungsformen können die Dies (z. B. integrierte Schaltungen), die im Halbleiter-Package in einem Package sind, wie hierin beschrieben, Eingangs-/Ausgangs(E/A)-Verbindungen für verschiedene Größen aufweisen. Beispielsweise kann ein bestimmter Die E/A-Verbindungen mit feinerem Pitch mit einem anderen Die, der auf dem Halbleiter-Package in einem Package ist, über den Brücken-Die aufweisen und kann andere E/A-Verbindungen aufweisen, die Verbindungen mit lockererem Pitch sind, bei denen sich die Signale nicht durch den Brücken-Die ausbreiten.In example embodiments, dies attached to the semiconductor package substrate may have portions overlying the bridge die and other portions not overlying the bridge die. In some example embodiments, the dies (e.g., integrated circuits) that are in the semiconductor package in a package as described herein may have input/output (I/O) connections for different sizes. For example, a particular die may have finer pitch I/O connections to another die that is on the semiconductor package in a package, via the bridge die, and may have other I/O connections, the looser pitch connections are where the signals do not propagate through the bridge die.

Das Halbleiter-Package kann eine Leistungsschicht (z. B. eine Metallzwischenverbindungsschicht des Halbleiter-Packages bei einer Versorgungsspannung von einer oder mehreren integrierten Schaltungen oder anderen Komponenten des Halbleiter-Packages) aufweisen, die innerhalb des Halbleiter-Packages bereitgestellt werden. Zusätzlich kann das Halbleiter-Package eine Masseebene aufweisen, die in einer Schicht ausgebildet ist, die sich innerhalb des Package-Substrats befindet, wie beispielsweise auf dem Package-Kern und/oder einer Aufbauschicht. Gemäß beispielhaften Ausführungsformen kann der Brücken-Die ausgelegt sein, so dass eine Leistungs- und/oder Masseverbindung durch den Brücken-Die bereitgestellt werden kann, beispielsweise durch den Bulk des Brücken-Dies.The semiconductor package may include a power layer (e.g., a metal interconnect layer of the semiconductor package at a supply voltage of one or more integrated circuits or other components of the semiconductor package) provided within the semiconductor package. Additionally, the semiconductor package may include a ground plane formed in a layer located within the package substrate, such as on the package core and/or a build-up layer. According to example embodiments, the bridge die may be configured such that a power and/or ground connection may be provided through the bridge die, for example through the bulk of the bridge die.

Gemäß beispielhaften Ausführungsformen der Offenbarung können die Bulk-Teile des Brücken-Dies mit einem relativ hohen Dotierungsgrad (z. B. NA oder ND ~ 1019-1020 cm-3 usw.) dotiert sein, um eine relativ niedrige Bulk-Resistivität (5 mΩ•cm usw.) des Silizium-Bulks des Brücken-Dies bereitzustellen. Infolgedessen können nicht nur Back-End-of-Line(BEOL)-Zwischenverbindungsschichten auf dem Brücken-Die verwendet werden, um Signalpfade über den Brücken-Die bereitzustellen, sondern der Bulk des Brücken-Dies kann auch für die Bereitstellung von Leistung verwendet werden. In beispielhaften Ausführungsformen kann der Brücken-Die mit Durchkontaktierungen in einer Kavität angeordnet sein, die in den Aufbauschichten des Halbleiter-Packages ausgebildet ist. In beispielhaften Ausführungsformen kann der Bulk des Brücken-Dies elektrisch mit einem von einer Leistung oder Masse verbunden sein. Der Brücken-Die kann ferner eine oder mehrere darauf angeordnete Aufbauschichten aufweisen. Mit anderen Worten kann der Brücken-Die eine Aufbauschicht darunter und darüber aufweisen. Der Brücken-Die kann in diesen beispielhaften Ausführungsformen einen vertikalen Pfad für die Leistung oder Masse von der darunter liegenden Aufbauschicht zur darüber liegenden Aufbauschicht bereitstellen. Es versteht sich, dass ohne Leiten durch den Brücken-Die die Leistung oder Masse im Package-Substrat um den Brücken-Die herum geleitet werden würde. Ein derartiges Routing kann durch verschiedene Zwischenverbindungen (z. B. Vias und/oder Leiterbahnen) weniger direkt sein, was zu einem resistiveren und/oder induktiveren Pfad zu bestimmten Endknoten (z. B. E/As von ICs in Packages) relativ zum Routing durch den Brücken-Die führt, wie hierin offenbart.According to exemplary embodiments of the disclosure, the bulk portions of the bridge die may be doped with a relatively high doping level (e.g., N A or N D ~10 19 -10 20 cm -3 etc.) to provide a relatively low bulk Provide resistivity (5 mΩ•cm etc.) of the silicon bulk of the bridge die. As a result, not only can back-end-of-line (BEOL) interconnect layers on the bridge die be used to provide signal paths across the bridge die, but the bulk of the bridge die can also be used to provide power. In exemplary embodiments, the bridge die with vias may be placed in a cavity formed in the build-up layers of the semiconductor package. In example embodiments, the bulk of the bridge die may be electrically connected to either power or ground. The bridge die may also have one or more build-up layers disposed thereon. In other words, the bridge die may have a built-up layer underneath and on top. The bridge die may provide a vertical path for power or ground from the underlying build-up layer to the overlying build-up layer in these example embodiments. It is understood that without routing through the bridge die, the power or ground in the package substrate would be routed around the bridge die. Such routing may be less direct through various interconnects (e.g., vias and/or traces), resulting in a more resistive and/or inductive path to certain end nodes (e.g., I/Os of ICs in packages) relative to the routing through the bridge die as disclosed herein.

In beispielhaften Ausführungsformen kann der Bulk des Brücken-Dies Verunreinigungen (z. B. Akzeptor- oder Donoratome) aufweisen, um einen Pfad mit relativ niedriger Resistivität zum Leiten von Leistung oder Masse bereitzustellen. Der Brücken-Die kann ferner einen ohmschen Kontakt auf der Rückseite des Brücken-Dies aufweisen. Dies kann in beispielhaften Ausführungsformen ein metallischer (z. B. ein Refraktärmetall usw.) Kontakt zur Rückseite des Brücken-Dies sein. In einigen beispielhaften Ausführungsformen kann der metallische Rückseitenkontakt zu degenerativ dotiertem Silizium auf der Rückseitenoberfläche (z. B. der unteren Oberfläche des Brücken-Dies) sein. Der Brücken-Die kann ferner eine oder mehrere Ebenen von Zwischenverbindungen (z. B. Metallschichten) aufweisen, die darauf ausgebildet sind. Metallleiterbahnen in der/den Ebene(n) der Zwischenverbindung können Pfade für Signale bereitstellen und/oder um einen Kontakt zum Bulk des Brücken-Dies bereitzustellen. Kontakte (z. B. Vias und/oder Kontakte zum Bulk des Brücken-Dies) können einen Pfad zum Leiten von Leistung oder Masse durch den Bulk des Brücken-Dies bereitstellen. Somit können die Metallleiterbahnen der Zwischenverbindungsschichten auf dem Brücken-Die sowohl für das Leiten durch das Bulk-Silizium des Brücken-Dies als auch für die Signalisierung und E/A der integrierten Schaltungen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen angeordnet sind, verwendet werden, gemäß beispielhaften Ausführungsformen der Offenbarung.In exemplary embodiments, the bulk of the bridge die may include impurities (e.g., acceptor or donor atoms) to provide a relatively low resistivity path for conducting power or ground. The bridge die may also include an ohmic contact on the backside of the bridge die. In exemplary embodiments, this may be a metallic (e.g., a refractory metal, etc.) contact to the backside of the bridge die. In some example embodiments, the metallic backside contact to degeneratively doped silicon may be on the backside surface (e.g., the bottom surface of the bridge die). The bridge die may also have one or more levels of interconnects (e.g., metal layers) formed thereon. Metal traces in the interconnect level(s) may provide paths for signals and/or to provide contact to the bulk of the bridge die. Contacts (e.g., vias and/or contacts to the bulk of the bridge die) may provide a path for conducting power or ground through the bulk of the bridge die. Thus, the metal traces of the interconnect layers on the bridge die can be used for routing through the bulk silicon of the bridge die as well as for signaling and I/O of the integrated circuits placed in the semiconductor package with through bridge die connections , may be used, according to exemplary embodiments of the disclosure.

In beispielhaften Ausführungsformen kann Leistung an Dies verteilt werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. In diesem Fall kann der Bulk der Brücken-Dies elektrisch mit einer Leistungsebene des Halbleiter-Packages verbunden sein und kann eine vertikale Route für die Leistung durch den Brücken-Die zur Oberseite des Brücken-Dies bereitstellen. In beispielhaften Ausführungsformen kann der Brücken-Die einen rückseitigen ohmschen Kontakt mit der Leistungsebene aufweisen. Die Leistungsebene kann einen oder mehrere Kontakte mit der Rückseite des Brücken-Dies aufweisen, wobei eine leitfähige Paste oder ein anderer Klebstoff verwendet wird, der zwischen dem Brücken-Die und der Aufbauschicht der Leistungsebene bereitgestellt wird. Der Brücken-Die kann in einer Kavität angeordnet sein, die innerhalb des Halbleitersubstrats ausgebildet ist, wie beispielsweise durch das Entfernen von Teilen von einer oder mehreren Aufbauschichten. Der Bulk des Brücken-Dies kann bei der Spannung der Stromversorgung liegen, und der durch den Brücken-Die fließende Strom kann von der Leistungsaufnahme sowie von den anderen Verbindungen zur Stromversorgung der integrierten Schaltungen abhängen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. Es versteht sich, dass durch Bereitstellen von Leistung durch den Brücken-Die, anstatt Leistungspfade um den Brücken-Die herumleiten zu müssen, ein direkterer (z. B. relativ vertikalerer) Leistungspfad für die E/As der integrierten Schaltungen, die im Halbleiter-Package in einem Package sind, bereitgestellt werden kann. In beispielhaften Ausführungsformen kann das Bereitstellen von Leistung auf einem direkteren Pfad, wie hierin offenbart, zu einer allgemein höheren Qualität der Leistungsabgabe führen, wie beispielsweise mit reduziertem ohmschen und dynamischen Abfall von der Quellenleistungsspannung oder mit reduzierter Variation der Leistungsabgabe über verschiedene Dies und/oder verschiedene Teile eines Dies, die im Halbleiter-Package in einem Package sind.In example embodiments, power may be distributed to dies that are in a package on the semiconductor package with through-bridge die interconnects. In this case, the bulk of the bridge dies can be electrically powered with a Leis device level of the semiconductor package and can provide a vertical route for power through the bridge die to the top of the bridge die. In exemplary embodiments, the bridge die may have a backside ohmic contact with the power plane. The power plane may have one or more contacts with the backside of the bridge die using a conductive paste or other adhesive provided between the bridge die and the power plane buildup layer. The bridge die may be placed in a cavity formed within the semiconductor substrate, such as by removing portions of one or more build-up layers. The bulk of the bridge die may be at the power supply voltage and the current flowing through the bridge die may depend on the power consumption as well as the other power supply connections of the integrated circuits used in the semiconductor package with through bridge die connections are in a package. It will be appreciated that by providing power through the bridge die rather than having to route power paths around the bridge die, a more direct (e.g., relatively more vertical) power path for the I/Os of the integrated circuits used in the semiconductor Package are in a package can be deployed. In exemplary embodiments, providing power in a more direct path as disclosed herein may result in a generally higher quality of power delivery, such as with reduced resistive and dynamic drop from the source power voltage or with reduced variation of power delivery across different dies and/or different Parts of a die that are in a package in the semiconductor package.

In beispielhaften Ausführungsformen können Masseverbindungen an Dies bereitgestellt werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. In diesem Fall kann der Bulk der Brücken-Dies elektrisch mit einer Masseebene des Halbleiter-Packages verbunden sein und kann eine vertikale Route für die Masse durch den Brücken-Die zur Oberseite des Brücken-Dies bereitstellen. In beispielhaften Ausführungsformen kann der Brücken-Die einen rückseitigen ohmschen Kontakt mit der Masseebene aufweisen. Die Masseebene kann einen oder mehrere Kontakte mit der Rückseite des Brücken-Dies aufweisen, wobei eine leitfähige Paste oder ein anderer Klebstoff verwendet wird, der zwischen dem Brücken-Die und der Aufbauschicht der Masseebene bereitgestellt wird. Der Brücken-Die kann in einer Kavität angeordnet sein, die innerhalb des Halbleitersubstrats ausgebildet ist, wie beispielsweise durch das Entfernen von Teilen von einer oder mehreren Aufbauschichten. Der Bulk der Brücken-Dies kann bei der Bezugs-/Massespannung (z. B. 0 Volt) liegen, und der Rückstrom, der durch den Brücken-Die von den integrierten Schaltungen in einem Package fließt, kann von der Leistungsaufnahme und von den anderen Verbindungen zur Masse der integrierten Schaltungen abhängen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. Es versteht sich, dass durch Bereitstellen von Masseverbindungen durch den Brücken-Die, anstatt Massepfade um den Brücken-Die herumleiten zu müssen, ein direkterer (z. B. relativ vertikalerer) Massepfad für die E/As der integrierten Schaltungen, die im Halbleiter-Package in einem Package sind, bereitgestellt werden kann. In beispielhaften Ausführungsformen kann das Bereitstellen von Masseverbindungen auf einem direkteren Pfad, wie hierin offenbart, zu einer allgemein höheren Qualität der Masseverbindung führen, wie beispielsweise mit reduziertem ohmschen Abfall und relativ engerer Ausrichtung zur Bezugsspannung oder mit reduzierter Massespannungsvariation über verschiedene Dies und/oder verschiedene Teile eines Dies, die im Halbleiter-Package in einem Package sind.In example embodiments, ground connections may be provided to dies that are on the semiconductor package with via bridge die connections in a package. In this case, the bulk of the bridge die may be electrically connected to a ground plane of the semiconductor package and may provide a vertical route for ground through the bridge die to the top of the bridge die. In exemplary embodiments, the bridge die may have a backside ohmic contact with the ground plane. The ground plane may have one or more contacts to the backside of the bridge die using a conductive paste or other adhesive provided between the bridge die and the build-up layer of the ground plane. The bridge die may be placed in a cavity formed within the semiconductor substrate, such as by removing portions of one or more build-up layers. The bulk of the bridge dies may be at the reference/ground voltage (e.g., 0 volts) and the return current flowing through the bridge die from the integrated circuits in a package may depend on power consumption and the others Connections to ground depend on the integrated circuits that are in the semiconductor package with through-bridge die connections in a package. It will be appreciated that by providing ground connections through the bridge die, rather than having to route ground paths around the bridge die, a more direct (e.g., relatively more vertical) ground path for the I/Os of the integrated circuits used in the semiconductor Package are in a package can be deployed. In exemplary embodiments, providing ground connections in a more direct path as disclosed herein may result in a generally higher ground connection quality, such as with reduced resistive drop and relatively closer alignment to the reference voltage or with reduced ground voltage variation across different dies and/or different parts a die that are in the semiconductor package in a package.

1A-1G stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar. Obwohl 1A-1G einen bestimmten Prozessfluss und ein daraus resultierendes Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen darstellen, versteht es sich, dass Variationen der Prozesse und Strukturen durch die hierin bereitgestellte Offenbarung in Erwägung gezogen werden und umfasst sind. Beispielsweise versteht es sich, dass einige Prozesse in einer anderen Reihenfolge als der hierin dargestellten durchgeführt werden können. Als weiteres Beispiel versteht es sich, dass einige Prozesse geeignete Substitute aufweisen können, die implementiert werden können, ohne von Ausführungsformen der Offenbarung abzuweichen. 1A-1G 12 illustrate simplified cross-sectional schematic diagrams of an example semiconductor package with via bridge die connections and a manufacturing process therefor according to example embodiments of the disclosure. Although FIG 1A-1G While FIG. 1 depicts a particular process flow and resulting semiconductor package with through bridge die interconnects, it is understood that variations in the processes and structures are contemplated and encompassed by the disclosure provided herein. For example, it should be understood that some processes may be performed out of the order presented herein. As another example, it should be understood that some processes may have appropriate substitutes that may be implemented without departing from embodiments of the disclosure.

Die Prozesse, wie sie hierin dargestellt sind, können implementiert werden, um gleichzeitig oder nahezu gleichzeitig eine Mehrzahl von Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen zu fertigen. Obwohl eine bestimmte Fertigungssequenz hier mit der Fertigung von verschiedenen Strukturen und/oder Merkmalen, sowohl endgültig als auch/oder temporär, gezeigt ist, können beliebige Variationen zum Fertigen ähnlicher Merkmale gemäß beispielhaften Ausführungsformen der Offenbarung implementiert werden. Des Weiteren können zusätzliche und/oder weniger Merkmale als die hierin offenbarten Merkmale für die Fertigung des Halbleiter-Packages gemäß beispielhaften Ausführungsformen der Offenbarung vorhanden sein. Obwohl die Querschnitte, wie hier dargestellt, eine bestimmte Anzahl von Halbleiter-Packages (z. B. ein einzelnes Halbleiter-Package) zeigen, die gleichzeitig auf einer Package-Substratplatte gefertigt werden, versteht es sich, dass eine beliebige Anzahl von Halbleiter-Packages vorhanden sein kann, die gleichzeitig oder nahezu gleichzeitig auf einer bestimmten Package-Substratplatte gefertigt werden. Obwohl eine beispielhafte Ausführungsform der Sequenz von Prozessen zum Fertigen eines Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen auf einer einzelnen Package-Substratplatte dargestellt ist, versteht es sich zusätzlich, dass eine beliebige Anzahl von Package-Substratplatten vorhanden sein können, die gleichzeitig und/oder nahezu gleichzeitig durch einen beliebigen der hierin dargestellten Prozesse verarbeitet werden können. Beispielsweise können einige Prozesse Batch-Prozesse sein, bei denen eine bestimmte Einheit zusammen mit einer anderen dieser Einheit verarbeitet werden kann. In anderen Fällen können Einheitenprozesse auf laufenden Arbeiten (WIP, Work-in-Progress) sequentiell durchgeführt werden.The processes as illustrated herein can be implemented to fabricate a plurality of semiconductor packages with through bridge die interconnects simultaneously or nearly simultaneously. Although a particular fabrication sequence is shown herein as fabricating various structures and/or features, both final and/or temporary, any variations for fabricating similar features may be implemented in accordance with exemplary embodiments of the disclosure. Furthermore, additional and/or fewer features than the features disclosed herein may be present for fabricating the semiconductor package according to example embodiments of the disclosure. Although the Where cross-sections as illustrated herein show a specific number of semiconductor packages (e.g., a single semiconductor package) being fabricated concurrently on a package substrate sheet, it is understood that any number of semiconductor packages may be present can be manufactured simultaneously or almost simultaneously on a specific package substrate board. In addition, while an exemplary embodiment of the sequence of processes for fabricating a semiconductor package having through-bridge die interconnects is illustrated on a single package substrate board, it should be understood that there may be any number of package substrate boards that may be simultaneously and/or or processed nearly simultaneously by any of the processes outlined herein. For example, some processes may be batch processes, where a particular entity may be processed along with another of that entity. In other cases, unit processes on work in progress (WIP, work-in-progress) can be performed sequentially.

1A stellt ein vereinfachtes schematisches Querschnittsdiagramm eines beispielhaften Halbleiter-Package-Kerns 100, auf dem Aufbauschichten gefertigt werden können, gemäß beispielhaften Ausführungsformen der Offenbarung dar. An diesem Punkt kann der Package-Kern 100 eine Größe aufweisen, die größer als die Größe des Package-Substrats ist. Mit anderen Worten können das Package-Substrat und der Kern in separate Halbleiter-Packages vereinzelt werden, nachdem andere Fertigungsprozesse im Batch-Verfahren mit anderen Package-Substraten auf der gleichen Platte abgeschlossen wurden. Der Package-Kern 100 kann eine beliebige geeignete Größe und/oder Form aufweisen. Beispielsweise kann der Package-Kern 100 in beispielhaften Ausführungsformen eine rechteckige Platte sein. In beispielhaften Ausführungsformen kann der Package-Kern 100 aus einem beliebigen geeigneten Material gefertigt sein, einschließlich Polymermaterial, Keramikmaterial, Kunststoffe, Verbundmaterialien, Glas, Epoxidlaminate von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen. Obwohl hier nicht dargestellt, versteht es sich, dass der Package-Kern 100 darin ausgebildete Durchkontaktierungen aufweisen kann. Durchkontaktierungen können zum Ausbreiten elektrischer Signale von der Oberseite des Package-Kerns 100 zur Unterseite des Package-Kerns 100 und umgekehrt verwendet werden. 1A 12 illustrates a simplified cross-sectional schematic diagram of an example semiconductor package core 100 upon which build-up layers may be fabricated, according to example embodiments of the disclosure. At this point, the package core 100 may have a size larger than the size of the package substrate is. In other words, the package substrate and the core can be singulated into separate semiconductor packages after other batch manufacturing processes are completed with other package substrates on the same board. The package core 100 may have any suitable size and/or shape. For example, in example embodiments, the package core 100 may be a rectangular plate. In exemplary embodiments, the package core 100 may be made of any suitable material, including polymeric material, ceramic material, plastics, composite materials, glass, epoxy laminates of fiberglass panels, FR-4 materials, FR-5 materials, combinations thereof, or the like. Although not shown here, it is understood that the package core 100 may have vias formed therein. Vias can be used to propagate electrical signals from the top of the package core 100 to the bottom of the package core 100 and vice versa.

1B stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Aufbauschicht 102, die auf dem Package-Kern 100 aus 1 gefertigt ist, mit einem Leistungsebenenkontakt 108 dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Aufbauschicht 102 kann dielektrische Materialien 106 und elektrische Verbindungen 104, 108 (z. B. Vias, Pads, Leiterbahnen usw.) darauf aufweisen. Der Leistungsebenenkontakt 108 kann in beispielhaften Ausführungsformen im Vergleich zu anderen elektrischen Verbindungen 104 eine relativ große Kontaktfläche aufweisen. In einigen beispielhaften Ausführungsformen kann der Leistungsebenenkontakt 108 so gefertigt sein, dass er einen Oberflächenbereich aufweist, der so groß ist, wie es durch geeignete Packaging-Designregeln erlaubt ist. In einigen beispielhaften Ausführungsformen kann der Leistungsebenenkontakt 108 geschlitzt sein oder verschiedene andere Ausbildungsmuster aufweisen, um zu vermeiden, dass eine relativ große zusammenhängende Metallfläche innerhalb des dielektrischen Materials 106 vorliegt. Durch Trennen der Fläche des Leistungsebenenkontakts 108 kann in beispielhaften Ausführungsformen ein relativ robusteres Design mit einer relativ größeren Toleranz für Temperaturzyklen, relativ reduzierten Ausfallraten usw. realisiert werden. 1B FIG. 12 shows a simplified cross-sectional schematic diagram of a build-up layer 102 formed on the package core 100. FIG 1 is fabricated with a power plane contact 108, according to example embodiments of the disclosure. The build-up layer 102 may have dielectric materials 106 and electrical connections 104, 108 (e.g., vias, pads, traces, etc.) thereon. The power plane contact 108 may have a relatively large contact area compared to other electrical connections 104 in example embodiments. In some example embodiments, the power plane contact 108 may be fabricated to have as large a surface area as permitted by appropriate packaging design rules. In some example embodiments, the power plane contact 108 may be slotted or have various other formation patterns to avoid having a relatively large continuous metal surface within the dielectric material 106 . By separating the face of the power plane contact 108, a relatively more robust design with a relatively greater tolerance for temperature cycling, relatively reduced failure rates, etc. can be realized in example embodiments.

Die Aufbauschicht 102 oder die Zwischenverbindungsschicht kann durch eine Vielzahl von geeigneten Prozessen ausgebildet werden. Das dielektrische Material 106 kann auf den Halbleiter-Package-Kern 100 laminiert sein. In beispielhaften Ausführungsformen kann das dielektrische Laminat ein beliebiges geeignetes Material sein, einschließlich Polymermaterial, Keramikmaterial, Kunststoffe, Verbundmaterialien, Flüssigkristallpolymer (LCP, Liquid Crystal Polymer), Epoxidlaminate von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen. In einigen beispielhaften Ausführungsformen können der Package-Kern 100 und das dielektrische Aufbaumaterial 106 vom gleichen Materialtyp sein. In anderen beispielhaften Ausführungsformen können der Package-Kern 100 und das dielektrische Aufbaumaterial 106 nicht vom gleichen Materialtyp konstruiert sein. Vias und/oder Gräben können in der Aufbauschicht 102 unter Verwendung eines beliebigen geeigneten Mechanismus, einschließlich Photolithographie, Plasmaätzen, Laserablation, Nassätzen, Kombinationen davon oder dergleichen, strukturiert werden. Die Vias und Gräben können jeweils durch vertikale und horizontale Metallleiterbahnen innerhalb der Aufbauschicht 102 definiert werden. Die Vias und Gräben können dann mit Metall gefüllt werden, wie beispielsweise durch stromlose Metallplattierung, elektrolytische Metallplattierung, physikalische Gasphasenabscheidung, Kombinationen davon oder dergleichen. Überschüssiges Metall kann durch einen beliebigen geeigneten Mechanismus entfernt werden, wie beispielsweise Ätzen, Reinigen, Polieren und/oder chemisch-mechanisches Polieren (CMP), Kombinationen davon oder dergleichen.The build-up layer 102 or the interconnection layer may be formed by a variety of suitable processes. Dielectric material 106 may be laminated to semiconductor package core 100 . In exemplary embodiments, the dielectric laminate may be any suitable material, including polymeric material, ceramic material, plastics, composite materials, liquid crystal polymer (LCP), epoxy laminates of fiberglass panels, FR-4 materials, FR-5 materials, combinations thereof, or the like. In some example embodiments, the package core 100 and the build-up dielectric material 106 may be the same type of material. In other exemplary embodiments, the package core 100 and the build-up dielectric material 106 may not be constructed of the same type of material. Vias and/or trenches may be patterned in build-up layer 102 using any suitable mechanism, including photolithography, plasma etching, laser ablation, wet etching, combinations thereof, or the like. The vias and trenches may be defined by vertical and horizontal metal lines within build-up layer 102, respectively. The vias and trenches can then be filled with metal, such as by electroless metal plating, electrolytic metal plating, physical vapor deposition, combinations thereof, or the like. Excess metal may be removed by any suitable mechanism, such as etching, cleaning, polishing, and/or chemical mechanical polishing (CMP), combinations thereof, or the like.

1C stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Mehrzahl von Aufbauschichten 102, 110, 112 dar, die auf dem Package-Kern 100 aus 1A gefertigt ist, gemäß beispielhaften Ausführungsformen der Offenbarung. In beispielhaften Ausführungsformen können nachfolgende Aufbauschichten 110, 112 auf ähnliche Weise wie die Fertigung der ersten Aufbauschicht 102 gefertigt werden. Es versteht sich auch, dass, obwohl die Aufbauschichten 102, 110, 112 auf der Oberseite des Package-Kerns 100 dargestellt sind, die Aufbauschichten in beispielhaften Ausführungsformen der Offenbarung auf der Unterseite des Package-Kerns 100 und/oder auf beiden Seiten des Package-Kerns 100 gefertigt werden können. In der Tat können in einigen beispielhaften Ausführungsformen die Aufbauschichten auf beiden Seiten des Kerns gleichzeitig oder nahezu gleichzeitig gefertigt werden. Beispielsweise kann das dielektrische Material 106 und/oder das Metall in diesen beispielhaften Ausführungsformen auf beide Seiten des Package-Kerns 100 laminiert werden. Ferner können in diesen beispielhaften Ausführungsformen andere Prozesse, wie beispielsweise Plattierungs- und/oder Reinigungsprozesse, für eine Aufbauschicht durchgeführt werden, die auf beiden Seiten des Package-Kerns 100 gefertigt ist. 1C 12 illustrates a simplified cross-sectional schematic diagram of a plurality of build-up layers 102, 110, 112 formed on the package core 100. FIG 1A is manufactured, according to exemplary embodiments of the disclosure. In exemplary embodiments, subsequent build-up layers 110, 112 may be fabricated in a manner similar to the fabrication of the first build-up layer 102. FIG. It should also be understood that although the build-up layers 102, 110, 112 are shown on the top of the package core 100, in example embodiments of the disclosure the build-up layers are on the bottom of the package core 100 and/or on both sides of the package core. Kerns 100 can be manufactured. Indeed, in some exemplary embodiments, the build-up layers on both sides of the core may be fabricated at or near the same time. For example, the dielectric material 106 and/or the metal may be laminated to both sides of the package core 100 in these example embodiments. Furthermore, in these exemplary embodiments, other processes such as plating and/or cleaning processes may be performed for a build-up layer fabricated on both sides of the package core 100 .

Wie oben erörtert, können die Aufbauschichten 110, 112, wie in 1C dargestellt, aus einem beliebigen geeigneten Material gefertigt sein, einschließlich aus Polymermaterial, Keramikmaterial, Kunststoffen, Verbundmaterialien, LCP, Epoxidlaminaten von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Wie bereits erwähnt, können der Package-Kern 100 und das gesamte dielektrische Aufbaumaterial 106 in einigen beispielhaften Ausführungsformen vom gleichen Materialtyp sein. In anderen beispielhaften Ausführungsformen können der Package-Kern 100 und wenigstens eines oder mehrere der dielektrischen Aufbaumaterialien 106 nicht vom gleichen Materialtyp konstruiert sein. Vias und/oder Gräben können in der Aufbauschicht unter Verwendung eines beliebigen geeigneten Mechanismus, einschließlich Photolithographie, Plasmaätzen, Laserablation, Nassätzen, Kombinationen davon oder dergleichen, strukturiert werden. Die Vias und Gräben können jeweils durch vertikale und horizontale Metallleiterbahnen innerhalb der Aufbauschicht definiert werden. Die Vias und Gräben können dann mit Metall gefüllt werden, wie beispielsweise durch stromlose Metallplattierung, elektrolytische Metallplattierung, physikalische Gasphasenabscheidung, Kombinationen davon oder dergleichen. Überschüssiges Metall kann durch einen beliebigen geeigneten Mechanismus entfernt werden, wie beispielsweise Ätzen, Reinigen, Polieren und/oder chemisch-mechanisches Polieren (CMP), Kombinationen davon oder dergleichen.As discussed above, the build-up layers 110, 112, as shown in FIG 1C illustrated, can be made of any suitable material, including but not limited to polymeric material, ceramic material, plastics, composite materials, LCP, epoxy laminates of fiberglass board, FR-4 materials, FR-5 materials, combinations thereof, or the like. As previously mentioned, the package core 100 and the overall dielectric build-up material 106 may be the same material type in some example embodiments. In other example embodiments, the package core 100 and at least one or more of the dielectric build-up materials 106 may not be constructed of the same type of material. Vias and/or trenches may be patterned in the build-up layer using any suitable mechanism, including photolithography, plasma etching, laser ablation, wet etching, combinations thereof, or the like. The vias and trenches may be defined by vertical and horizontal metal lines within the build-up layer, respectively. The vias and trenches can then be filled with metal, such as by electroless metal plating, electrolytic metal plating, physical vapor deposition, combinations thereof, or the like. Excess metal may be removed by any suitable mechanism, such as etching, cleaning, polishing, and/or chemical mechanical polishing (CMP), combinations thereof, or the like.

In beispielhaften Ausführungsformen können die Teile der Aufbauschichten 110, 112, die über dem Leistungsebenenkontakt 108 der Aufbauschicht 102 liegen, keine Metallleiterbahnen und/oder Metall-Pads aufweisen. In der Tat können Designregeln in beispielhaften Ausführungsformen das Bereitstellen von Metallleiterbahnen verhindern, die innerhalb des dielektrikischen Aufbaumaterials 106 in Teilen der Aufbauschichten 110, 112 eingebettet sind, die über dem Leistungsebenenkontakt 108 liegen. Eine derartige Ausschlusszone kann in beispielhaften Ausführungsformen größer als die tatsächliche Größe des Leistungsebenenkontakts 108 sein, um Ungenauigkeiten bei der Brücken-Die-Platzierung und/oder Ungenauigkeiten bei der Kavitätsausbildung in nachfolgenden Prozessen zu erlauben.In example embodiments, the portions of build-up layers 110, 112 overlying power-plane contact 108 of build-up layer 102 may not include metal traces and/or metal pads. Indeed, design rules may prevent the provision of metal traces embedded within the dielectric build material 106 in portions of the build layers 110, 112 overlying the power plane contact 108 in example embodiments. Such an exclusion zone may be larger than the actual size of the power plane contact 108 in exemplary embodiments to allow for inaccuracies in bridge die placement and/or inaccuracies in cavity formation in subsequent processes.

1D stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Kavität 114 dar, die in den Aufbauschichten 110, 112 ausgebildet ist, die in 1C dargestellt sind, mit leitfähigem Klebstoff 116, der auf der Oberseite des Leistungsebenenkontakts 108 angeordnet ist, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Kavität 114 kann durch einen beliebigen geeigneten Mechanismus ausgebildet werden, einschließlich beispielsweise Laserablation, Trockenätzen, Nassätzen, Kombinationen davon oder dergleichen. Es versteht sich, dass für Prozesse, wie beispielsweise Nassätzen und/oder Trockenätzen, ein strukturierender (z. B. photolithographischer) Prozess durchgeführt werden kann, um die Bereiche zu definieren, in denen das dielektrische Aufbaumaterial 106 entfernt werden soll. Es versteht sich auch, dass die Ausbildung der Kavität 114 mit mehreren Prozessen durchgeführt werden kann. Beispielsweise kann einem Laserablationsprozess ein unmaskierter Nassätz-/Reinigungsprozess folgen. In beispielhaften Ausführungsformen können die Abmessungen der Kavität 114 relativ größer sein als die entsprechenden Abmessungen des Brücken-Dies, der innerhalb der Kavität 114 sitzen soll. Der Unterschied in den Abmessungen zwischen der Kavität 114 und den entsprechenden Abmessungen des Brücken-Dies kann wenigstens teilweise von der Ausrichtungs- und/oder Platzierungsgenauigkeit von Pick-and-Place-Werkzeugen oder anderen Mechanismen abhängen, mit denen der Brücken-Die innerhalb der Kavität 114 angeordnet werden soll. 1D 12 illustrates a simplified schematic cross-sectional diagram of a cavity 114 formed in the build-up layers 110, 112 shown in FIG 1C are shown with conductive adhesive 116 disposed on top of power plane contact 108, according to example embodiments of the disclosure. The cavity 114 may be formed by any suitable mechanism including, for example, laser ablation, dry etching, wet etching, combinations thereof, or the like. It is understood that for processes such as wet etching and/or dry etching, a patterning (e.g., photolithographic) process may be performed to define the areas where the build-up dielectric material 106 is to be removed. It is also understood that the formation of the cavity 114 can be performed using multiple processes. For example, a laser ablation process can be followed by an unmasked wet etch/clean process. In exemplary embodiments, the dimensions of cavity 114 may be relatively larger than the corresponding dimensions of the bridge die to be seated within cavity 114 . The difference in dimensions between the cavity 114 and the corresponding dimensions of the bridge die may depend, at least in part, on the alignment and/or placement accuracy of pick and place tools or other mechanisms used to place the bridge die within the cavity 114 is to be arranged.

Leitfähiger Klebstoff 116, wie beispielsweise leitfähige Tinte und/oder leitfähige Paste, kann auf der Oberfläche des Leistungsebenenkontakts 108 aufgetragen werden. Der leitfähige Klebstoff 116 kann auf der Oberfläche des Leistungsebenenkontakts 108 durch Spin-Abscheidung, Sprühabscheidung, Siebdruck, Rakelprozess und/oder einen beliebigen anderen geeigneten Abscheidungsprozess angeordnet sein. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 das Metall des Leistungsplattenkontakts 108 benetzen und sich daher über die Oberfläche des Leistungsplattenkontakts 108 durch Van-der-Waals-Kräfte und/oder Oberflächenbenetzungswirkung verteilen. In der gleichen oder in anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 durch mechanische Kraft, wie beispielsweise durch einen Rakelprozess, in die Kavität 114 gedrückt werden. In noch anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 vorzugsweise unter Verwendung eines Siebdruckprozesses abgeschieden werden, wie beispielsweise durch Ausrichten eines strukturierten Siebs auf der Oberseite der Oberfläche des Leistungsplattenkontakts 108 und des leitfähigen Klebstoffs 116. In einigen beispielhaften Ausführungsformen kann die Menge an leitfähigem Klebstoff 116, die in der Kavität 114 abgeschieden wird, eine vorbestimmte Menge sein. In einigen Fällen kann die vorbestimmte Menge ungefähr die erwartete Differenz zwischen dem Volumen der Kavität 114 und dem Volumen des Brücken-Dies sein, der in nachfolgenden Prozessen innerhalb der Kavität 114 eingebettet werden soll. In diesem Fall kann der leitfähige Klebstoff 116 zwischen den Seitenwänden des eingebetteten Brücken-Dies und den Seitenwänden der Kavität 114 aufgenommen werden. Auf diese Weise kann eine relativ planare Oberfläche nach dem Einbetten des Brücken-Dies erreicht werden, indem der Spalt gefüllt wird, der entworfen sein kann, um Fehlausrichtungsfehler beim Einbetten des Brücken-Dies in nachfolgenden Prozessen zu berücksichtigen.Conductive adhesive 116 such as conductive ink and/or conductive paste may be applied to the surface of power plane contact 108 . The conductive adhesive 116 can be deposited on the surface of the power plane contact 108 by spin deposition, spray deposition, screen printing, doctor blade process and/or a any other suitable deposition process may be arranged. In exemplary embodiments, the conductive adhesive 116 may wet the metal of the power plate contact 108 and therefore spread over the surface of the power plate contact 108 through van der Waals forces and/or surface wetting action. In the same or other exemplary embodiments, the conductive adhesive 116 may be pressed into the cavity 114 by mechanical force, such as a doctor blade process. In still other example embodiments, conductive adhesive 116 may preferably be deposited using a screen printing process, such as by aligning a patterned screen on top of the surface of power plate contact 108 and conductive adhesive 116. In some example embodiments, the amount of conductive adhesive 116 , which is deposited in the cavity 114, can be a predetermined amount. In some cases, the predetermined amount may be approximately the expected difference between the volume of the cavity 114 and the volume of the bridge die to be embedded within the cavity 114 in subsequent processes. In this case, the conductive adhesive 116 can be sandwiched between the sidewalls of the embedded bridge die and the sidewalls of the cavity 114 . In this way, a relatively planar surface can be achieved after embedding the bridge die by filling the gap, which can be designed to account for misalignment errors in embedding the bridge die in subsequent processes.

Der leitfähige Klebstoff 116 kann ein Epoxidmaterial mit darin suspendierten Metallnanopartikeln oder -mikropartikeln sein. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 darin suspendierte Nanopartikel aus Silber (Ag) aufweisen. In anderen beispielhaften Ausführungsformen kann die leitfähige Tinte darin suspendierte Nanopartikel aus Kupfer (Cu), Zinn (Sn), Eisen (Fe), Gold (Au), Kombinationen davon oder dergleichen aufweisen. In einigen Ausführungsformen kann der leitfähige Klebstoff 116 darin suspendierte nichtmetallische, elektrisch leitfähige Partikel aufweisen. Zusätzlich dazu, dass leitfähige Materialien im leitfähigen Klebstoff 116 vorhanden sind, können ferner andere chemische Mittel vorhanden sein, um die physikalischen, elektrischen und/oder Verarbeitungseigenschaften des leitfähigen Klebstoffs 116 abzustimmen. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 Lösungsmittel aufweisen, die ermöglichen, dass der leitfähige Klebstoff 116 eine Viskosität aufweist, die für die Spaltfüllung relativ bevorzugt sein kann, während gleichzeitig eine relativ schnelle Erhöhung der Viskosität und/oder Klebrigkeit für die Bereitstellung in der Kavität 114 erzielt wird. In den gleichen oder anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 Reduktionsmittel aufweisen, um die Oxidation von Metallpartikeln, die in der leitfähigen Tinte suspendiert sein können, zu verhindern oder zu reduzieren. Des Weiteren kann der leitfähige Klebstoff 116 Füllstoffpartikel (z. B. Kohlenstofffasern, Silicapartikel, Keramiken usw.) in Anteilen enthalten, die den leitfähigen Klebstoff 116 mit wünschenswerten Eigenschaften versehen, wie beispielsweise einem bevorzugten Bereich von Viskosität, einem bevorzugten Bereich von Klebrigkeit, einem bevorzugten Bereich von Hydrophobizität (z. B. Oberflächenbenetzung), einem bevorzugten Bereich von Partikelsuspensionseigenschaften, einem bevorzugten Bereich von Aushärtungstemperaturen, Kombinationen davon oder dergleichen. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 ein Epoxid der Stufe B sein, wobei der leitfähige Klebstoff 116 auf der Oberseite des Leistungsplattenkontakts 108 bereitgestellt und dann ausgehärtet (z. B. vernetzt, gehärtet usw.) werden kann, nachdem der Brücken-Die eingebettet wurde. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 während der nachfolgenden Verarbeitung ausgehärtet werden, beispielsweise während die nachfolgende(n) Schicht(en) des dielektrischen Aufbaumaterials 106 auf der Oberseite des Brücken-Dies laminiert wird/werden.The conductive adhesive 116 may be an epoxy material with metal nanoparticles or microparticles suspended therein. In exemplary embodiments, the conductive adhesive 116 may have silver (Ag) nanoparticles suspended therein. In other exemplary embodiments, the conductive ink may have nanoparticles of copper (Cu), tin (Sn), iron (Fe), gold (Au), combinations thereof, or the like suspended therein. In some embodiments, the conductive adhesive 116 may have non-metallic, electrically conductive particles suspended therein. In addition to having conductive materials present in conductive adhesive 116 , other chemical means may also be present to tune the physical, electrical, and/or processing properties of conductive adhesive 116 . In exemplary embodiments, the conductive adhesive 116 may include solvents that allow the conductive adhesive 116 to have a viscosity that may be relatively favorable for gap filling, while allowing for a relatively rapid increase in viscosity and/or tack for delivery into the cavity 114 is achieved. In the same or different example embodiments, the conductive adhesive 116 may include reducing agents to prevent or reduce the oxidation of metal particles that may be suspended in the conductive ink. Furthermore, the conductive adhesive 116 may contain filler particles (e.g., carbon fibers, silica particles, ceramics, etc.) in proportions that provide the conductive adhesive 116 with desirable properties, such as a preferred range of viscosity, a preferred range of tack, a preferred range of hydrophobicity (eg, surface wetting), preferred range of particle suspension properties, preferred range of curing temperatures, combinations thereof, or the like. In example embodiments, the conductive adhesive 116 may be a B-level epoxy, where the conductive adhesive 116 may be provided on top of the power board contact 108 and then cured (e.g., crosslinked, cured, etc.) after the bridge die is embedded became. In exemplary embodiments, the conductive adhesive 116 may be cured during subsequent processing, such as while the subsequent layer(s) of dielectric build-up material 106 is/are being laminated on top of the bridge die.

1F stellt ein vereinfachtes schematisches Querschnittsdiagramm des beispielhaften Halbleiter-Packages dar, wobei der Brücken-Die 118 innerhalb der Kavität 114 aus 1D eingebettet ist, gemäß beispielhaften Ausführungsformen der Offenbarung. Obwohl gezeigt ist, dass der Brücken-Die 118 eng in die Kavität 114 passt, versteht es sich, dass zwischen den Kanten des Brücken-Dies 118 und den Seitenwänden der Kavität 114 ein gewisser Spalt vorhanden sein kann. In beispielhaften Ausführungsformen kann ein derartiger Spalt wenigstens teilweise mit einem Epoxid gefüllt sein, wie beispielsweise dem leitfähigen Klebstoff 116 oder anderen nicht leitfähigen Materialien. Die Rückseite des Brücken-Dies 118 kann auf dem leitfähigen Klebstoff 116 aufliegen und einen elektrischen Kontakt mit diesem herstellen und somit elektrisch mit dem Leistungsplattenkontakt 108 gekoppelt sein. Der Brücken-Die 118 kann einen Bulk-Teil 120 und eine oder mehrere Metallzwischenverbindungsschichten 122 aufweisen, die auf der Oberseite des Bulk-Teils 120 gefertigt sind. Der Bulk-Teil 120 kann über den leitfähigen Klebstoff 116 einen elektrischen Kontakt mit dem Leistungsplattenkontakt 108 herstellen. Die Metallzwischenverbindungsschicht(en) 122 kann/können dielektrische Materialien 124 mit Metall-Vias/Kontakten 128 und Metallleitungen 126 aufweisen. In einigen beispielhaften Ausführungsformen können eines/r oder mehrere der Vias/Kontakte 128 Kontakt mit dem Bulk-Teil 120 des Brücken-Dies 118 herstellen, um Leistung vom Leistungsebenenkontakt 108 über den leitfähigen Klebstoff 116 und den Bulk-Teil 120 und zu einer/m oder mehreren Metallleitungen 126 oder Pads zu leiten. Diese gespeisten Metallleitungen 126 können elektrisch verbunden sein, wie beispielsweise über eine andere Aufbauschicht, die über dem Brücken-Die liegt, mit einem oder mehreren Dies, die im Halbleiter-Package mit Durchgangsbrücken-Die-Kontakten in einem Package sein sollen. Die Metallleitungen 126, wie beispielsweise Metallleiterbahnen und/oder Kontakt-Pads, können zum Zweck des Routings der Signalisierungs-E/A zwischen den Dies verwendet werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Kontakten montiert sind. 1F FIG. 12 illustrates a simplified schematic cross-sectional diagram of the example semiconductor package with bridge die 118 within cavity 114. FIG 1D is embedded, according to exemplary embodiments of the disclosure. Although the bridge die 118 is shown as fitting snugly within the cavity 114 , it is understood that there may be some gap between the edges of the bridge die 118 and the sidewalls of the cavity 114 . In exemplary embodiments, such a gap may be at least partially filled with an epoxy, such as conductive adhesive 116 or other non-conductive materials. The backside of bridge die 118 may rest on and make electrical contact with conductive adhesive 116 and thus be electrically coupled to power plate contact 108 . The bridge die 118 may include a bulk 120 and one or more metal interconnect layers 122 fabricated on top of the bulk 120 . The bulk portion 120 may make electrical contact with the power plate contact 108 via the conductive adhesive 116 . Metal interconnect layer(s) 122 may include dielectric materials 124 with metal vias/contacts 128 and metal lines 126 . In some example embodiments, one or more of the vias/contacts 128 may make contact with the bulk portion 120 of the bridge die 118 to conduct power from the power plane contact 108 through the conductive adhesive 116 and the bulk portion 120 and to one or more metal lines 126 or pads. These fed metal lines 126 may be electrically connected, such as via another build-up layer overlying the bridge die, to one or more dies intended to be in the semiconductor package with via bridge die contacts in a package. The metal lines 126, such as metal traces and/or contact pads, may be used for the purpose of routing the signaling I/O between the dies mounted on the semiconductor package with via bridge die contacts.

1F stellt ein vereinfachtes schematisches Querschnittsdiagramm des Halbleiter-Packages mit eingebettetem Brücken-Die 118 mit einer Aufbauschicht 130 auf der Oberseite und den Brücken-Die 118 einkapselnd dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Aufbauschicht 130 kann auf ähnliche Weise wie die Aufbauschichten 102, 110, 112 gefertigt werden. Die Aufbauschicht 130 kann wie die anderen Aufbauschichten 102, 110, 112 ein dielektrisches Material 106 aufweisen, das die Metallleitungen und/oder Pads 104, 132 trennt. In beispielhaften Ausführungsformen können die Metallleitungen 104, 132, mit denen die E/As verbunden sein können, eine andere Größe aufweisen. Beispielsweise können Kontakte 132 mit kleinerer Abmessung und Kontakte 104 mit größerer Abmessung vorhanden sein, wie gezeigt. In beispielhaften Ausführungsformen können die Kontakte 132 mit kleinerer Abmessung zu Metallleitungen 126 des Brücken-Dies 118 hergestellt werden, und die Kontakte 104 mit größerer Abmessung können für Kontakte gefertigt werden, die mit darunter liegenden Aufbauschichtkontakten hergestellt werden. In einigen beispielhaften Ausführungsformen können die Kontakte mit kleinerer Abmessung ferner mit E/A-Kontakten mit relativ feiner Abmessung eines oder mehrerer Dies verbunden sein. In diesen beispielhaften Ausführungsformen kann die Steifigkeit, die dem Packaging in ausgewählten Bereichen (z. B. in Bereichen, die über dem oder in relativer Nähe zum Brücken-Die 118 liegen) bereitgestellt wird, die Verwendung von E/A-Kontakten mit relativ kleinerer Abmessung der Dies in Packages, Pads 132 mit kleinerer Abmessung an der oberen Schicht der Package-Aufbauschichten 130 und/oder Routing mit höherer Dichte über den Brücken-Die 118 ermöglichen. 1F 12 illustrates a simplified schematic cross-sectional diagram of the bridge die embedded semiconductor package 118 with a build-up layer 130 on top and encapsulating the bridge die 118, according to example embodiments of the disclosure. The buildup layer 130 may be fabricated in a manner similar to the buildup layers 102,110,112. The build-up layer 130, like the other build-up layers 102,110,112, may include a dielectric material 106 separating the metal lines and/or pads 104,132. In exemplary embodiments, the metal lines 104, 132 to which the I/Os may be connected may be of a different size. For example, there may be smaller sized contacts 132 and larger sized contacts 104, as shown. In exemplary embodiments, the smaller gauge contacts 132 may be fabricated to metal lines 126 of the bridge die 118, and the larger gauge contacts 104 may be fabricated for contacts fabricated with underlying top layer contacts. In some exemplary embodiments, the smaller gauge contacts may be further connected to relatively fine gauge I/O contacts of one or more dies. In these example embodiments, the rigidity provided to the packaging in selected areas (e.g., areas overlying or relatively close to bridge die 118) may permit the use of relatively smaller I/O contacts Allow for sizing of dies in packages, smaller sizing pads 132 at the top layer of package build layers 130 and/or higher density routing across bridge dies 118 .

1G stellt ein vereinfachtes schematisches Querschnittsdiagramm des erfindungsgemäßen Halbleiter-Packages mit eingebettetem Brücken-Die 118 aus 1F mit zwei darauf angebrachten Dies 134, 136 dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Dies 134, 136 können durch einen beliebigen geeigneten Mechanismus angebracht werden. Die Dies 134, 136 können beliebige geeignete elektronische Komponenten sein, einschließlich integrierter Schaltungen, Oberflächenmontagevorrichtungen, aktiver Vorrichtungen, passiver Vorrichtungen, Dioden, Transistoren, Verbindern, Widerständen, Induktoren, Kondensatoren, mikroelektromechanischer Systeme (MEMSs), Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Die Dies 134, 136 können elektrisch und mechanisch über einen beliebigen geeigneten Kontakt 138, wie beispielsweise Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, beliebiger Typ von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, Controlled Collapse Chip Connect (C4), anisotroper leitfähiger Film (ACF, Anisotropic Conductive Film), nicht-leitfähiger Film (NCF, Nonconductive Film), Kombinationen davon oder dergleichen, mit dem Package-Kern 100 gekoppelt sein. In einigen beispielhaften Ausführungsformen kann der Typ des Kontakts 138 für die verschiedenen Dies 134, 136 unterschiedlich sein. Beispielsweise kann ein Die 134, 136 Kupfersäulenkontakte 138 aufweisen, und der andere Die 134, 136 kann Löt-Bump-Kontakte 138 aufweisen. In anderen beispielhaften Ausführungsformen können die verschiedenen Dies 134, 136 den gleichen Typ von Kontakten 138 aufweisen (z. B. weisen beide Dies 134, 136 Kupfersäulenkontakte 138 auf), können jedoch unterschiedliche Abmessungen dieser Kontakte 138 aufweisen. Mit anderen Worten kann ein Die 134, 136 eine kleinere oder größere Kontaktgröße als der andere Die 134, 136 aufweisen, und die Aufbauschicht 130 auf der Oberseite kann ausgelegt sein, um den Größenunterschied zu berücksichtigen. Des Weiteren kann jeder der Dies 134, 136 in beispielhaften Ausführungsfomen unterschiedliche Typen und/oder unterschiedliche Größen von Kontakten 138 zur Aufbauschicht 130 auf der Oberseite und den darauf befindlichen Kontakten 132, 104 aufweisen. Beispielsweise können die Kontakte 138 auf Die-Ebene relativ kleinere Abmessungen aufweisen, wenn sie Kontakt mit den Kontakten 132 auf Package-Ebene statt den Kontakten 104 auf Package-Ebene herstellen. In der Tat können die Verwendung des Brücken-Dies 118 und die dadurch bereitgestellte Steifigkeit in beispielhaften Ausführungsformen die Verwendung von feineren Kontakten 138 auf Die-Ebene und/oder Kontakten 132 auf Package-Ebene ermöglichen, um eine E/A und/oder Signalisierung mit höherer Dichte zu ermöglichen. 1G 12 depicts a simplified schematic cross-sectional diagram of the inventive semiconductor package with embedded bridge die 118. FIG 1F 12 with two dies 134, 136 mounted thereon, according to exemplary embodiments of the disclosure. The dies 134, 136 can be attached by any suitable mechanism. Die 134, 136 may be any suitable electronic component, including, but not on, integrated circuits, surface mount devices, active devices, passive devices, diodes, transistors, connectors, resistors, inductors, capacitors, microelectromechanical systems (MEMSs), combinations thereof, or the like limited. The dies 134, 136 may be electrically and mechanically connected via any suitable contact 138, such as metal posts (e.g., copper posts), flip chip bumps, solder bumps, any type of low-lead or lead-free solder bumps, tin-copper - Bumps, Wire Bonds, Wedge Bonds, Controlled Collapse Chip Connect (C4), Anisotropic Conductive Film (ACF), Nonconductive Film (NCF), combinations thereof, or the like, with the package core 100 be coupled. In some example embodiments, the type of contact 138 may be different for the different dies 134,136. For example, one die 134, 136 may have copper pillar contacts 138 and the other die 134, 136 may have solder bump 138 contacts. In other exemplary embodiments, the different dies 134, 136 may have the same type of contacts 138 (e.g., both dies 134, 136 have copper pillar contacts 138), but may have different dimensions of those contacts 138. In other words, one die 134, 136 can have a smaller or larger contact size than the other die 134, 136, and the build-up layer 130 on the top side can be designed to accommodate the difference in size. Furthermore, in exemplary embodiments, each of the dies 134, 136 may have different types and/or different sizes of contacts 138 to the top build layer 130 and the contacts 132, 104 thereon. For example, die-level contacts 138 may have relatively smaller dimensions when making contact with package-level contacts 132 rather than package-level contacts 104 . Indeed, in exemplary embodiments, the use of the bridge die 118 and the rigidity thereby provided may allow the use of finer die-level contacts 138 and/or package-level contacts 132 to provide I/O and/or signaling with allow higher density.

Obwohl die Sequenz von Prozessen, die in 1A-1G dargestellt sind, ein Package (z. B. System-in-Package (SiP)) mit zwei Dies 134, 136 veranschaulicht, versteht es sich, dass eine beliebige geeignete Anzahl von Dies in der SiP-Struktur vorhanden sein kann. Es versteht sich ferner, dass der Die ein beliebiger geeigneter Typ von Die (z. B. elektronische Komponenten) sein kann. In einem nicht einschränkenden Beispiel können ein Mikroprozessor-Die, ein nichtflüchtiger Speicher-Die, ein flüchtiger Speicher-Die und ein Grafikcontroller-Die auf einem einzelnen SiP als ein Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen vorhanden sein. Die verschiedenen Dies auf diesem SiP können durch Kontakte, die über einen Brücken-Die zu einem Leistungsebenenkontakt hergestellt werden, mit Leistung versorgt werden. Zusätzlich können Kontakte zwischen den Dies (z. B. Kontakt vom Mikroprozessor zu nichtflüchtigem Speicher, Kontakt vom Prozessor zu flüchtigem Speicher, vom Grafikcontroller zu nichtflüchtigem Speicher usw.) auch über Zwischenverbindungen hergestellt werden, die auf dem Brücken-Die bereitgestellt werden. In einem anderen nicht einschränkenden Beispiel kann ein SiP mit Brücken-Dies einen Die für einen digitalen Signalprozessor (DSP), einen Basisband-Die, einen Speicher-Die und einen Leistungsverstärker-Die aufweisen. In diesem Beispiel können wieder ein oder mehrere der Dies wenigstens teilweise über Durchgangsbrücken-Die-Kontakte zu einem gespeisten Kontakt des SiP gespeist werden. Zusätzlich können ein oder mehrere der Dies wenigstens teilweise über Zwischenverbindungsstrukturen, die auf der Oberseite des Brücken-Dies gefertigt sind, kommunikativ miteinander verbunden sein.Although the sequence of processes involved in 1A-1G 1 illustrates a package (e.g., system-in-package (SiP)) having two dies 134, 136, it is understood that any suitable number of dies may be present in the SiP structure. It is further understood that the die can be any suitable type of die (e.g. elec ronical components) can be. As a non-limiting example, a microprocessor die, a non-volatile memory die, a volatile memory die, and a graphics controller die may reside on a single SiP as a semiconductor package with pass-through bridge die connections. The various dies on this SiP can be powered by contacts made via a bridge die to a power plane contact. Additionally, contacts between dies (e.g., microprocessor to non-volatile memory contact, processor to volatile memory contact, graphics controller to non-volatile memory contact, etc.) may also be made via interconnects provided on the bridge die. As another non-limiting example, a SiP with bridge dies may include a digital signal processor (DSP) die, a baseband die, a memory die, and a power amplifier die. Again in this example, one or more of the dies may be fed at least in part via via bridge die contacts to a powered contact of the SiP. Additionally, one or more of the dies may be communicatively coupled to one another, at least in part, via interconnect structures fabricated on top of the bridge die.

2 stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein erfindungsgemäßes Halbleiter-Package 200 mit mehreren darin bereitgestellten Dies 226, 228 mit Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht. Wie oben erörtert, kann ein Kern 202 mit wenigstens einer Aufbauschicht 204 vorhanden sein, die über dem Kern 202 bereitgestellt wird und einen darin befindlichen Leistungsebenenkontakt 206 aufweist. Obwohl hier eine einzelne Aufbauschicht 204 zwischen dem Kern 202 und dem Brücken-Die 212 gezeigt ist, versteht es sich, dass eine beliebige geeignete Anzahl von Aufbauschichten zwischen dem Kern 202 und dem Brücken-Die 212 vorhanden sein kann. Es kann eine beliebige geeignete Anzahl von Aufbauschicht(en) 210 über der Leistungsebenenaufbauschicht 204 vorhanden sein. Nach dem Fertigen der Aufbauschicht(en) 210 kann eine Kavität, die über dem Leistungsebenenkontakt 206 in der Leistungsebenenaufbauschicht 204 liegt, innerhalb der Aufbauschichten 210 ausgebildet werden, um den Brücken-Die 212 aufzunehmen. In beispielhaften Ausführungsformen kann der Brücken-Die 212 mit Durchgangskontakten innerhalb der Kavität und über wenigstens einem Teil des Leistungsebenenkontakts 206 angeordnet sein. Eine Schicht aus leitfähigem Klebstoff 208 kann zwischen dem Leistungsebenenkontakt 206 und der Rückseite des Bulks 214 des Brücken-Dies 212 bereitgestellt sein. 2 12 is a simplified cross-sectional schematic diagram illustrating a semiconductor package 200 having a plurality of dies 226, 228 provided therein with through-bridge die connections according to example embodiments of the disclosure, according to the present invention. As discussed above, a core 202 may be present with at least one build-up layer 204 provided over the core 202 and having a power plane contact 206 therein. Although a single build-up layer 204 is shown between the core 202 and the bridge die 212, it is understood that any suitable number of build-up layers between the core 202 and the bridge die 212 may be present. There may be any suitable number of build-up layer(s) 210 over the power plane build-up layer 204 . After the build-up layer(s) 210 are fabricated, a cavity overlying the power-plane contact 206 in the power-plane build-up layer 204 may be formed within the build-up layers 210 to accommodate the bridge die 212 . In example embodiments, the bridge die 212 may be disposed with vias within the cavity and over at least a portion of the power plane contact 206 . A layer of conductive adhesive 208 may be provided between the power plane contact 206 and the back side of the bulk 214 of the bridge die 212 .

Es versteht sich, dass die Dicke des Brücken-Dies 212 in beispielhaften Ausführungsformen der Offenbarung so sein kann, dass sie ungefähr die Dicke einer ganzzahligen Anzahl von Aufbauschichten ist. Dies kann so sein, damit die Verarbeitungsoberfläche nach dem Einbetten des Brücken-Dies 212 in der Kavität, die in den Aufbauschichten 210 ausgebildet ist, relativ planar bleibt. Die Planarität der Oberfläche an diesen intermittierenden Fertigungspunkten kann einen relativ robusteren Fertigungsprozess und ein daraus resultierendes Halbleiter-Package 200 bereitstellen. Beispielsweise kann das Bereitstellen einer relativ flachen intermittierenden Oberfläche zu einer relativ verbesserten Haftung nachfolgender Schichten führen, die über dem Brücken-Die 212 und der Oberfläche der Aufbauschicht 210 liegen können.It is understood that the thickness of the bridge die 212 in exemplary embodiments of the disclosure may be such that it is approximately the thickness of an integer number of build-up layers. This may be so that the processing surface remains relatively planar after embedding the bridge die 212 in the cavity formed in the build-up layers 210 . The surface planarity at these intermittent manufacturing points may provide a relatively more robust manufacturing process and resulting semiconductor package 200 . For example, providing a relatively flat intermittent surface may result in relatively improved adhesion of subsequent layers, which may overlie the bridge die 212 and the build-up layer 210 surface.

Eine oder mehrere darüber liegenden Aufbauschichten 220 können auf der Oberseite des Brücken-Dies 212 ausgebildet sein. Die darüber liegende Aufbauschicht 220 kann Metallkontakte 222, 224 aufweisen, die Metallleiterbahnen und/oder Vias 218, die in einer oder mehreren Back-End-of-Line(BEOL)-Zwischenverbindungsschichten 216 des Brücken-Dies 212 ausgebildet sind, ausrichten und kontaktieren können. Falls die darüber liegende Aufbauschicht 220 auch die oberste Aufbauschicht ist, wie hier dargestellt, dann können die Dies 226, 228 darauf montiert werden. In anderen beispielhaften Ausführungsformen ist die Aufbauschicht 220, die über dem Brücken-Die 212 liegt, möglicherweise nicht die oberste Aufbauschicht. In diesen Fällen können eine oder mehrere Aufbauschichten über der Aufbauschicht vorhanden sein, die über dem Brücken-Die 212 liegen und diesen kontaktieren, und die Dies 226, 228 können auf dieser einen oder diesen mehreren Aufbauschichten montiert sein.One or more overlying build-up layers 220 may be formed on top of bridge die 212 . The overlying build-up layer 220 may include metal contacts 222, 224 that may align and contact metal lines and/or vias 218 formed in one or more back-end-of-line (BEOL) interconnect layers 216 of the bridge die 212 . If the overlying build layer 220 is also the top build layer, as illustrated here, then the dies 226, 228 can be mounted thereon. In other exemplary embodiments, the build-up layer 220 overlying the bridge die 212 may not be the top build-up layer. In these cases, one or more build-up layers may be present over the build-up layer, overlying and contacting the bridge die 212, and the dies 226, 228 may be mounted on these one or more build-up layers.

Die Dies 226, 228 können auf dem Halbleiter-Package 200 mit Durchgangsbrücken-Die-Verbindungen in beispielhaften Ausführungsformen unter Verwendung von Die-zu-Package-Verbindungen 230, 232, 234, 236 verschiedener Typen und/oder Abmessungen montiert werden. In einigen beispielhaften Ausführungsformen können die Die-zu-Package-Verbindungen 230, 234, die über oder in relativer Nähe zum Brücken-Die 212 liegen können, enger sein (z. B. kleinere Größe, reduzierter Pitch und/oder höhere räumliche Dichte usw.) als die Die-zu-Package-Verbindungen 232, 236, die nicht über dem Brücken-Die 212 und/oder nicht in relativer Nähe zum Brücken-Die 212 liegen. In einigen beispielhaften Ausführungsformen können die Die-zu-Package-Verbindungen 230, 232, 234, 236 mit einem oder mehreren Leistungsabgabemetallkontakten 224 der Aufbauschicht 220 verbunden sein, die mit Leistungsabgabemetallleitbahnen und/oder Vias 218 der Verbindungsschicht(en) 216 des Brücken-Dies 212 verbunden sein können, die wiederum mit dem Bulk 214 des Brücken-Dies 212 verbunden ein können, die ferner wiederum mit dem Leistungsebenenkontakt 206 der Aufbauschicht 204 verbunden sein können. Somit kann durch den vorgenannten Pfad Leistung an einen oder mehrere der Dies 226, 228 durch den Bulk 214 des Brücken-Dies 212 gemäß beispielhaften Ausführungsformen der Offenbarung zugeführt werden.The dies 226, 228 may be mounted on the semiconductor package 200 with through-bridge die interconnects in exemplary embodiments using die-to-package interconnects 230, 232, 234, 236 of various types and/or dimensions. In some example embodiments, the die-to-package interconnects 230, 234, which may be over or relatively close to the bridge die 212, may be narrower (e.g., smaller size, reduced pitch and/or higher spatial density, etc .) as the die-to-package interconnects 232, 236 not overlying the bridge die 212 and/or not in relative proximity to the bridge die 212. In some exemplary embodiments, the die-to-package interconnects 230, 232, 234, 236 may be connected to one or more power delivery metal contacts 224 of the build-up layer 220, which may be connected to power delivery metal lines and/or vias 218 of the interconnect layer(s) 216 of the bridge die 212 that can be connected again rum may be connected to the bulk 214 of the bridge die 212 , which may further in turn be connected to the power plane contact 206 of the buildup layer 204 . Thus, through the aforesaid path, power may be supplied to one or more of the dies 226, 228 through the bulk 214 of the bridge die 212 according to example embodiments of the disclosure.

Der erste Die 226 und der zweite Die 228 können elektrisch und mechanisch an der Package-Aufbauschicht 220 durch einen beliebigen geeigneten Mechanismus angebracht sein, einschließlich Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, eines beliebigen Typs von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, C4, ACF, NCF, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Pick-and-Place-Werkzeuge und - Prozesse können beispielsweise verwendet werden, um die Dies 226, 228 auf dem Package auszurichten und anzubringen. In beispielhaften Ausführungsformen können Kupfersäulen zur Anbringung des Dies verwendet werden. Die Kupfersäulen können von beliebiger geeigneter Größe sein. Beispielsweise können die Kupfersäulen ungefähr im Bereich von etwa 10 µm bis etwa 150 µm in der Breite liegen. Die Dies 226, 228 können durch beliebige geeignete Mechanismen am Halbleitersubstrat ausgerichtet und angebracht werden. Beispielsweise kann ein thermosonischer Prozess verwendet werden, um die Kupfersäulen unter Verwendung von Gold/Nickel, Zinn/Blei oder einer beliebigen geeigneten Metallurgie mit entsprechenden Pads auf dem Package-Substrat zu verschmelzen. Als eine andere beispielhafte Ausführungsform kann ein Wellenlötprozess verwendet werden, um die Dies 226, 228 an der Package-Aufbauschicht 220 anzubringen.First die 226 and second die 228 may be electrically and mechanically attached to package build-up layer 220 by any suitable mechanism, including metal (e.g., copper) posts, flip-chip bumps, solder bumps, any type but not limited to low-lead or lead-free solder bumps, tin-copper bumps, wire bonds, wedge bonds, C4, ACF, NCF, combinations thereof, or the like. For example, pick and place tools and processes can be used to align and attach dies 226, 228 to the package. In exemplary embodiments, copper pillars may be used to attach the die. The copper columns can be of any suitable size. For example, the copper columns may range approximately from about 10 microns to about 150 microns in width. Die 226, 228 may be aligned and attached to the semiconductor substrate by any suitable mechanism. For example, a thermosonic process can be used to fuse the copper pillars to corresponding pads on the package substrate using gold/nickel, tin/lead, or any suitable metallurgy. As another exemplary embodiment, a wave soldering process may be used to attach the dies 226, 228 to the package build-up layer 220. FIG.

In beispielhaften Ausführungsformen kann das Unterfüllungsmaterial 238, 240 um die Die-zu-Package-Kontakte 230, 232, 234, 236 zwischen den Dies 226, 228 und der Aufbauschicht 220 bereitgestellt werden. Repräsentative Epoxidmaterialien im Unterfüllungsmaterial 238, 240 können ein Amin-Epoxid, Imidizol-Epoxid, ein Phenol-Epoxid oder ein Anhydrid-Epoxid einschließen. Andere Beispiele für Unterfüllungsmaterial schließen Polyimid, Benzocyclobuten (BCB), eine Bismaleimid-artige Unterfüllung, eine Unterfüllung von Polybenzoxazin (PBO) oder eine Unterfüllung von Polynorbornen ein. Zusätzlich kann das Unterfüllungsmaterial 238, 240 ein Füllmaterial, wie beispielsweise Silica, einschließen. Das Unterfüllungsmaterial 238, 240 kann durch Schleuderbeschichtungs-, Extrusionsbeschichtungs- oder Sprühbeschichtungstechniken eingebracht werden. In einer anderen Ausführungsform schließt das Unterfüllungsmaterial 238, 240 ein Standardfertigungspassivierungsmaterial ein, wie beispielsweise ein anorganisches Passivierungsmaterial (z. B. Siliziumnitrid, Siliziumoxynitrid) oder organisches Passivierungsmaterial (z. B. Polyimid).In example embodiments, the underfill material 238 , 240 may be provided around the die-to-package contacts 230 , 232 , 234 , 236 between the dies 226 , 228 and the buildup layer 220 . Representative epoxy materials in underfill material 238, 240 may include an amine epoxy, imidizole epoxy, a phenolic epoxy, or an anhydride epoxy. Other examples of underfill material include polyimide, benzocyclobutene (BCB), bismaleimide-type underfill, polybenzoxazine (PBO) underfill, or polynorbornene underfill. Additionally, the underfill material 238, 240 may include a filler material such as silica. The underfill material 238, 240 may be applied by spin coating, extrusion coating, or spray coating techniques. In another embodiment, the underfill material 238, 240 includes a standard manufacturing passivation material, such as an inorganic passivation material (e.g., silicon nitride, silicon oxynitride) or organic passivation material (e.g., polyimide).

Das Formteil 242 kann auf der oberen Oberfläche der Aufbauschicht 220 angeordnet sein und die Dies 226, 228 einkapseln. Das Formmaterial kann ein beliebiges geeignetes Formmaterial sein. Beispielsweise kann das Formmaterial eine flüssig verteilte wärmehärtende Epoxidharzformmasse sein. Die Formmasse kann auf der Oberfläche der Aufbauschicht 220 unter Verwendung eines beliebigen geeigneten Mechanismus abgeschieden werden, einschließlich Flüssigkeitsverteilung, Schleuderbeschichtung, Sprühbeschichtung, Rakel, Siebdruck, Kombinationen davon oder dergleichen, jedoch nicht darauf beschränkt.Molding 242 may be disposed on the top surface of build layer 220 and encapsulate dies 226,228. The molding material can be any suitable molding material. For example, the molding material can be a liquid dispersed thermosetting epoxy resin molding compound. The molding compound may be deposited onto the surface of the build layer 220 using any suitable mechanism, including but not limited to liquid spreading, spin coating, spray coating, doctor blade, screen printing, combinations thereof, or the like.

Die Formmasse kann, nachdem sie auf der oberen Oberfläche der Aufbauschicht 220 verteilt worden ist, ausgehärtet werden, während Druck durch eine Chase-Oberfläche darauf angewendet wird. In beispielhaften Ausführungsformen kann das Chase (z. B. eine relativ flache Oberfläche, die auf die Oberseite des flüssigen Formmaterials gepresst wird, das auf der Oberseite der Aufbauschicht 220 angeordnet ist) selbst erhitzt werden. Nach dem Aushärten (z. B. Vernetzen) kann sich die abgeschiedene Formmasse erhärten und ein Formteil 242 ausbilden, um an der Aufbauschicht 220 zu haften und die Dies 226, 228 einzukapseln. In beispielhaften Ausführungsformen kann das Formteil 242 Füllstoffe und/oder andere Materialien darin aufweisen, um den Wärmeausdehnungskoeffizienten (CTE, Coefficient of Thermal Expansion) bevorzugt zu steuern, Spannungen zu reduzieren, flammhemmende Eigenschaften zu verleihen, die Adhäsion zu fördern und/oder die Feuchtigkeitsaufnahme im Formteil 242 zu reduzieren. Das Formteil 242 kann in beispielhaften Ausführungsformen eine beliebige geeignete Dicke aufweisen.The molding compound, after being spread on the top surface of build layer 220, can be cured while pressure is applied thereto by a chase surface. In exemplary embodiments, the chase (e.g., a relatively flat surface that is pressed onto the top of the liquid molding material disposed on top of the build layer 220) itself can be heated. After curing (e.g., crosslinking), the deposited molding compound may solidify and form a molding 242 to adhere to the build layer 220 and encapsulate the dies 226,228. In exemplary embodiments, the molded part 242 may have fillers and/or other materials therein to preferentially control the coefficient of thermal expansion (CTE), reduce stress, impart flame retardant properties, promote adhesion and/or moisture absorption in the Molding 242 to reduce. Molding 242 may have any suitable thickness in exemplary embodiments.

Wie oben offenbart, kann das Halbleiter-Package 200 mit Durchgangsbrücken-Die-Verbindungen Durchgangsbohrungen 244 aufweisen, die im Kern 202 ausgebildet sind. Diese Durchgangsbohrungen 244 können eine elektrische Konnektivität der Dies 226, 228 mit einer oder mehreren Aufbauschichten 246 auf der Unterseite bereitstellen. Ähnlich wie die Aufbauschichten 204, 210 auf der Oberseite kann/können die Aufbauschicht(en) 246 auf der Unterseite auch ein dielektrisches Material 248, wie beispielsweise ein dielektrisches Laminatmaterial, und Metallkontakte 250 aufweisen. Obwohl eine einzelne Aufbauschicht auf der Unterseite des Halbleiter-Packages 200 gezeigt ist, versteht es sich, dass auf der Unterseite des Halbleiter-Packages 200 eine beliebige geeignete Anzahl von Aufbauschichten vorhanden sein kann. Es versteht sich, dass in einigen beispielhaften Verarbeitungsschemata eine Mehrzahl von Aufbauschichten auf der Unterseite des Halbleiter-Packages 200 vorhanden sein können. In einigen beispielhaften Ausführungsformen kann in der Tat eine gleiche Anzahl von Aufbauschichten auf der Unterseite wie auf der Oberseite des Halbleiter-Packages 200 vorhanden sein. In einigen Fällen können die Prozesse zum Ausbilden von Aufbauschichten dafür zugänglich sein, Aufbauschichten auf beiden Seiten des Kerns 202 nahezu gleichzeitig und auf eine relativ kosteneffektive Weise auszubilden. Beispielsweise kann ein dielektrisches Material für eine Aufbauschicht gleichzeitig auf beiden Seiten des Kerns 202 laminiert werden.As disclosed above, the semiconductor package 200 may have through-holes 244 formed in the core 202 with through-bridge die interconnects. These through-holes 244 can provide electrical connectivity of the dies 226, 228 to one or more build-up layers 246 on the underside. Similar to the top construction layers 204 , 210 , the bottom construction layer(s) 246 may also include a dielectric material 248 , such as a dielectric laminate material, and metal contacts 250 . Although a single build-up layer is shown on the bottom of the semiconductor package 200, it is understood that there may be any suitable number of build-up layers on the bottom of the semiconductor package 200. FIG. It should be understood that in some exemplary processing schemes, a plurality of construction layers on the underside of the semiconductor package 200 may be present. Indeed, in some example embodiments, there may be an equal number of build-up layers on the bottom as on the top of the semiconductor package 200 . In some cases, the processes for forming build-up layers may be amenable to forming build-up layers on both sides of the core 202 almost simultaneously and in a relatively cost-effective manner. For example, a dielectric material for a build-up layer may be laminated to both sides of the core 202 at the same time.

In beispielhaften Ausführungsformen können Kontakte 252 für Package-Ebenen-E/A auf der/den Aufbauschicht(en) 246 auf der Unterseite bereitgestellt sein. Die Kontakte 252 können beliebige geeignete Kontakte für Halbleiter-Package-zu-Board-Zwischenverbindungen sein, wie beispielsweise Kugelgitteranordnung(BGA, Ball Grid Array)- oder andere Flächenarraykontakte.In example embodiments, contacts 252 for package-level I/O may be provided on bottom build-up layer(s) 246 . Contacts 252 may be any suitable semiconductor package-to-board interconnect contacts, such as ball grid array (BGA) or other area array contacts.

3A und 3B stellen vereinfachte schematische Querschnittsdiagramme dar, die Leistungsabgabepfade 316, 330 in einem Multi-Die-Halbleiter-Package ohne Durchgangs-Die-Verbindungen 300 und einem Multi-Die-Halbleiter-Package mit Durchgangs-Die-Verbindungen 320 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulichen. 3A and 3B 12 are simplified cross-sectional schematic diagrams illustrating power delivery paths 316, 330 in a multi-die semiconductor package without through-die interconnects 300 and a multi-die semiconductor package with through-die interconnects 320 according to example embodiments of the disclosure.

3A stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package 300 mit einem darin eingebetteten Brücken-Die 306 veranschaulicht, wobei der Brücken-Die 306 keine Durchgangsbrücken-Die-Verbindungen bereitstellt und damit nicht anspruchsgemäß ist. Mit anderen Worten kann der Brücken-Die 306 aus 3A nicht verwendet werden, um eine Leistungs- oder Masseverbindung von den Leistungskontakten 302 und/oder einer/m Leistungsebenenleiterbahn/-kontakt 304 zu den Dies 312, 314 über die Kontakte 310 bereitzustellen. Der Klebstoff 308 kann möglicherweise kein leitfähiges Material sein und/oder der Brücken-Die 306 kann möglicherweise keinen relativ elektrisch leitfähigen Pfad von der Unterseite zur Oberseite des Brücken-Dies 306 bereitstellen. Zusätzlich kann der Brücken-Die 306 so gefertigt sein, dass die Kontakte 310 nicht elektrisch mit dem Bulk des Brücken-Dies 306 verbunden sein können. In diesem Fall kann der Pfad zum Bereitstellen von Leistung für verschiedene Bereiche der Dies 312, 314 ein gekrümmter Pfad 316 sein, wobei der Leistungsstromfluss um den eingebetteten Brücken-Die 306 herum erfolgen kann. Es versteht sich, dass ein derartiger umständlicher Pfad 316 zum Bereitstellen von Leistung an die Dies 312, 314 zu einer Verschlechterung der Qualität der zugeführten Leistung führen kann. Beispielsweise kann ein indirekter Weg zur Leistungsabgabe zu relativ größeren Spannungsabfällen, die durch größere Widerstände und Induktivitäten verursacht werden, einem relativ größeren Rauschen (Schrotrauschen, elektromagnetisches Interferenzrauschen, Übersprechrauschen usw.), einem relativ größeren, durch die Leistungsabgabe induzierten Timing-Jitter und/oder einem relativ größeren Versatz über räumlich verteilte Leistungsabgabepunkte führen. In Ausführungsformen, in denen der Brücken-Die 306 für Masseebenenverbindungen verwendet wird, können ähnliche Arten von Schwachstellen von einem relativ umständlichen Pfad 316 resultieren. 3A 12 is a simplified cross-sectional schematic diagram illustrating a semiconductor package 300 having a bridge die 306 embedded therein, where the bridge die 306 does not provide through bridge die connections and is therefore not in accordance with the claims. In other words, the bridge die 306 can be off 3A are not used to provide a power or ground connection from the power contacts 302 and/or a power plane trace/contact 304 to the dies 312, 314 via the contacts 310. The adhesive 308 may not be a conductive material and/or the bridge die 306 may not provide a relatively electrically conductive path from the bottom to the top of the bridge die 306 . Additionally, the bridge die 306 can be fabricated such that the contacts 310 cannot be electrically connected to the bulk of the bridge die 306 . In this case, the path for providing power to different areas of the dies 312, 314 can be a curved path 316, where the power current flow can be around the embedded bridge die 306. FIG. It is understood that such a circuitous path 316 for providing power to the dies 312, 314 may result in degradation of the quality of the power supplied. For example, an indirect path to power delivery may result in relatively larger voltage drops caused by larger resistances and inductances, relatively larger noise (shot noise, EMI noise, crosstalk noise, etc.), relatively larger power delivery-induced timing jitter, and/or result in a relatively larger offset across spatially distributed power delivery points. In embodiments where the bridge die 306 is used for ground plane connections, similar types of vulnerabilities can result from a relatively circuitous path 316 .

3B stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein erfindungsgemäßes Halbleiter-Package 320 mit einem darin eingebetteten Brücken-Die 324 veranschaulicht, wobei der Brücken-Die 324 für Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung ausgelegt ist. Mit anderen Worten kann der Brücken-Die 324 verwendet werden, um Leistungs- oder Masseverbindungen von den Leistungskontakten 328 und/oder einer/m Leistungsebenenleiterbahn/-kontakt 304 zu den Dies 312, 314 über die Kontakte 326 bereitzustellen. Der Klebstoff 322 kann ein leitfähiger Klebstoff sein und der Brücken-Die 324 kann einen relativ leitfähigen elektrischen Pfad von der Unterseite zur Oberseite des Brücken-Dies 324 bereitstellen. In diesem Fall kann der Brücken-Die 324 so gefertigt sein, dass die Kontakte 326 elektrisch mit dem Bulk des Brücken-Dies 324 verbunden sein können. In diesem Fall kann der Pfad zum Bereitstellen von Leistung für verschiedene Bereiche der Dies 312, 314 ein relativ direkter, vertikaler Pfad 330 sein, wobei wenigstens ein Teil des Leistungsstromflusses durch den eingebetteten Brücken-Die 324 erfolgen kann. Es versteht sich, dass ein derartiger direkter Pfad 330 zum Bereitstellen von Leistung an die Dies 312, 314 zu relativen Verbesserungen in der Qualität der zugeführten Leistung im Vergleich zum umständlichen Pfad 316 aus 3A führen kann. Beispielsweise kann ein direkter Weg zur Leistungsabgabe zu relativ reduzierten Spannungsabfällen aufgrund von niedrigeren Widerständen und Induktivitäten, einem relativ niedrigeren Rauschen (Schrotrauschen, elektromagnetisches Interferenzrauschen, Übersprechrauschen usw.), einem relativ reduzierten, durch die Leistungsabgabe induzierten Timing-Jitter und/oder einem relativ reduzierten Versatz über räumlich verteilte Leistungsabgabepunkte führen. In Ausführungsformen, in denen der Brücken-Die 324 für Masseebenenverbindungen verwendet wird, können ähnliche Arten von Verbesserungen von einem relativ direkten Pfad 330 realisiert werden. 3B 12 is a simplified cross-sectional schematic diagram illustrating a semiconductor package 320 having a bridge die 324 embedded therein, wherein the bridge die 324 is configured for through bridge die connections according to exemplary embodiments of the disclosure, according to the present invention. In other words, the bridge die 324 may be used to provide power or ground connections from the power contacts 328 and/or a power plane trace/contact 304 to the dies 312, 314 via the contacts 326. The adhesive 322 may be a conductive adhesive and the bridge die 324 may provide a relatively conductive electrical path from the bottom to the top of the bridge die 324 . In this case, the bridge die 324 can be fabricated such that the contacts 326 can be electrically connected to the bulk of the bridge die 324 . In this case, the path for providing power to different portions of the dies 312, 314 can be a relatively straight, vertical path 330, where at least some power current flow can be through the embedded bridge die 324. It will be appreciated that such a direct path 330 for providing power to the dies 312, 314 results in relative improvements in the quality of the power supplied as compared to the circuitous path 316 3A can lead. For example, a direct route to power delivery may result in relatively reduced voltage drops due to lower resistances and inductances, relatively lower noise (shot noise, EMI noise, crosstalk noise, etc.), relatively reduced power delivery-induced timing jitter, and/or relatively reduced lead offset over spatially distributed power delivery points. In embodiments where the bridge die 324 is used for ground plane connections, similar types of improvements can be realized from a relatively direct path 330 .

4 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen von Halbleiter-Packages mit den Durchgangsbrücken-Die-Verbindungen aus 1-3 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht. 4 FIG. 12 depicts a flow chart depicting an example method for fabricating semiconductor packages with the pass bridge die ver ties off 1-3 according to exemplary embodiments of the disclosure.

Bei Block 402 kann eine Leistungsebenenzwischenverbindung auf einem Package-Substrat ausgebildet werden. Es versteht sich, dass das Package-Substrat zu diesem Zeitpunkt in Plattenform vorliegen kann und mit einer Mehrzahl anderer Halbleiter-Packages verarbeitet werden kann, die auf der gleichen Package-Substratplatte gefertigt werden. Zu einem späteren Zeitpunkt kann das Package-Substrat vereinzelt werden (z. B. durch Laserablation, Säge usw.), um einzelne Package-Substrate auszubilden. Die Leistungsebenenzwischenverbindung kann eine Aufbauschicht mit aufgebautem Dielektrikum und Metallleiterbahnen und/oder Pads sein, die darin angeordnet sind. Die Leistungsebenenzwischenverbindung kann einen Leistungsebenenkontakt aufweisen, der auf ihrer Oberfläche angeordnet ist. In einigen beispielhaften Ausführungsformen kann die Leistungsebenenzwischenverbindung direkt über dem Kern des Halbleiter-Packages liegen, und in anderen Fällen kann die Leistungsebenenzwischenverbindung über (einer) anderen Aufbauschicht(en) liegen, die auf der Oberseite des Kerns angeordnet ist/sind. In einigen alternativen beispielhaften Ausführungsformen versteht es sich, dass bei der Fertigung des Halbleiter-Packages möglicherweise kein Kern vorhanden ist. In diesen kernlosen Designs kann immer noch eine Leistungsebene vorhanden sein, die ausgebildet werden kann, beispielsweise durch Aufbau auf anderen Aufbauschichten (z. B. darunter liegenden Aufbauschichten).At block 402, a power plane interconnect may be formed on a package substrate. It is understood that the package substrate at this time can be in the form of a board and can be processed with a plurality of other semiconductor packages fabricated on the same package substrate board. At a later time, the package substrate can be singulated (eg, by laser ablation, sawing, etc.) to form individual package substrates. The power plane interconnect may be a build-up layer with built-up dielectric and metal lines and/or pads disposed therein. The power plane interconnect may have a power plane contact disposed on its surface. In some example embodiments, the power plane interconnect may be directly over the core of the semiconductor package, and in other cases the power plane interconnect may be over another build-up layer(s) disposed on top of the core. In some alternative exemplary embodiments, it is understood that a core may not be present when the semiconductor package is fabricated. In these coreless designs, there may still be a performance layer that can be formed, for example, by building on top of other build layers (e.g., underlying build layers).

Bei Block 404 können eine oder mehrere Zwischenverbindungsschichten auf der Oberseite der Leistungsebene ausgebildet werden. Wie die Leistungsebenenzwischenverbindung können die eine oder die mehreren Zwischenverbindungsschichten Aufbauschichten mit Metallleiterbahnen und/oder Pads eines dielektrischen Aufbaumaterials sein. In beispielhaften Ausführungsformen können die Aufbauschichten möglicherweise keine Leiterbahnen und/oder Pads aufweisen, die über dem Leistungsebenenkontakt in der Leistungsebenenschicht liegen. Mit anderen Worten kann es eine Ausschlusszone für Metallmerkmale in den Aufbauschichten geben, wo die Kavität für den Brücken-Die ausgebildet werden soll.At block 404, one or more interconnect layers may be formed on top of the power plane. Like the power plane interconnect, the one or more interconnect layers may be build-up layers with metal lines and/or pads of a dielectric build-up material. In example embodiments, the build-up layers may not have traces and/or pads overlying the power plane contact in the power plane layer. In other words, there may be a metal feature exclusion zone in the build-up layers where the cavity for the bridge die is to be formed.

Bei Block 406 kann eine Kavität in der einen oder den mehreren Zwischenverbindungsschichten ausgebildet werden, und leitfähiges Material kann innerhalb der Kavität und in Kontakt mit dem Leistungsebenenkontakt aufgetragen werden. Die Kavität kann durch einen beliebigen geeigneten Mechanismus ausgebildet werden, einschließlich strukturierter Nassätzung, strukturierter Trockenätzung, Laserablation, Nassreinigung, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. In einigen Fällen kann der Metallkontakt vor dem Ausbilden der Aufbauschichten bei Block 404 oberflächenbehandelt werden, so dass sich das dielektrische Material der darüber liegenden Aufbauschichten relativ sauber von der Oberfläche des Leistungsebenenkontakts löst. Nachdem der Leistungsebenenkontakt an der Unterseite der Kavität freigelegt ist, kann der leitfähige Klebstoff darauf angeordnet werden.At block 406, a cavity may be formed in the one or more interconnect layers and conductive material may be deposited within the cavity and in contact with the power plane contact. The cavity may be formed by any suitable mechanism, including but not limited to patterned wet etch, patterned dry etch, laser ablation, wet cleaning, combinations thereof, or the like. In some cases, the metal contact may be surface treated prior to forming the build-up layers at block 404 such that the dielectric material of the overlying build-up layers releases relatively cleanly from the surface of the power plane contact. After the power plane contact is exposed at the bottom of the cavity, the conductive adhesive can be placed on top.

Bei Block 408 kann der Brücken-Die so in die Kavität eingesetzt werden, dass die Rückseite des Brücken-Dies die leitfähige Paste kontaktiert. In beispielhaften Ausführungsformen kann der Brücken-Die über der Kavität ausgerichtet und in die Kavität eingesetzt werden. In beispielhaften Ausführungsformen kann dieser Prozess unter Verwendung von Pick-and-Place-Werkzeugen zum Ausrichten und Anordnen des Brücken-Dies innerhalb der Kavität durchgeführt werden. Der Brücken-Die kann in beispielhaften Ausführungsformen eine Höhe aufweisen, so dass die obere Oberfläche (z. B. die obere Oberfläche des Brücken-Dies und die obere Oberfläche der bisher gefertigten obersten Aufbauschicht) des bisher ausgebildeten Halbleiter-Packages nach dem Einsetzen in die Kavität relativ planar ist. Die Unterseite des Brücken-Dies kann so angeordnet werden, dass ein ohmscher Kontakt mit dem Leistungsebenenkontakt erzielt wird. Die obere Oberfläche des Brücken-Dies kann relativ zum Rest des Halbleiter-Packages angeordnet werden, so dass ein Kontakt zu einem oder mehreren elektrischen Pads auf der Oberfläche des Brücken-Dies hergestellt werden kann.At block 408, the bridge die may be inserted into the cavity such that the backside of the bridge die contacts the conductive paste. In exemplary embodiments, the bridge die can be aligned over the cavity and inserted into the cavity. In exemplary embodiments, this process may be performed using pick and place tools to align and place the bridge die within the cavity. In example embodiments, the bridge die may have a height such that the top surface (e.g., the top surface of the bridge die and the top surface of the previously fabricated top build-up layer) of the previously formed semiconductor package after insertion into the cavity is relatively planar. The underside of the bridge die can be arranged to make ohmic contact with the power plane contact. The top surface of the bridge die can be positioned relative to the rest of the semiconductor package so that contact can be made to one or more electrical pads on the surface of the bridge die.

Bei Block 410 kann wenigstens eine zusätzliche Package-Zwischenverbindungsschicht auf der Oberseite des Brücken-Dies ausgebildet werden, wobei die wenigstens eine zusätzliche Package-Zwischenverbindungsschicht Zwischenverbindungen aufweisen kann, die Metallleiterbahnen des Brücken-Dies kontaktieren. Die wenigstens eine zusätzliche Package-Zwischenverbindungsschicht in Form einer oder mehrerer Aufbauschichten kann so ausgebildet sein, dass sie einen elektrischen Kontakt zu einem oder mehreren Pads auf der Oberfläche des Brücken-Dies herstellt. In beispielhaften Ausführungsformen kann die Aufbauschicht, die unmittelbar auf der Oberseite des Brücken-Dies ausgebildet ist, elektrische Kontakte mit dem darunter liegenden Brücken-Die und/oder anderen Aufbauschichten, die den Brücken-Die umgeben, aufweisen.At block 410, at least one additional package interconnect layer may be formed on top of the bridge die, wherein the at least one additional package interconnect layer may include interconnects contacting metal lines of the bridge die. The at least one additional package interconnect layer in the form of one or more build-up layers may be configured to make electrical contact with one or more pads on the surface of the bridge die. In exemplary embodiments, the build-up layer formed immediately on top of the bridge die may have electrical contacts with the underlying bridge die and/or other build-up layers surrounding the bridge die.

Bei Block 412 können ein oder mehrere Dies für integrierte Schaltungen auf der Oberseite der wenigstens einen zusätzlichen Package-Zwischenverbindungsschicht montiert werden. Die Dies können eine beliebige geeignete elektronische Vorrichtung sein, wie beispielsweise eine halbleiterbasierte elektronische Vorrichtung. In beispielhaften Ausführungsformen kann der Die eine integrierte Schaltung (IC, Integrated Circuit) mit wenigstens einer aktiven Vorrichtung (z. B. Transistor, Dioden usw.) und/oder passiven Vorrichtung (z. B. Widerstände, Induktoren, Kondensatoren usw.) sein.At block 412, one or more integrated circuit dies may be mounted on top of the at least one additional package interconnect layer. The die can be any suitable electronic device, such as a semiconductor-based one electronic device. In example embodiments, the die may be an integrated circuit (IC) with at least one active device (e.g., transistor, diodes, etc.) and/or passive device (e.g., resistors, inductors, capacitors, etc.). .

Es sollte angemerkt werden, dass das Verfahren 400 gemäß bestimmten Ausführungsformen der Offenbarung auf verschiedene Weisen modifiziert werden kann. Beispielsweise können eine oder mehrere Operationen des Verfahrens 400 in anderen Ausführungsformen der Offenbarung eliminiert oder in einer anderen Reihenfolge ausgeführt werden. Zusätzlich können andere Operationen gemäß anderen Ausführungsformen der Offenbarung zum Verfahren 400 hinzugefügt werden.It should be noted that the method 400 can be modified in various ways according to certain embodiments of the disclosure. For example, one or more operations of method 400 may be eliminated or performed in a different order in other embodiments of the disclosure. Additionally, other operations may be added to method 400 according to other embodiments of the disclosure.

5A-5F stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Brücken-Dies mit Durchgangs-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar. Obwohl 5A-5F einen bestimmten Prozessfluss und daraus resultierenden Brücken-Die darstellen, versteht es sich, dass Variationen der Prozesse und Strukturen durch die hierin bereitgestellte Offenbarung in Erwägung gezogen werden und umfasst sind. Beispielsweise versteht es sich, dass einige Prozesse in einer anderen Reihenfolge als der hierin dargestellten durchgeführt werden können. Als weiteres Beispiel versteht es sich, dass einige Prozesse geeignete Substitute aufweisen können, die implementiert werden können, ohne von Ausführungsformen der Offenbarung abzuweichen. Es versteht sich ferner, dass, obgleich hierin beschriebene Fertigungsprozesse Prozesse sein können, die typischerweise in einer Halbleiterfertigungseinrichtung/einem Reinraum (Fab) durchgeführt werden können, die Prozesse in einer beliebigen geeigneten Verarbeitungseinrichtung unter Verwendung einer beliebigen geeigneten Verarbeitungsanlage durchgeführt werden können. 5A-5F 12 illustrate simplified cross-sectional schematic diagrams of an example bridge die with through-die connections and a manufacturing process thereof, according to example embodiments of the disclosure. Although FIG 5A-5F Illustrating a particular process flow and resulting bridge dies, it is understood that variations in the processes and structures are contemplated and encompassed by the disclosure provided herein. For example, it should be understood that some processes may be performed out of the order presented herein. As another example, it should be understood that some processes may have appropriate substitutes that may be implemented without departing from embodiments of the disclosure. It is further understood that while manufacturing processes described herein may be processes that may typically be performed in a semiconductor manufacturing facility/clean room (Fab), the processes may be performed in any suitable processing facility using any suitable processing tool.

5A stellt ein vereinfachtes schematisches Querschnittsdiagramm eines Bulk-Silizium-Wafers 500 dar, der dotiert 502 ist und auf dem der Brücken-Die gefertigt werden soll, gemäß beispielhaften Ausführungsformen der Offenbarung. Der Wafer 500 kann eine beliebige geeignete Größe, Dicke, Kristallart, Güte und Flach-/Kerben-/Laserritzorientierung aufweisen. In beispielhaften Ausführungsformen kann der Wafer 500 ein beliebiger Wafer der Größe 300 mm (12 Zoll), 200 mm (8 Zoll), 150 mm (6 Zoll), 100 mm (4 Zoll), 50 mm (2 Zoll) und/oder einer beliebigen anderen geeigneten Größe sein. In beispielhaften Ausführungsformen kann der Wafer 500 eine Dicke in ungefähr einem Bereich von etwa 400 µm bis etwa 1,5 mm aufweisen. In einigen beispielhaften Ausführungsformen kann die Dicke des Wafers 500 ungefähr im Bereich von etwa 720 µm bis etwa 775 µm liegen. In einigen anderen beispielhaften Ausführungsformen kann die Dicke des Wafers 500 ungefähr im Bereich von etwa 950 µm bis etwa 1,1 mm liegen. In beispielhaften Ausführungsformen kann die Wafer-Kristallorientierung des Wafers 500 eine beliebige von <100>, <110>, <111> oder eine beliebige andere geeignete Kristallorientierung sein. In einigen beispielhaften Ausführungsformen kann ein Wafer vom p-Typ verwendet werden, und in anderen beispielhaften Ausführungsformen kann ein Wafer vom n-Typ verwendet werden. In einigen beispielhaften Ausführungsformen kann der Wafer 500 ein Wafer mit Vorrichtungsqualität mit oder ohne einer ultrareinen Epitaxieschicht sein. In anderen beispielhaften Ausführungsformen kann der Wafer 500 ein Wafer mit Testqualität sein. In anderen beispielhaften Ausführungsformen können nicht-kreisförmige Siliziumsubstrate verwendet werden. In noch weiteren alternativen Ausführungsformen können nichtkristalline Silizium-Wafer, wie beispielsweise Polysilizium-Wafer, verwendet werden. In noch anderen beispielhaften Ausführungsformen können andere Halbleiter-Wafer als Silizium (z. B. Germanium-Wafer) für die nachfolgenden Prozesse verwendet werden. 5A 12 illustrates a simplified schematic cross-sectional diagram of a bulk silicon wafer 500 that is doped 502 and on which the bridge die is to be fabricated, according to exemplary embodiments of the disclosure. The wafer 500 may have any suitable size, thickness, crystal type, grade, and flat/groove/laser scribe orientation. In exemplary embodiments, the wafer 500 may be any 300 mm (12 inch), 200 mm (8 inch), 150 mm (6 inch), 100 mm (4 inch), 50 mm (2 inch), and/or sized wafer any other suitable size. In example embodiments, the wafer 500 may have a thickness in an approximate range of about 400 μm to about 1.5 mm. In some example embodiments, the thickness of the wafer 500 may be approximately in the range of about 720 μm to about 775 μm. In some other example embodiments, the thickness of the wafer 500 may be approximately in the range of about 950 μm to about 1.1 mm. In exemplary embodiments, the wafer crystal orientation of wafer 500 may be any of <100>, <110>, <111>, or any other suitable crystal orientation. In some example embodiments, a p-type wafer may be used, and in other example embodiments, an n-type wafer may be used. In some example embodiments, the wafer 500 may be a device quality wafer with or without an ultra-clean epitaxial layer. In other example embodiments, the wafer 500 may be a test grade wafer. In other exemplary embodiments, non-circular silicon substrates may be used. In still other alternative embodiments, non-crystalline silicon wafers, such as polysilicon wafers, may be used. In still other exemplary embodiments, semiconductor wafers other than silicon (e.g., germanium wafers) may be used for subsequent processes.

Der Wafer 500 kann vor dem Dotieren gereinigt werden. Der Dotierungsprozess kann einen beliebigen von einem Ionenimplantationsprozess und/oder einem Diffusionsprozess aufweisen. Dotierstoffmaterial kann durch Ionenimplantation eingebracht werden. In beispielhaften Ausführungsformen, in denen eine Ionenimplantation zum Dotieren verwendet wird, kann eine relativ dünne Schicht von Oxid (z. B. SiO2, Tetraethylorthosilicat-abgeschiedenes Oxid (TEOS) usw.) vor dem Ionenimplantationsprozess gezüchtet und/oder abgeschieden werden. In einigen beispielhaften Ausführungsformen kann die dünne Oxidschicht geopfert und vor einer nachfolgenden Verarbeitung gestrippt werden. In anderen beispielhaften Ausführungsformen kann die dünne Oxidschicht auf der Oberfläche verbleiben und die nachfolgende Verarbeitung des Wafers 500 nicht stören. Die Ionenimplantation kann mit beliebiger geeigneter Energie, Dosis und/oder Strom durchgeführt werden. Nach dem Ionenimplantationsprozess kann ein Wärme-/Glühprozess durchgeführt werden, um den Dotierstoff 502 (z. B. diffundiertes Dotierstoffmaterial) zu aktivieren und/oder zu treiben. In beispielhaften Ausführungsformen können Donordotierstoffe (ND) (z. B. Phosphor, Arsen, Wismut, Antimon usw.) verwendet werden, um einen Wafer 500 vom n-Typ auszubilden. In anderen beispielhaften Ausführungsformen können Akzeptordotierstoffe (NA) (z. B. Bor usw.) verwendet werden, um einen Wafer 500 vom p-Typ auszubilden. In anderen beispielhaften Ausführungsformen kann der Dotierungsprozess durch thermische Diffusion durchgeführt werden, indem Dotierstoffe (ND oder NA) 502 auf der Oberfläche und/oder in der Gasphase auf der Oberfläche des Wafers 500 eingebracht werden. Der thermische Prozess kann in beispielhaften Ausführungsformen die Dotierstoffe 502 in den Wafer 500, wie beispielsweise durch Ficksche Diffusion (z. B. entsprechend Ficks zweitem Diffusionsgesetz), treiben.The wafer 500 can be cleaned before doping. The doping process may include any of an ion implantation process and/or a diffusion process. Dopant material can be introduced by ion implantation. In exemplary embodiments where ion implantation is used for doping, a relatively thin layer of oxide (e.g., SiO 2 , tetraethyl orthosilicate deposited oxide (TEOS), etc.) may be grown and/or deposited prior to the ion implantation process. In some example embodiments, the thin oxide layer may be sacrificed and stripped prior to subsequent processing. In other exemplary embodiments, the thin oxide layer may remain on the surface and not interfere with subsequent processing of the wafer 500. The ion implantation can be performed with any suitable energy, dose and/or current. After the ion implantation process, a heat/anneal process may be performed to activate and/or drive the dopant 502 (e.g., diffused dopant material). In exemplary embodiments, donor dopants (N D ) (e.g., phosphorous, arsenic, bismuth, antimony, etc.) may be used to form an n-type wafer 500 . In other exemplary embodiments, acceptor (N A ) dopants (e.g., boron, etc.) may be used to form a p-type wafer 500 . In other exemplary embodiments, the doping process may be performed by thermal diffusion, using dopants ( ND or NA) 502 be introduced on the surface and/or in the gas phase on the surface of the wafer 500. The thermal process may drive the dopants 502 into the wafer 500, such as by Fickian diffusion (e.g., according to Fick's second law of diffusion), in example embodiments.

In beispielhaften Ausführungsformen kann der Wafer 500 wenigstens relativ proximal zur oberen Oberfläche auf ungefähr einen Bereich von etwa 1018 cm-3 bis etwa 1020 cm-3 dotiert sein. In beispielhaften Ausführungsformen kann die Dotierungsdichte des Donordotierstoffs 502 ungefähr 1020 cm-3 betragen. In beispielhaften Ausführungsformen kann der Wafer 500 degeneriert dotiert sein. Die Resistivität nach dem Dotieren des Wafers 500 kann in beispielhaften Ausführungsformen ungefähr im Bereich von etwa 10-5 Ω•cm bis etwa 10-3 Ω•cm liegen.In example embodiments, the wafer 500 may be doped at least relatively proximal to the top surface to about a range of about 10 18 cm -3 to about 10 20 cm -3 . In example embodiments, the doping density of the donor dopant 502 may be approximately 10 20 cm -3 . In example embodiments, the wafer 500 may be degenerately doped. The resistivity after doping the wafer 500 may range approximately from about 10 -5 Ω•cm to about 10 -3 Ω•cm in example embodiments.

5B stellt ein vereinfachtes schematisches Querschnittsdiagramm des Bulk-Silizium-Wafers 500 aus 5A mit einer Kontaktschicht 504 mit einem Dielektrikum 506 und Bulk-Siliziumkontakten 508 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Das Dielektrikum 506 kann gezüchtet und/oder abgeschieden werden. Beispielsweise kann das Dielektrikum in einem trockenen (O2) thermischen Prozess oder einem nassen (H2O) thermischen Prozess gezüchtet werden. Der thermische Prozess kann einen Teil des Bulk-Siliziums verbrauchen, indem das Dielektrikum (SiO2) darauf ausgebildet wird. In anderen beispielhaften Ausführungsformen kann das Dielektrikum 506 auf der Oberfläche des dotierten Wafers 500 abgeschieden werden, beispielsweise durch chemische Gasphasenabscheidung (CVD, Chemical Vapor Deposition), plasmaunterstützte CVD (PECVD, Plasma Enhanced CVD), Atmosphärendruck-CVD (APCVD, Atmospheric Pressure CVD), Kombinationen davon oder dergleichen. Die vorgenannten Prozesse können eine beliebige Vielzahl von Chemien und Zufuhrgasen verwenden, wie beispielsweise TEOS, Silan/Sauerstoff (SiH4/O2), Trimethylsilan (3MS), Dimethylsilan (2MS), Verdünnungsgase (Ar, He, Xe, Ne), Kombinationen davon oder dergleichen. Wie oben erörtert, kann vor dem Ionenimplantationsprozess aus 5A in einigen Fällen eine dünne Oxidschicht auf der Oberseite des Bulk-Silizium-Wafers 500 abgeschieden worden sein. In beispielhaften Ausführungsformen kann die dünne Oxidschicht, die für Ionenimplantationszwecke abgeschieden wird, auf der Oberfläche des Bulk-Silizium-Wafers 500 verbleiben, auf dessen Oberseite der Rest des Dielektrikums 506 gezüchtet und/oder abgeschieden werden kann. 5B FIG. 5 depicts a simplified schematic cross-sectional diagram of bulk silicon wafer 500. FIG 5A 10 with a contact layer 504 having a dielectric 506 and bulk silicon contacts 508 according to example embodiments of the disclosure. The dielectric 506 may be grown and/or deposited. For example, the dielectric can be grown in a dry (O 2 ) thermal process or a wet (H 2 O) thermal process. The thermal process can consume some of the bulk silicon by forming the dielectric (SiO 2 ) on it. In other example embodiments, the dielectric 506 may be deposited on the surface of the doped wafer 500, such as by chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atmospheric pressure CVD (APCVD), etc. , combinations thereof or the like. The above processes can use any variety of chemistries and feed gases such as TEOS, silane/oxygen (SiH 4 /O 2 ), trimethylsilane (3MS), dimethylsilane (2MS), diluent gases (Ar, He, Xe, Ne), combinations of it or something like that. As discussed above, prior to the ion implantation process 5A a thin oxide layer may have been deposited on top of the bulk silicon wafer 500 in some cases. In exemplary embodiments, the thin oxide layer deposited for ion implantation purposes may remain on the surface of the bulk silicon wafer 500, on top of which the remainder of the dielectric 506 may be grown and/or deposited.

Nach dem Ausbilden (z. B. Züchten oder Abscheiden) des Dielektrikums 506 können eine Reihe von Prozessen durchgeführt werden, um Kontakte 508 mit dem Bulk-Silizium-Wafer 500 auszubilden. Diese Prozesse können einen Strukturierungsprozess, wie beispielsweise einen Photolithographieprozess, aufweisen, wobei ein lichtempfindlicher Photoresist auf der Oberseite des Dielektrikums 506 abgeschieden werden kann und strukturiert werden kann, indem ein Licht mit geeigneter Frequenz durch eine Maske (z. B. eine gemusterte Schablone) darauf gerichtet wird und der Photoresist entwickelt wird. Obwohl ein photolithographischer Strukturierungsprozess erörtert wird, versteht es sich, dass ein beliebiger geeigneter Strukturierungsprozess (z. B. Elektronenstrahlen, Röntgenstrahlen, Schablonenprägung, Siebdruck usw.) für den Zweck der Definition der Positionen der Bulk-Kontakte 508 verwendet werden kann. Nach dem Ausbilden einer Photoresistmaske können die Kontaktlöcher im Dielektrikum 506 geätzt werden. Das Ätzen des Dielektrikums kann einen beliebigen geeigneten Mechanismus beinhalten, einschließlich Nassätzen und/oder Trockenätzen, aber nicht darauf beschränkt. In einigen beispielhaften Ausführungsformen kann ein Plasmaätzen, wie beispielsweise ein magnetfeldunterstütztes reaktives Ionenätzen (MERIE, Magnetically Enhanced Reactive Ion Etch), durchgeführt werden, um die Kontaktlöcher für den dotierten Bulk-Wafer 500 auszubilden. In beispielhaften Ausführungsformen kann der Photoresist nach dem Ausbilden des Kontaktlochs gestrippt/verascht werden. Nachdem die Kontaktlöcher ausgebildet sind, können die Kontaktlöcher mit Metall oder einem beliebigen anderen geeigneten Leiter gefüllt werden, um die Kontakte 508 auszubilden. Die Kontaktlöcher können unter Verwendung eines beliebigen geeigneten Metallabscheidungsprozesses gefüllt werden, einschließlich CVD, physikalischer Gasphasenabscheidung (PVD, Physical Vapor Deposition) und/oder Plattieren, aber nicht darauf beschränkt. In beispielhaften Ausführungsformen können die Kontaktlöcher mit Wolfram (W) oder einem anderen Refraktärmetall unter Verwendung eines CVD-Prozesses gefüllt werden. In diesen oder anderen beispielhaften Ausführungsformen kann das Wolfram über der Oberfläche des Dielektrikums 506 abgeschieden werden, während es in den Kontaktlöchern abgeschieden wird. Ein chemisch-mechanischer Polierprozess (CMP-Prozess) kann durchgeführt werden, um das Wolfram von der Oberfläche des Dielektrikums 506 zu entfernen. In einigen alternativen Ausführungsformen können die Kontakte 508 vor dem Füllen mit Wolfram mit anderen Refraktärmetallen und/oder deren Nitriden (z. B. Titannitrid (TiN), Tantalnitrid (TaN) usw.) ausgekleidet sein.After forming (e.g., growing or depositing) the dielectric 506, a number of processes may be performed to form contacts 508 to the bulk silicon wafer 500. FIG. These processes may include a patterning process, such as a photolithography process, where a light-sensitive photoresist may be deposited on top of the dielectric 506 and patterned by shining a light of the appropriate frequency through a mask (e.g., a patterned stencil) onto it is directed and the photoresist is developed. Although a photolithographic patterning process is discussed, it should be understood that any suitable patterning process (e.g., e-beam, x-ray, stencil embossing, screen printing, etc.) may be used for the purpose of defining the bulk contact 508 locations. After forming a photoresist mask, the contact holes in the dielectric 506 can be etched. Etching the dielectric may involve any suitable mechanism, including but not limited to wet etching and/or dry etching. In some exemplary embodiments, a plasma etch, such as a Magnetically Enhanced Reactive Ion Etch (MERIE) may be performed to form the vias for the doped bulk wafer 500 . In exemplary embodiments, the photoresist may be stripped/ashed after the via is formed. After the vias are formed, the vias may be filled with metal or any other suitable conductor to form contacts 508. The vias may be filled using any suitable metal deposition process, including but not limited to CVD, physical vapor deposition (PVD), and/or plating. In example embodiments, the vias may be filled with tungsten (W) or another refractory metal using a CVD process. In these or other example embodiments, the tungsten may be deposited over the surface of the dielectric 506 while being deposited in the vias. A chemical mechanical polishing (CMP) process may be performed to remove the tungsten from the surface of the dielectric 506 . In some alternative embodiments, the contacts 508 may be lined with other refractory metals and/or their nitrides (e.g., titanium nitride (TiN), tantalum nitride (TaN), etc.) prior to being filled with tungsten.

5C stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers 500 aus 5B mit einer oder mehreren Schichten 510, 520 von Metallzwischenverbindungen, die darauf gefertigt sind, gemäß beispielhaften Ausführungsformen der Offenbarung dar. Die Metallzwischenverbindungsschichten können durch einen beliebigen geeigneten Prozess ausgebildet werden, wie beispielsweise strukturierte Metallprozesse (z. B. Aluminium-Subtraktionsprozesse) und/oder Inlaid-Prozesse (z. B. Kupfer-Damascene, Kupfer-Dual-Damascene usw.). In beispielhaften Ausführungsformen können die Gräben 514 innerhalb des Dielektrikums 512 in einem Single-Inlaid-Prozess auf der Oberseite der Kontakte 508 ausgebildet werden, und dann können die Vias 516 und die Gräben 518 in einer Dual-Inlaid-Weise ausgebildet werden, wobei die Metallisierung der Vias 516 und der Gräben 518 gleichzeitig oder nahezu gleichzeitig durchgeführt werden kann. In ähnlicher Weise können die Vias 524 und die Gräben 526 der Metallschicht 520 innerhalb des Dielektrikums 522 in einer Dual-Inlaid-Weise ausgebildet werden. Alternativ können die Metallzwischenverbindungsschichten 510, 520 in einer Single-Inlaid-Weise gefertigt werden, entweder Via zuerst („Via-First“) oder Graben zuerst („Trench-First“). In noch anderen alternativen Ausführungsformen können die Metallzwischenverbindungsschichten 510, 520 in einem subtraktiven Metallisierungsschema ausgebildet werden, wobei Gräben durch Ätzen von abdeckendem, abgeschiedenem Metall (z. B. Aluminium) definiert werden können. 5C FIG. 5 depicts a simplified schematic cross-sectional diagram of silicon wafer 500. FIG 5B with one or more layers 510, 520 of metal interconnects that fabricated thereon, according to exemplary embodiments of the disclosure. The metal interconnect layers may be formed by any suitable process, such as patterned metal processes (e.g., aluminum subtraction processes) and/or inlaid processes (e.g., copper damascene, copper dual damascene, etc.). In example embodiments, the trenches 514 may be formed within the dielectric 512 in a single inlaid process on top of the contacts 508, and then the vias 516 and trenches 518 may be formed in a dual inlaid manner, with the metallization of vias 516 and trenches 518 can be performed simultaneously or nearly simultaneously. Similarly, vias 524 and trenches 526 of metal layer 520 may be formed within dielectric 522 in a dual-inlaid manner. Alternatively, the metal interconnect layers 510, 520 can be fabricated in a single-inlaid fashion, either via-first or trench-first. In still other alternative embodiments, the metal interconnect layers 510, 520 may be formed in a subtractive metallization scheme, wherein trenches may be defined by etching blanket deposited metal (e.g., aluminum).

In beispielhaften Ausführungsformen können die Prozesse, die am Ausbilden der Gräben 518, 526 und der Vias 516, 524 in den Metallzwischenverbindungsschichten 510, 520 beteiligt sind, ein beliebiger geeigneter Prozess zum Abscheiden von Dielektrikum, Strukturieren von Vias, Ätzen von Vias, Strukturieren von Gräben, Ätzen von Gräben und Füllen von Vias und Gräben mit Metall und Rückpolieren von überschüssigem Metall sein. In einigen beispielhaften Ausführungsformen kann ein erster Photolithographieprozess (z. B. Spin-on-Resist, Belichtung, Entwicklung usw.) durchgeführt werden, um mit dem Photoresist die Vias 516, 524 der Metallzwischenverbindungsschichten 510, 520 zu definieren. Nach dem Definieren der Vias 516, 524 können die Durchkontaktierungslöcher geätzt werden, wie beispielsweise durch Verwendung eines MERIE-Prozesses mit einer beliebigen geeigneten Chemie (z. B. Chemien mit CF4, C2F6, C3F8, C4F6, C4F8 usw.), und der Photoresist kann gestrippt werden. Ein zweiter Photolithographieprozess kann durchgeführt werden, um die Gräben 518, 526 in den Metallzwischenverbindungsschichten 510, 520 zu definieren. Die Gräben 518, 526 können dann bis zu einer gewünschten Tiefe innerhalb des Dielektrikums 512, 522 geätzt werden, und dann kann der Photoresist gestrippt werden. Nach dem Ausbilden des Vias und der Grabenvertiefung können eine Metallauskleidung und/oder eine Barrieremetallschicht (z. B. TaN, TiN usw.) in einer kontinuierlichen Weise über den Wänden der Durchkontaktierungslöcher und Grabenkavitäten sowie der Oberfläche des Wafer-Dielektrikums 512, 522 abgeschieden werden. Die Barrieremetallschicht kann mittels eines beliebigen geeigneten Mechanismus, wie beispielsweise durch CVD, abgeschieden werden. Nach dem Abscheiden der Barrieremetallschicht kann eine Keimschicht aus Kupfer auf der Oberseite der Barrieremetallschicht durch einen beliebigen geeigneten Mechanismus, wie beispielsweise PVD, abgeschieden werden. Der Keim kann eine kontinuierliche (z. B. elektrisch kontinuierliche) Schicht sein, um eine nachfolgende Elektroplattierung zu ermöglichen. Nach dem Abscheiden der Cu-Keimschicht kann eine Cu-Elektroplattierung unter Verwendung von beliebigen geeigneten Prozessparametern und -chemien durchgeführt werden, wie beispielsweise einer Kupfer(II)-lösung mit Tensiden und Inhibitoren, um das Bottom-up-Via-Füllen zu verbessern. Der Cu-Elektroplattierungsprozess kann die Vias und Gräben füllen sowie Cu auf der Oberfläche des Dielektrikums abscheiden. Nach der Cu-Elektroplattierung kann ein CMP-Prozess durchgeführt werden, um die Cu-Plattierung, den Cu-Keim und das Barrieremetall von der Oberfläche des Dielektrikums 512, 522 zu entfernen.In example embodiments, the processes involved in forming the trenches 518, 526 and the vias 516, 524 in the metal interconnect layers 510, 520 may be any suitable process for depositing dielectric, patterning vias, etching vias, patterning trenches , etching trenches and filling vias and trenches with metal and polishing back excess metal. In some exemplary embodiments, a first photolithography process (e.g., spin-on resist, exposure, development, etc.) may be performed to define the vias 516, 524 of the metal interconnect layers 510, 520 with the photoresist. After the vias 516, 524 are defined, the via holes may be etched, such as by using a MERIE process with any suitable chemistry (e.g., CF 4 , C 2 F 6 , C 3 F 8 , C 4 F chemistries 6 , C 4 F 8 etc.) and the photoresist can be stripped. A second photolithography process may be performed to define the trenches 518,526 in the metal interconnect layers 510,520. The trenches 518, 526 can then be etched to a desired depth within the dielectric 512, 522 and then the photoresist can be stripped. After forming the via and trench well, a metal liner and/or barrier metal layer (e.g., TaN, TiN, etc.) may be deposited in a continuous manner over the walls of the via holes and trench cavities, as well as the surface of the wafer dielectric 512, 522 . The barrier metal layer can be deposited by any suitable mechanism such as CVD. After depositing the barrier metal layer, a seed layer of copper may be deposited on top of the barrier metal layer by any suitable mechanism such as PVD. The seed may be a continuous (e.g., electrically continuous) layer to allow for subsequent electroplating. After depositing the Cu seed layer, Cu electroplating can be performed using any suitable process parameters and chemistries, such as a cupric solution with surfactants and inhibitors to improve bottom-up via filling. The Cu electroplating process can fill the vias and trenches as well as deposit Cu on the surface of the dielectric. After Cu electroplating, a CMP process may be performed to remove the Cu plating, Cu seed, and barrier metal from the dielectric 512, 522 surface.

5D stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers aus 5C mit rückseitiger Dünnung des Bulk-Silizium-Wafers 500 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Der Dünnungsprozess kann in beispielhaften Ausführungsformen ein rückseitiges Schleifen gefolgt von einem rückseitigen Polieren einer vorbestimmten Tiefe 528 gefolgt von einem Reinigungsprozess einschließen. In beispielhaften Ausführungsformen kann die rückseitige Dünnung zuerst das Montieren des Brücken-Die-Wafers auf einem zweiten Substrat beinhalten, wie zum Beispiel einem Handle-Wafer oder Dicing-Tape. In diesen Ausführungsformen kann die Vorderseite (z. B. die Seite, auf der die Zwischenverbindungsmetallschichten gefertigt wurden) am zweiten Substrat angebracht werden (z. B. in einer nach unten gerichteten Weise). Der Schleif- und/oder Polierprozess kann beliebige geeignete Tribologie- und/oder Prozessparameter beinhalten, einschließlich Poliertücher und/oder Körnung und/oder Slurry von einem beliebigen geeigneten Typ und/oder einer beliebigen geeigneten Größe. Der Wafer 500 kann auf eine geeignete Dicke des Bulk-Wafers 530 gedünnt werden, um in eine Kavität zu passen, die im Halbleiter-Package ausgebildet ist, in dem er angeordnet werden soll. Falls beispielsweise eine Aufbauschicht des Halbleiter-Packages 30 µm dick ist, dann kann der Brücken-Die auf eine Dicke von ungefähr 30 µm gedünnt werden, um in eine Kavität zu passen, die eine Dicke von einer Aufbauschicht aufweist. Als ein weiteres Beispiel kann der Brücken-Die, falls die Aufbauschicht 30 µm dick ist und die Kavität für das Einsetzen des Brücken-Dies drei Aufbauschichten dick ist, auf eine Dicke von ungefähr 90 µm gedünnt werden, um in die Kavität zu passen. In einigen beispielhaften Ausführungsformen kann der Brücken-Die-Wafer auf ungefähr den Bereich von etwa 25 µm bis etwa 400 µm gedünnt sein; in der gleichen oder in anderen beispielhaften Ausführungsformen ungefähr auf den Bereich von etwa 25 µm bis etwa 60 µm; und in noch weiteren beispielhaften Ausführungsformen auf ungefähr den Bereich von etwa 150 µm bis etwa 250 µm. 5D Figure 12 presents a simplified schematic cross-sectional diagram of the silicon wafer 5C with back thinning of the bulk silicon wafer 500 according to example embodiments of the disclosure. The thinning process may include a back grind followed by a back polish of a predetermined depth 528 followed by a cleaning process in example embodiments. In example embodiments, backside thinning may include first mounting the bridge die wafer onto a second substrate, such as a handle wafer or dicing tape. In these embodiments, the front side (e.g., the side on which the interconnect metal layers were fabricated) may be attached (e.g., in a face-down manner) to the second substrate. The grinding and/or polishing process may involve any suitable tribology and/or process parameters including any suitable type and/or size of polishing cloth and/or grit and/or slurry. The wafer 500 can be thinned to an appropriate bulk wafer 530 thickness to fit into a cavity formed in the semiconductor package in which it is to be placed. For example, if a build-up layer of the semiconductor package is 30 µm thick, then the bridge die can be thinned to a thickness of about 30 µm to fit in a cavity having a build-up layer thickness. As another example, if the build-up layer is 30 µm thick and the cavity for inserting the bridge die is three build-up layers thick, the bridge die can be thinned to a thickness of about 90 µm to fit into the cavity. In some exemplary embodiments, the bridge die wafer may be thinned to approximately the range of about 25 μm to about 400 μm; approximately to the range of about 25 µm to about 60 µm in the same or other exemplary embodiments; and in still other exemplary embodiments to about the range of about 150 µm to about 250 µm.

5E stellt ein vereinfachtes schematisches Querschnittsdiagramm des gedünnten Silizium-Wafers 530 aus 5D mit Rückseitenmetall 532 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Nach der Dünnung können, falls Tape- und/oder Handle-Substrate für den Dünnungsprozess angebracht wurden, die Tape- oder Handle-Substrate entfernt werden, oder sie können für die nachfolgende Verarbeitung angebracht bleiben. Der Wafer 530 kann einen ohmschen Kontakt aufweisen, der auf der Rückseite mit dem Rückseitenmetall 532 ausgebildet ist. In einigen beispielhaften Ausführungsformen kann optional ein anderer Dotierungsprozess (Diffusion, Ionenimplantation usw.) auf der geschliffenen und/oder polierten Rückseite des Wafers 530 durchgeführt werden, um eine hoch dotierte Oberfläche für einen relativ guten ohmschen Kontakt bereitzustellen. Als Nächstes kann Metall 532 auf der Rückseite des Wafers 530 unter Verwendung eines beliebigen geeigneten Prozesses, wie beispielsweise CVD, PVD oder dergleichen, abgeschieden werden. In einigen Fällen kann Wolfram auf der Rückseite abgeschieden werden. In anderen Fällen können Ni/Au-Kontakte auf der Rückseite des Wafers 530 ausgebildet werden. In der Tat kann eine beliebige geeignete Metallurgie zum Ausbilden des Rückseitenmetalls 532 verwendet werden. 5E FIG. 5 depicts a simplified schematic cross-sectional diagram of the thinned silicon wafer 530. FIG 5D with backside metal 532 according to example embodiments of the disclosure. After thinning, if tape and/or handle substrates were attached for the thinning process, the tape or handle substrates may be removed or they may remain attached for subsequent processing. The wafer 530 may have an ohmic contact formed on the backside with the backside metal 532 . In some example embodiments, another doping process (diffusion, ion implantation, etc.) can optionally be performed on the ground and/or polished back side of the wafer 530 to provide a highly doped surface for relatively good ohmic contact. Next, metal 532 may be deposited on the back side of wafer 530 using any suitable process such as CVD, PVD, or the like. In some cases, tungsten can be deposited on the backside. In other cases, Ni/Au contacts can be formed on the backside of the wafer 530. FIG. In fact, any suitable metallurgy to form backside metal 532 may be used.

5F stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers aus 5E, der vereinzelt und bereit zum Einbetten in das Halbleiter-Package aus 1D ist, gemäß beispielhaften Ausführungsformen der Offenbarung dar. Nach dem Ausbilden des rückseitigen ohmschen Kontakts kann der Wafer gereinigt und vereinzelt werden (z. B. in Einzelchips zersägt werden). Der Reinigungsprozess kann einen beliebigen geeigneten Reinigungsprozess (z. B. Schallreinigung (SC1), SC2, Piranha-Reinigung usw.) beinhalten. Der Dicing-Prozess kann das Anbringen des Wafers am Dicing-Tape in einer Face-up-Konfiguration (z. B. nach oben gerichtete Zwischenverbindungsmetallschichten) und das Durchführen eines Sägeprozesses oder eines Laserprozesses zum Vereinzeln jedes der Brücken-Dies beinhalten, die auf dem Wafer 530 durch die in 5A-5F dargestellten Prozesse ausgebildet wurden. 5F Figure 12 presents a simplified schematic cross-sectional diagram of the silicon wafer 5E , which is singulated and ready to be embedded in the semiconductor package 1D 1, according to example embodiments of the disclosure. After forming the backside ohmic contact, the wafer may be cleaned and singulated (e.g., diced into individual chips). The cleaning process may include any suitable cleaning process (e.g., sonic cleaning (SC1), SC2, piranha cleaning, etc.). The dicing process may include attaching the wafer to the dicing tape in a face-up configuration (e.g., interconnect metal layers facing up) and performing a sawing process or a laser process to singulate each of the bridge dies that are on the Wafer 530 through the in 5A-5F processes shown were formed.

6 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren 600 zum Fertigen des Brücken-Dies mit den Durchgangs-Die-Verbindungen aus 5A-5F gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht. 6 FIG. 6 illustrates a flow chart depicting an example method 600 for fabricating the bridge die with the through die connections 5A-5F according to exemplary embodiments of the disclosure.

Bei Block 602 kann eine obere Oberfläche von Bulk-Silizium dotiert sein. Wie oben erörtert, kann das Dotieren durch einen beliebigen geeigneten Mechanismus durchgeführt werden, einschließlich Diffusion, Ionenimplantation, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Bei Block 604 können dielektrische und Bulk-Siliziumkontakte ausgebildet werden. Das Dielektrikum kann ein beliebiges geeignetes gezüchtetes Dielektrikum (z. B. Si02) und/oder abgeschiedenes Dielektrikum (z. B. TEOS-abgeschiedenes Dielektrikum, 3MS-abgeschiedenes Dielektrikum, 2MS-abgeschiedenes Dielektrikum, Low-k-Dielektrikum usw.) sein. Kontakte können unter Verwendung beliebiger geeigneter Prozesse ausgebildet werden, wie beispielsweise Strukturieren, Ätzen, Photoresist-Stripping, Barrieremetallabscheidung, CMP usw. Bei Block 606 können eine oder mehrere Metallzwischenverbindungsschichten ausgebildet werden. Die eine oder mehreren Metallzwischenverbindungsschichten können durch einen beliebigen geeigneten Prozess ausgebildet werden, einschließlich Via-First-Trench-Last, Trench-First-Via-Last, Single-Inlaid, Dual-Inlaid, Cu-Zwischenverbindungen, subtraktives Metall, Al-Zwischenverbindungen, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Es versteht sich, dass einige der auf dem Brücken-Wafer gefertigten Zwischenverbindungen für die Zwecke des Leitens von Signalen zwischen zwei oder mehr Dies, die im Halbleiter-Package in einem Package sind, mit Durchgangsbrücken-Die-Verbindungen verwendet werden können, wie hierin offenbart.At block 602, a top surface of bulk silicon may be doped. As discussed above, the doping may be performed by any suitable mechanism, including but not limited to diffusion, ion implantation, combinations thereof, or the like. At block 604, dielectric and bulk silicon contacts may be formed. The dielectric can be any suitable grown dielectric (e.g., SiO 2 ) and/or deposited dielectric (e.g., TEOS deposited dielectric, 3MS deposited dielectric, 2MS deposited dielectric, low-k dielectric, etc.). Contacts may be formed using any suitable process, such as patterning, etching, photoresist stripping, barrier metal deposition, CMP, etc. At block 606, one or more metal interconnect layers may be formed. The one or more metal interconnect layers may be formed by any suitable process including via first trench last, trench first via last, single inlaid, dual inlaid, Cu interconnects, subtractive metal, Al interconnects, combinations thereof or the like, but not limited thereto. It should be understood that some of the interconnects fabricated on the bridge wafer may be used with through bridge die interconnects for purposes of routing signals between two or more dies that are in a package in the semiconductor package, as disclosed herein .

Bei Block 608 kann eine rückseitige Dünnung des Bulk-Wafers durchgeführt werden. Der Dünnungsprozess kann eine beliebige geeignete Sequenz von Schleifen, Polieren und/oder Reinigen verwenden. Der Dünnungsprozess kann in situ überwacht werden, um eine Zieldicke zu erreichen. In einigen beispielhaften Ausführungsformen kann die Zieldicke ein ganzzahliges Vielfaches der Dicke der Aufbauschichten sein, die auf der Oberfläche des Halbleiter-Packages ausgebildet sind, wie hierin beschrieben. Bei Block 610 kann Rückseitenmetall auf dem Wafer abgeschieden werden. In einigen Fällen kann eine Rückseitendotierung vor dem Abscheiden des Rückseitenmetalls durchgeführt werden. Beispielsweise kann die Rückseite degeneriert dotiert werden, um einen guten ohmschen Kontakt zum Rückseitenmetall auszubilden. Das Rückseitenmetall kann durch einen beliebigen geeigneten Mechanismus, wie beispielsweise CVD, PVD und/oder Plattieren, abgeschieden werden. In Block 612 können eine Reinigung und Vereinzelung durchgeführt werden. Die Vereinzelung kann mittels Dicing-Tape durchgeführt werden. Nach dem Dicing kann der Brücken-Die auf einem Dicing-Tape derart gehalten werden, dass der Brücken-Die unter Verwendung von Pick-and-Place-Werkzeugen zum Anordnen in der Kavität, die in den Halbleiter-Packages ausgebildet ist, aufgenommen werden kann, wie hierin beschrieben.At block 608, back thinning of the bulk wafer may be performed. The thinning process can use any suitable sequence of grinding, polishing, and/or cleaning. The thinning process can be monitored in situ to achieve a target thickness. In some example embodiments, the target thickness may be an integer multiple of the thickness of the build-up layers formed on the surface of the semiconductor package, as described herein. At block 610, backside metal may be deposited on the wafer. In some cases, a backside doping may be performed before depositing the backside metal. For example, the backside can be degenerately doped to form a good ohmic contact to the backside metal. The back metal can be deposited by any suitable mechanism such as CVD, PVD and/or plating. At block 612, cleaning and singulation may be performed. Separation can be done using dicing tape are carried out. After dicing, the bridge die can be held on dicing tape such that the bridge die can be picked up using pick and place tools for placement in the cavity formed in the semiconductor packages , as described herein.

Es sollte angemerkt werden, dass das Verfahren 600 gemäß bestimmten Ausführungsformen der Offenbarung auf verschiedene Weisen modifiziert werden kann. Beispielsweise können eine oder mehrere Operationen des Verfahrens 600 in anderen Ausführungsformen der Offenbarung eliminiert oder in einer anderen Reihenfolge ausgeführt werden. Zusätzlich können andere Operationen gemäß anderen Ausführungsformen der Offenbarung zum Verfahren 600 hinzugefügt werden.It should be noted that the method 600 can be modified in various ways according to certain embodiments of the disclosure. For example, one or more operations of method 600 may be eliminated or performed in a different order in other embodiments of the disclosure. Additionally, other operations may be added to method 600 according to other embodiments of the disclosure.

Es versteht sich, dass es sich bei der hierin beschriebenen Vorrichtung um einen beliebigen geeigneten Typ von Mikroelektronik-Packaging und Konfigurationen davon handeln kann, einschließlich beispielsweise System-in-Package (SiP), Systemon-Package (SoP), Package-on-Package (PoP), Interposer-Package, 3D-gestapeltes Package usw. In der Tat kann jeder geeignete Typ von mikroelektronischen Komponenten in den Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen bereitgestellt werden, wie hierin beschrieben. Beispielsweise können Mikrocontroller, Mikroprozessoren, Basisbandprozessoren, digitale Signalprozessoren, Speicher-Dies, Field-Gate-Arrays, Logik-Gate-Dies, passive Komponenten-Dies, MEMSs, Oberflächenmontagevorrichtungen, anwendungsspezifische integrierte Schaltungen, Basisbandprozessoren, Verstärker, Filter, Kombinationen davon oder dergleichen in den Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen in einem Package sein, wie hierin offenbart. Die Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen, wie hierin offenbart, können in einer beliebigen Vielfalt von elektronischen Vorrichtungen bereitgestellt werden, einschließlich Verbraucher-, Industrie-, Militär-, Kommunikations-, Infrastruktur- und/oder anderen elektronischen Vorrichtungen.It is understood that the device described herein may be any suitable type of microelectronics packaging and configurations thereof including, for example, system-in-package (SiP), system-on-package (SoP), package-on-package (PoP), interposer package, 3D stacked package, etc. In fact, any suitable type of microelectronic components can be provided in the semiconductor packages with through bridge die interconnects as described herein. For example, microcontrollers, microprocessors, baseband processors, digital signal processors, memory dies, field gate arrays, logic gate dies, passive component dies, MEMSs, surface mount devices, application specific integrated circuits, baseband processors, amplifiers, filters, combinations thereof, or the like in the semiconductor packages with through bridge die connections in a package as disclosed herein. The semiconductor packages with through-bridge die interconnects as disclosed herein may be provided in any variety of electronic devices including consumer, industrial, military, communications, infrastructure, and/or other electronic devices.

Das Halbleiter-Package mit Brücken-Die-Verbindungen, wie hierin beschrieben, kann verwendet werden, um einen oder mehrere Prozessoren aufzunehmen. Der eine oder die mehreren Prozessoren können ohne Einschränkung eine zentrale Verarbeitungseinheit (CPU, Central Processing Unit), einen digitalen Signalprozessor (DSP), einen Computer mit reduziertem Befehlssatz (RISC, Reduced Instruction Set Computer), einen Computer mit komplexem Befehlssatz (CISC, Complex Instruction Set Computer), einen Mikroprozessor, einen Mikrocontroller, ein feldprogrammierbares Gate-Array (FPGA) oder eine beliebige Kombination davon aufweisen. Die Prozessoren können auch eine oder mehrere anwendungsspezifische integrierte Schaltungen (ASICs, Application Specific Integrated Circuits) oder anwendungsspezifische Standardprodukte (ASSPs, Application Specific Standard Products) zum Handhaben spezifieller Datenverarbeitungsfunktionen oder -aufgaben aufweisen. In bestimmten Ausführungsformen können die Prozessoren auf einem Intel® Architektursystem basieren, und der eine oder die mehreren Prozessoren und jeder Chipsatz, der in einer elektronischen Vorrichtung eingeschlossen ist, kann aus einer Familie von Intel® Prozessoren und Chipsätzen stammen, wie beispielsweise die Intel® Atom® Prozessorfamilie oder Intel-64-Prozessoren (z. B. Sandy Bridge®, Ivy Bridge®, Haswell®, Broadwell®, Skylake® usw.).The semiconductor package with bridge die connections as described herein can be used to house one or more processors. The one or more processors may include, without limitation, a central processing unit (CPU), a digital signal processor (DSP), a reduced instruction set computer (RISC), a complex instruction set (CISC) computer instruction set computer), a microprocessor, a microcontroller, a field programmable gate array (FPGA), or any combination thereof. The processors may also include one or more Application Specific Integrated Circuits (ASICs) or Application Specific Standard Products (ASSPs) for handling specific data processing functions or tasks. In certain embodiments, the processors may be based on an Intel® architecture system, and the one or more processors and each chipset included in an electronic device may be from a family of Intel® processors and chipsets, such as the Intel® Atom ® processor family or Intel 64 processors (e.g. Sandy Bridge®, Ivy Bridge®, Haswell®, Broadwell®, Skylake®, etc.).

Zusätzlich oder alternativ kann das Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen, wie hierin beschrieben, verwendet werden, um einen oder mehrere Speicherchips aufzunehmen. Der Speicher kann eine oder mehrere flüchtige und/oder nichtflüchtige Speichervorrichtungen aufweisen, einschließlich magnetischer Speichervorrichtungen, Nur-Lese-Speicher (ROM, Read-Only Memory), Direktzugriffsspeicher (RAM, Random Access Memory), dynamischem RAM (DRAM), statischem RAM (SRAM), synchronem dynamischem RAM (SDRAM), Doppeldatenraten(DDR)-SDRAM (DDR-SDRAM), RAM-BUS-DRAM (RDRAM), Flash-Speichervorrichtungen, elektrisch löschbarem programmierbarem Nur-Lese-Speicher (EEPROM, Electrically Erasable Programmable Read-Only Memory), nichtflüchtigem RAM (NVRAM, Non-Volatile RAM), USB(Universeller Serieller Bus)-Wechselspeicher oder Kombinationen davon, aber nicht darauf beschränkt.Additionally or alternatively, the semiconductor package with via bridge die connections as described herein may be used to house one or more memory chips. The memory may include one or more volatile and/or non-volatile memory devices, including magnetic memory devices, read-only memory (ROM), random access memory (RAM), dynamic RAM (DRAM), static RAM ( SRAM), synchronous dynamic RAM (SDRAM), double data rate (DDR) SDRAM (DDR-SDRAM), RAM-BUS DRAM (RDRAM), flash memory devices, Electrically Erasable Programmable Read Only Memory (EEPROM). -Only Memory), Non-Volatile RAM (NVRAM), USB (Universal Serial Bus) removable memory, or combinations thereof, but not limited to.

In beispielhaften Ausführungsformen kann die elektronische Vorrichtung, in der das Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen bereitgestellt ist, eine Rechenvorrichtung sein. Eine derartige Rechenvorrichtung kann eine oder mehrere Platinen aufnehmen, auf denen das Halbleiter-Package mit Brücken-Die-Verbindungen angeordnet sein kann. Die Platine kann eine Anzahl von Komponenten aufweisen, unter anderem, jedoch nicht darauf beschränkt, einen Prozessor und/oder wenigstens einen Kommunikationschip. Der Prozessor kann physikalisch und elektrisch mit der Platine verbunden sein, beispielsweise durch elektrische Verbindungen des Halbleiter-Packages mit einer EMI-Abschirmung. Die Rechenvorrichtung kann ferner eine Mehrzahl von Kommunikationschips aufweisen. Zum Beispiel kann ein erster Kommunikationschip einer drahtlosen Kommunikation mit kürzerer Reichweite, wie beispielsweise Wi-Fi und Bluetooth, dediziert sein, und ein zweiter Kommunikationschip kann einer drahtlosen Kommunikation mit größerer Reichweite, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO und andere, dediziert sein. In verschiedenen beispielhaften Ausführungsformen kann die Rechenvorrichtung ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet, ein persönlicher digitaler Assistent (PDA), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Digitalkamera, ein portables Musikwiedergabegerät, ein digitaler Videorekorder, Kombinationen davon oder dergleichen sein. In weiteren beispielhaften Ausführungsformen kann die Rechenvorrichtung eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet.In example embodiments, the electronic device in which the semiconductor package is provided with through bridge die connections may be a computing device. Such a computing device may house one or more circuit boards on which the semiconductor package may be placed with bridge die connections. The circuit board may include a number of components including, but not limited to, a processor and/or at least one communications chip. The processor may be physically and electrically connected to the board, such as by electrically connecting the semiconductor package to an EMI shield. The computing device may further include a plurality of communication chips. For example, a first communication chip may be dedicated to shorter-range wireless communication such as Wi-Fi and Bluetooth, and a second communication chip may be dedicated to longer-range wireless communication such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO and others, be dedicated. In various exemplary embodiments, the computing device may be a laptop, netbook, notebook, ultrabook, smartphone, tablet, personal digital assistant (PDA), ultra-mobile PC, mobile phone, desktop computer, server, printer , a scanner, a monitor, a set-top box, an entertainment controller, a digital camera, a portable music player, a digital video recorder, combinations thereof, or the like. In other exemplary embodiments, the computing device may be any other electronic device that processes data.

Verschiedene Merkmale, Aspekte und Ausführungsformen sind hierin beschrieben worden. Die Merkmale, Aspekte und Ausführungsformen eignen sich zur Kombination miteinander ebenso wie zu Variationen und Modifikationen, wie es von Fachleuten auf dem Gebiet verstanden wird. Die vorliegende Offenbarung sollte daher als derartige Kombinationen, Variationen und Modifikationen umfassend betrachtet werden.Various features, aspects and embodiments have been described herein. The features, aspects, and embodiments are capable of combination with one another, as well as variations and modifications, as will be understood by those skilled in the art. The present disclosure should therefore be considered to encompass such combinations, variations and modifications.

Die Begriffe und Ausdrücke, die hierin verwendet wurden, dienen der Beschreibung und nicht der Einschränkung, und es besteht keine Absicht, bei der Verwendung derartiger Begriffe und Ausdrücke irgendwelche Äquivalente der gezeigten und beschriebenen Merkmale (oder Teile davon) auszuschließen. Dementsprechend sollen die Ansprüche alle derartigen Äquivalente abdecken.The terms and expressions used herein are for the purpose of description rather than limitation, and there is no intention in the use of such terms and expressions to exclude any equivalents of the features (or portions thereof) shown and described. Accordingly, the claims are intended to cover all such equivalents.

Obgleich die Offenbarung verschiedene Ausführungsformen einschließt, einschließlich wenigstens einer besten Art, versteht es sich, dass viele Alternativen, Modifikationen und Variationen angesichts der vorstehenden Beschreibung für Fachleute auf dem Gebiet offensichtlich sind. sind in einem veranschaulichenden und nicht einschränkenden Sinne zu interpretieren.While the disclosure includes various embodiments, including at least a best mode, it is understood that many alternatives, modifications, and variations will be apparent to those skilled in the art in light of the foregoing description. are to be interpreted in an illustrative and non-limiting sense.

Diese schriftliche Beschreibung verwendet Beispiele, um bestimmte Ausführungsformen der Offenbarung, einschließlich der besten Art, zu offenbaren und auch Fachleuten auf dem Gebiet zu ermöglichen, bestimmte Ausführungsformen der Offenbarung umzusetzen, einschließlich Herstellen und Verwenden von beliebigen Geräten, Vorrichtungen oder Systemen und Durchführen von beliebigen integrierten Verfahren und Prozessen.This written description uses examples to disclose specific embodiments of the disclosure, including the best mode, and also to enable any person skilled in the art to practice specific embodiments of the disclosure, including making and using any device, device, or system and performing any integrated procedures and processes.

Gemäß beispielhaften Ausführungsformen der Offenbarung kann ein Halbleiter-Package vorhanden sein, umfassend: eine erste Aufbauschicht mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht einen Leistungskontakt auf der oberen Oberfläche der ersten Aufbauschicht aufweist; eine zweite Aufbauschicht, die über der ersten Aufbauschicht liegt und einen Hohlraum aufweist, der über dem Leistungskontakt liegt; einen Brücken-Die, der innerhalb des Hohlraums der zweiten Aufbauschicht angeordnet ist, wobei der Brücken-Die eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt gekoppelt ist; und eine dritte Aufbauschicht, die über der Oberseite des Brücken-Dies liegend und über der zweiten Aufbauschicht angeordnet ist, wobei die dritte Aufbauschicht eine Zwischenverbindungsleiterbahn aufweist, wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist. In beispielhaften Ausführungsformen kann ein leitfähiger Klebstoff zwischen dem Leistungskontakt und der Brücken-Die-Rückseite angeordnet sein. In weiteren beispielhaften Ausführungsformen umfasst die Brücken-Die-Rückseite eine Brücken-Die-Rückseitenmetallschicht, und wobei der leitfähige Klebstoff das Brücken-Die-Rückseitenmetall kontaktiert. In noch weiteren beispielhaften Ausführungsformen umfasst das Halbleiter-Package ferner einen Die, der auf der dritten Aufbauschicht angeordnet und elektrisch mit der Zwischenverbindungsleiterbahn verbunden ist.According to example embodiments of the disclosure, there may be a semiconductor package comprising: a first build-up layer having a top surface and a bottom surface opposite the top surface, the first build-up layer having a power contact on the top surface of the first build-up layer; a second build-up layer overlying the first build-up layer and having a cavity overlying the power contact; a bridge die disposed within the cavity of the second build-up layer, the bridge die having a bridge die back and a bridge die top, the bridge die top having a plurality of bridge die top contacts wherein the bridge die back is electrically coupled to the power contact; and a third build-up layer overlying the top of the bridge die and disposed over the second build-up layer, the third build-up layer having an interconnection trace, the interconnection trace electrically connected to a first bridge-die top-side contact of the plurality of bridge-die top-side contacts is coupled. In example embodiments, a conductive adhesive may be placed between the power contact and the bridge die backside. In further exemplary embodiments, the bridge die backside comprises a bridge die backside metal layer and wherein the conductive adhesive contacts the bridge die backside metal. In still further example embodiments, the semiconductor package further includes a die disposed on the third build-up layer and electrically connected to the interconnection trace.

Gemäß beispielhaften Ausführungsformen ist der Die ein erster Die, und ferner umfassend einen zweiten Die, der auf der dritten Aufbauschicht angeordnet ist, wobei der erste Die über die Zwischenverbindungsleiterbahn elektrisch mit dem zweiten Die gekoppelt ist. In weiteren beispielhaften Ausführungsformen ist die Zwischenverbindungsleiterbahn eine erste Zwischenverbindungsleiterbahn, wobei der Die über eine erste Die-zu-Package-Verbindung elektrisch mit der ersten Zwischenverbindungsleiterbahn verbunden ist, wobei der Die ferner über eine zweite Die-zu-Package-Verbindung elektrisch mit einer zweiten Zwischenverbindungsleiterbahn der dritten Aufbauschicht verbunden ist, und wobei die zweite Die-zu-Package-Verbindung eine größere Größe als die erste Die-zu-Package-Verbindung aufweist. In noch weiteren beispielhaften Ausführungsformen ist der erste Brücken-Die-Oberseitenkontakt durch einen Brücken-Die über einen Brücken-Die-Bulk elektrisch mit der Brücken-Die-Rückseite gekoppelt. In noch weiteren beispielhaften Ausführungsformen ist der Hohlraum ein erster Hohlraum, und ferner umfassend eine vierte Aufbauschicht, die über der zweiten Aufbauschicht und unter der dritten Aufbauschicht angeordnet ist, wobei die vierte Aufbauschicht einen zweiten Hohlraum aufweist, der über dem Leistungskontakt liegt, und wobei der erste Hohlraum und der zweite Hohlraum eine Kavität definieren, in der der Brücken-Die angeordnet ist. In einigen beispielhaften Ausführungsformen umfasst der Brücken-Die einen Bulk-Teil, der elektrisch mit einem Rückseitenmetall gekoppelt ist, das auf der Brücken-Die-Rückseite bereitgestellt wird, und wobei wenigstens einer der Mehrzahl von Brücken-Die-Oberseitenkontakten elektrisch mit dem Bulk-Teil gekoppelt ist, um Leistung durch den Bulk-Teil des Brücken-Dies zu dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten und zu einem oder mehreren Dies, die elektrisch mit dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten verbunden sind, zu leiten. In beispielhaften Ausführungsformen kann das Halbleiter-Package ferner eine vierte Aufbauschicht umfassen. Des Weiteren kann das Halbleiter-Package einen Package-Kern aufweisen, wobei der Package-Kern eine obere Package-Kernoberfläche und eine untere Package-Kernoberfläche aufweist; eine vierte Aufbauschicht, die auf der unteren Package-Kernoberfläche ausgebildet ist; und einen oder mehrere Package-zu-Board-Kontakten, die elektrisch mit der vierten Aufbauschicht gekoppelt sind.According to example embodiments, the die is a first die, and further comprising a second die disposed on the third build-up layer, wherein the first die is electrically coupled to the second die via the interconnection trace. In further exemplary embodiments, the interconnection trace is a first interconnection trace, the die being electrically connected to the first interconnection trace via a first die-to-package connection, and the die being further electrically connected to a second via a second die-to-package connection interconnection trace of the third build-up layer, and wherein the second die-to-package connection has a larger size than the first die-to-package connection. In still other exemplary embodiments, the first bridge die top contact is electrically coupled to the bridge die backside through a bridge die via a bridge die bulk. In still further exemplary embodiments, the cavity is a first cavity, and further comprising a fourth build-up layer disposed above the second build-up layer and below the third build-up layer, the fourth build-up layer having a second cavity overlying the power contact, and wherein the first cavity and the second cavity define a cavity in which the bridge die is arranged. In some example embodiments, the bridge die includes a bulk portion that is electrically coupled to a backside metal provided on the bridge die backside, and at least one of the plurality of bridge die top side contacts is electrically connected to the bulk portion is coupled to provide power through the bulk portion of the bridge die to the at least one of the plurality of bridge die top contacts and to one or more dies electrically connected to the at least one of the plurality of bridge die top contacts , to direct. In example embodiments, the semiconductor package may further include a fourth build-up layer. Furthermore, the semiconductor package may have a package core, the package core having an upper package core surface and a lower package core surface; a fourth build-up layer formed on the lower package core surface; and one or more package-to-board contacts electrically coupled to the fourth build-up layer.

Gemäß beispielhaften Ausführungsformen kann ein Verfahren vorhanden sein, umfassend: Ausbilden einer ersten Aufbauschicht, wobei die erste Aufbauschicht einen Leistungskontakt aufweist; Ausbilden einer oder mehrerer zweiter Aufbauschichten, die über der ersten Aufbauschicht liegen; Entfernen von Teilen der einen oder mehreren zweiten Aufbauschichten, um eine Kavität in der einen oder den mehreren zweiten Aufbauschichten auszubilden; Bereitstellen eines Brücken-Dies innerhalb der Kavität, wobei eine Rückseite des Brücken-Dies elektrisch mit dem Leistungskontakt verbunden ist; Ausbilden einer oder mehrerer dritter Aufbauschichten, die über dem Brücken-Die und der einen oder den mehreren zweiten Aufbauschichten liegen; und Anbringen eines ersten Dies und eines zweiten Dies an Zwischenverbindungen, die auf der einen oder den mehreren dritten Aufbauschichten bereitgestellt werden. In beispielhaften Ausführungsformen kann das Verfahren das Durchschneiden der ersten Aufbauschicht, der einen oder mehreren zweiten Aufbauschichten und der einen oder mehreren dritten Aufbauschichten umfassen, um ein Halbleiter-Package mit dem Brücken-Die zu vereinzeln. In weiteren beispielhaften Ausführungsformen umfasst das Ausbilden der ersten Aufbauschicht das Ausbilden der ersten Aufbauschicht auf einer Oberseite eines Package-Kerns. In noch weiteren beispielhaften Ausführungsformen umfasst das Verfahren das Ausbilden einer oder mehrerer vierter Aufbauschichten auf der Unterseite des Package-Kerns; und Ausbilden einer oder mehrerer Package-zu-Board-Verbindungen auf der einen oder den mehreren vierten Aufbauschichten. In noch weiteren beispielhaften Ausführungsformen umfasst das Entfernen der Teile der einen oder mehreren zweiten Aufbauschichten ferner eine Laserablation der Teile der einen oder mehreren zweiten Aufbauschichten, um den Leistungskontakt freizulegen, der unter der einen oder den mehreren zweiten Aufbauschichten liegt.According to example embodiments, there may be a method comprising: forming a first build-up layer, the first build-up layer having a power contact; forming one or more second build layers overlying the first build layer; removing portions of the one or more second build-up layers to form a cavity in the one or more second build-up layers; providing a bridge die within the cavity, a backside of the bridge die being electrically connected to the power contact; forming one or more third build-up layers overlying the bridge die and the one or more second build-up layers; and attaching a first die and a second die to interconnects provided on the one or more third build-up layers. In example embodiments, the method may include cutting through the first build-up layer, the one or more second build-up layers, and the one or more third build-up layers to singulate a semiconductor package with the bridge die. In further example embodiments, forming the first build-up layer includes forming the first build-up layer on a top surface of a package core. In still other example embodiments, the method includes forming one or more fourth build-up layers on the bottom side of the package core; and forming one or more package-to-board connections on the one or more fourth layers of construction. In still other example embodiments, removing the portions of the one or more second build-up layers further comprises laser ablating the portions of the one or more second build-up layers to expose the power contact underlying the one or more second build-up layers.

Gemäß einigen beispielhaften Ausführungsformen der Offenbarung umfasst das Verfahren das Abscheiden eines leitfähigen Klebstoffs innerhalb der Kavität. In beispielhaften Ausführungsformen umfasst das Bereitstellen des Brücken-Dies innerhalb der Kavität ferner das Ausrichten des Brücken-Dies über der Kavität und dann das Anordnen des Brücken-Dies innerhalb der Kavität, wobei ein Volumen der Kavität größer als ein Volumen des Brücken-Dies ist. In weiteren beispielhaften Ausführungsformen umfasst das Bereitstellen des Brücken-Dies innerhalb der Kavität ferner: Dotieren eines Wafers; Ausbilden eines oder mehrerer Bulk-Kontakte auf einer Oberseite des Wafers; Ausbilden einer oder mehrerer Metallzwischenverbindungsschichten über dem einen oder den mehreren Bulk-Kontakten; Dünnen des Wafers auf einer Unterseite des Wafers; Abscheiden von Rückseitenmetall auf der Unterseite des Wafers; und Vereinzeln des Wafers zum Ausbilden des Brücken-Dies. In noch weiteren beispielhaften Ausführungsformen umfasst das Dünnen des Wafers das Dünnen des Wafers auf eine Dicke, die im Wesentlichen gleich der Dicke der einen oder mehreren zweiten Aufbauschichten ist. In noch weiteren beispielhaften Ausführungsformen umfasst das Anbringen eines ersten Dies: Verbinden eines ersten Kontakts des ersten Dies mit einem ersten Pad auf der einen oder den mehreren dritten Aufbauschichten; und Verbinden eines zweiten Kontakts des ersten Dies mit einem zweiten Pad auf der einen oder den mehreren dritten Aufbauschichten, wobei das erste Pad eine kleinere Fläche als das zweite Pad aufweist, und wobei das erste Pad über dem Brücken-Die liegt und das zweite Pad nicht über dem Brücken-Die liegt.According to some exemplary embodiments of the disclosure, the method includes depositing a conductive adhesive within the cavity. In exemplary embodiments, providing the bridge die within the cavity further includes aligning the bridge die over the cavity and then placing the bridge die within the cavity, wherein a volume of the cavity is greater than a volume of the bridge die. In other exemplary embodiments, providing the bridge die within the cavity further includes: doping a wafer; forming one or more bulk contacts on a top surface of the wafer; forming one or more metal interconnect layers over the one or more bulk contacts; thinning the wafer on a bottom side of the wafer; depositing backside metal on the underside of the wafer; and dicing the wafer to form the bridge die. In still other example embodiments, thinning the wafer includes thinning the wafer to a thickness substantially equal to the thickness of the one or more second build-up layers. In still further example embodiments, attaching a first die includes: connecting a first contact of the first die to a first pad on the one or more third build-up layers; and connecting a second contact of the first die to a second pad on the one or more third build-up layers, wherein the first pad has a smaller area than the second pad, and wherein the first pad overlies the bridge die and the second pad does not over the bridge die lies.

Claims (10)

Halbleiter-Package (200, 320), umfassend: eine erste Aufbauschicht (102, 204) mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht (102, 204) einen Leistungskontakt (108; 206; 304) auf der oberen Oberfläche der ersten Aufbauschicht (102, 204) aufweist; eine zweite Aufbauschicht (110, 210), die über der ersten Aufbauschicht (102, 204) liegt, wobei die zweite Aufbauschicht (110, 210) einen Hohlraum definiert, der über dem Leistungskontakt (108; 206) liegt; einen Brücken-Die (118, 212, 324), der innerhalb des Hohlraums der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei der Brücken-Die (118, 212, 324) eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt (108, 206) gekoppelt ist; und eine dritte Aufbauschicht (130, 220), die über der Oberseite des Brücken-Dies (118, 212, 324) liegend und über der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei die dritte Aufbauschicht (112, 220) eine Zwischenverbindungsleiterbahn aufweist (132; 224), wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt (230) der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist, wobei der Brücken-Die einen Bulk-Teil (120; 214) umfasst, der elektrisch mit einem Rückseitenmetall gekoppelt ist, das auf der Brücken-Die-Rückseite bereitgestellt ist, und wobei wenigstens einer der Mehrzahl von Brücken-Die-Oberseitenkontakten elektrisch mit dem Bulk-Teil gekoppelt ist, um Leistung durch den Bulk-Teil des Brücken-Dies zu dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten und zu einem oder mehreren Dies, die elektrisch mit dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten verbunden sind, zu leiten.A semiconductor package (200, 320) comprising: a first build-up layer (102, 204) having a top surface and a bottom surface opposite the top surface, the first build-up layer (102, 204) having a power contact (108; 206; 304) on the top surface of the first build-up layer (102, 204); a second build-up layer (110, 210) overlying the first build-up layer (102, 204), the second build-up layer (110, 210) defining a cavity overlying the power contact (108; 206); a bridge die (118, 212, 324) disposed within the cavity of the second build-up layer (110, 210), the bridge die (118, 212, 324) having a bridge die backside and a bridge die -upper side, with the bridge- the top side has a plurality of bridge die top side contacts, the bridge die back side being electrically coupled to the power contact (108, 206); and a third build-up layer (130, 220) overlying the top of the bridge die (118, 212, 324) and disposed over the second build-up layer (110, 210), the third build-up layer (112, 220) comprising an interconnection trace (132; 224), wherein the interconnection trace is electrically coupled to a first bridge die top contact (230) of the plurality of bridge die top contacts, the bridge die including a bulk portion (120; 214) that is electrically coupled to a backside metal provided on the bridge die backside, and wherein at least one of the plurality of bridge die top side contacts is electrically coupled to the bulk portion to transmit power through the bulk portion of the bridge die to the at least one of the plurality of bridge die top contacts and to one or more dies electrically connected to the at least one of the plurality of bridge die top contacts. Halbleiter-Package nach Anspruch 1, ferner umfassend einen leitfähigen Klebstoff (116; 208; 322), der zwischen dem Leistungskontakt und der Brücken-Die-Rückseite angeordnet ist.semiconductor package claim 1 , further comprising a conductive adhesive (116; 208; 322) disposed between the power contact and the bridge die backside. Halbleiter-Package nach Anspruch 2, wobei die Brücken-Die-Rückseite ein Brücken-Die-Rückseitenmetall umfasst, und wobei der leitfähige Klebstoff das Brücken-Die-Rückseitenmetall kontaktiert.semiconductor package claim 2 wherein the bridge die backside comprises a bridge die backside metal, and wherein the conductive adhesive contacts the bridge die backside metal. Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend einen Die (134; 226; 312), der auf der dritten Aufbauschicht angeordnet und elektrisch mit der Zwischenverbindungsleiterbahn (132; 224) verbunden ist.A semiconductor package according to any one of the preceding claims, further comprising a die (134; 226; 312) disposed on the third build-up layer and electrically connected to the interconnection trace (132; 224). Halbleiter-Package nach Anspruch 4, wobei der Die ein erster Die (134; 226; 312) ist, und ferner umfassend einen zweiten Die (136; 228; 314), der auf der dritten Aufbauschicht angeordnet ist, wobei der erste Die über die Zwischenverbindungsleiterbahn (132; 224) elektrisch mit dem zweiten Die gekoppelt ist.semiconductor package claim 4 , wherein the die is a first die (134; 226; 312), and further comprising a second die (136; 228; 314) disposed on the third build-up layer, the first die being connected via the interconnection trace (132; 224) electrically coupled to the second die. Halbleiter-Package nach Anspruch 4, wobei die Zwischenverbindungsleiterbahn (132; 224) eine erste Zwischenverbindungsleiterbahn ist, wobei der Die (134; 226; 312) über eine erste Die-zu-Package-Verbindung (230) elektrisch mit der ersten Zwischenverbindungsleiterbahn verbunden ist, wobei der Die ferner über eine zweite Die-zu-Package-Verbindung (138; 232) elektrisch mit einer zweiten Zwischenverbindungsleiterbahn (104; 222) der dritten Aufbauschicht verbunden ist, und wobei die zweite Die-zu-Package-Verbindung eine größere Größe als die erste Die-zu-Package-Verbindung aufweist.semiconductor package claim 4 wherein the interconnection trace (132; 224) is a first interconnection trace, the die (134; 226; 312) being electrically connected to the first interconnection trace via a first die-to-package connection (230), the die further via a second die-to-package connection (138; 232) electrically connected to a second interconnection trace (104; 222) of the third build-up layer, and wherein the second die-to-package connection is larger in size than the first die-to -Package connection. Halbleiter-Package nach einem der vorhergehenden Ansprüche, wobei der erste Brücken-Die-Oberseitenkontakt über einen Brücken-Die-Bulk des Brücken-Dies (118, 212, 324) elektrisch mit der Brücken-Die-Rückseite gekoppelt ist.The semiconductor package of any preceding claim, wherein the first bridge die top contact is electrically coupled to the bridge die backside through a bridge die bulk of the bridge die (118, 212, 324). Halbleiter-Package nach einem der vorhergehenden Ansprüche, wobei der Hohlraum ein erster Hohlraum ist, und ferner umfassend eine vierte Aufbauschicht (112; 210), die über der zweiten Aufbauschicht (110; 210) und unter der dritten Aufbauschicht (130; 220) angeordnet ist, wobei die vierte Aufbauschicht einen zweiten Hohlraum (122; 216) aufweist, der über dem Leistungskontakt liegt, und wobei der erste Hohlraum und der zweite Hohlraum eine Kavität (114) definieren, in der der Brücken-Die angeordnet ist.A semiconductor package according to any one of the preceding claims, wherein the cavity is a first cavity, and further comprising a fourth build-up layer (112; 210) disposed above the second build-up layer (110; 210) and below the third build-up layer (130; 220). wherein the fourth build-up layer has a second cavity (122; 216) overlying the power contact, and wherein the first cavity and the second cavity define a cavity (114) in which the bridge die is disposed. Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend eine vierte Aufbauschicht (112; 210).A semiconductor package according to any one of the preceding claims, further comprising a fourth build-up layer (112; 210). Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend: einen Package-Kern (100; 202), wobei der Package-Kern eine obere Package-Kernoberfläche und eine untere Package-Kernoberfläche aufweist, wobei die erste Aufbauschicht (102; 204) auf der oberen Package-Kernoberfläche ausgebildet ist; eine fünfte Aufbauschicht (246), die auf der unteren Package-Kernoberfläche ausgebildet ist, und einen oder mehrere Package-zu-Board-Kontakte (250; 252), die elektrisch mit der fünften Aufbauschicht gekoppelt sind.A semiconductor package according to any one of the preceding claims, further comprising: a package core (100; 202), the package core having an upper package core surface and a lower package core surface, wherein the first build-up layer (102; 204) is formed on the upper package core surface; a fifth build-up layer (246) formed on the bottom package core surface, and one or more package-to-board contacts (250; 252) electrically coupled to the fifth build-up layer.
DE112015007283.7T 2015-12-22 2015-12-22 SEMICONDUCTOR PACKAGE WITH THROUGH BRIDGES-THE-CONNECTIONS Active DE112015007283B3 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE112015007283 2015-12-22

Publications (1)

Publication Number Publication Date
DE112015007283B3 true DE112015007283B3 (en) 2022-03-31

Family

ID=80624924

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112015007283.7T Active DE112015007283B3 (en) 2015-12-22 2015-12-22 SEMICONDUCTOR PACKAGE WITH THROUGH BRIDGES-THE-CONNECTIONS

Country Status (1)

Country Link
DE (1) DE112015007283B3 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210305133A1 (en) * 2020-03-24 2021-09-30 Intel Coporation Open cavity bridge power delivery architectures and processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014107514A1 (en) 2013-05-28 2015-03-26 Intel Corporation BRIDGE CONNECTION WITH SHOWN CONNECTION STRUCTURES
WO2015130264A1 (en) 2014-02-26 2015-09-03 Intel Corporation Embedded multi-device bridge with through-bridge conductive via signal connection

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014107514A1 (en) 2013-05-28 2015-03-26 Intel Corporation BRIDGE CONNECTION WITH SHOWN CONNECTION STRUCTURES
WO2015130264A1 (en) 2014-02-26 2015-09-03 Intel Corporation Embedded multi-device bridge with through-bridge conductive via signal connection

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210305133A1 (en) * 2020-03-24 2021-09-30 Intel Coporation Open cavity bridge power delivery architectures and processes
US12027448B2 (en) * 2020-03-24 2024-07-02 Intel Corporation Open cavity bridge power delivery architectures and processes

Similar Documents

Publication Publication Date Title
DE112015007213B4 (en) SEMICONDUCTOR PACKAGE WITH THROUGH-BRIDGE-DIE-CONNECTIONS AND METHOD FOR MANUFACTURING A SEMICONDUCTOR PACKAGE
DE102017117815B4 (en) Structure of a semiconductor package and manufacturing method
DE102018108051B4 (en) Integrated fan-out packages and methods for their manufacture
DE102016119033B4 (en) Integrated passive device package and method of forming the same
DE102019103729B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102016100274B4 (en) PROCEDURES AND PACKAGES FOR THE STRUCTURE OF A THREE-DIMENSIONAL CHIP STACKING
DE102015105952B4 (en) Semiconductor device and method
DE102015105990B4 (en) Semiconductor component and manufacturing process
DE102020104147B4 (en) SEMICONDUCTOR COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102015105855B4 (en) Semiconductor housings and methods for forming them
DE102019118492B4 (en) Embedded voltage regulator structure and method of forming same and method of operating same
DE102015017329B3 (en) Manufacturing method of a semiconductor device
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102019116734A1 (en) FAN OUT PACKAGE WITH A CONTROLLABLE STANDOFF DISTANCE
DE102018102085B3 (en) Semiconductor device and method
DE102018117689A1 (en) Support info packages to reduce deflection
DE102018111574A1 (en) ALIGNING CONTACT HILLS IN A FAN-OUT HOUSING PROCESS
DE102018106434B4 (en) Semiconductor component and method for its manufacture
DE102019114984B4 (en) PACKAGE FOR INTEGRATED CIRCUITS AND PROCESSES
DE102018127314B4 (en) Integrated circuit package and method
DE102019117199A1 (en) FAN-OUT PACKAGES AND METHOD FOR THE PRODUCTION THEREOF
DE102021102227B4 (en) Heat dissipation in semiconductor packages and methods for forming the same
DE102018125372B4 (en) ELECTROMAGNETIC SHIELDING CONSTRUCTION IN AN INFO PACKAGE AND METHOD FOR MANUFACTURING IT
DE102016114814B4 (en) Semiconductor device and manufacturing method
DE102017122831A1 (en) Housing structures and training methods

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R129 Divisional application from

Ref document number: 112015007213

Country of ref document: DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 112015007294

Country of ref document: DE

R020 Patent grant now final