DE112006003519T5 - Method and apparatus for downstream gas dissociation - Google Patents

Method and apparatus for downstream gas dissociation Download PDF

Info

Publication number
DE112006003519T5
DE112006003519T5 DE112006003519T DE112006003519T DE112006003519T5 DE 112006003519 T5 DE112006003519 T5 DE 112006003519T5 DE 112006003519 T DE112006003519 T DE 112006003519T DE 112006003519 T DE112006003519 T DE 112006003519T DE 112006003519 T5 DE112006003519 T5 DE 112006003519T5
Authority
DE
Germany
Prior art keywords
gas
chamber
plasma
downstream
dissociation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112006003519T
Other languages
German (de)
Inventor
Jack J. Newton Schuss
William M. Winchester Holber
John T. Reading Summerson
Susan C. Lexington Trulli
Weiguo North Reading Zhang
Xing Lexington Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of DE112006003519T5 publication Critical patent/DE112006003519T5/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Abstract

System zum Anregen von Gasen, aufweisend:
eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammner, worin das Plasma ein aktiviertes Gas erzeugt;
eine Zuführungsquelle zum Einführen eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert; und
ein Merkmal zum Bereitstellen eines Spalts zwischen einem Auslassflansch der Kammer und der Zuführungsquelle.
System for exciting gases, comprising:
a remote plasma source for generating a plasma region in a chamber, wherein the plasma generates an activated gas;
a supply source for introducing a downstream gas to interact with the activated gas outside the plasma region, wherein the activated gas promotes excitation of the downstream gas and wherein the downstream excited gas does not significantly interact with an interior surface of the chamber; and
a feature for providing a gap between an outlet flange of the chamber and the supply source.

Figure 00000001
Figure 00000001

Description

GEBIET DER ERFINDUNGFIELD OF THE INVENTION

Die vorliegende Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung zur Gasaktivierung. Insbesondere, bezieht sich die Erfindung auf ein Verfahren und eine Vorrichtung zum Erzeugen von dissoziierten Gasen und Vorrichtung für und Verfahren der Verarbeitung von Materialien mit dissoziierten Gasen.The The present invention relates to a method and an apparatus for gas activation. In particular, the invention relates to a Method and apparatus for generating dissociated gases and device for and method of processing dissociated materials Gases.

HINTERGRUND DER ERFINDUNGBACKGROUND OF THE INVENTION

Plasmen werden häufig benutzt, um Gase zu aktivieren, wobei diese in einen angeregten Zustand versetzt werden, so dass die Gase eine erhöhte Reaktivität haben. Die Anregung eines Gases beinhaltet, den Energiezustand des Gases zu erhöhen. In einigen Fällen werden die Gase angeregt, um die dissoziierten Gase zu produzieren, die Ionen, freie Radikale, Atome und Moleküle enthalten. Dissoziierte Gase werden in zahlreichen industriellen und wissenschaftlichen Anwendungen einschließlich Verarbeitung fester Materialen wie Halbleiterwafer, Pulvern, und anderer Gasen verwendet. Die Parameter des dissoziierten Gases und die Bedingungen der Exposition des dissoziierten Gases auf das zu bearbeitende Material schwanken weit abhängig von der Anwendung. Um im Plasma die Gasdissoziation herbeizuführen, wird manchmal große Menge von Energie benötigt.plasmas become common used to activate gases, which in an excited Condition are added so that the gases have an increased reactivity. The excitation of a gas involves the energy state of the gas to increase. In some cases the gases are excited to produce the dissociated gases, containing ions, free radicals, atoms and molecules. Dissociated gases are used in numerous industrial and scientific applications including Processing solid materials such as semiconductor wafers, powders, and other gases used. The parameters of the dissociated gas and the conditions of exposure of the dissociated gas to the Machining material varies widely depending on the application. Around In a plasma to cause gas dissociation sometimes becomes big quantity needed by energy.

Plasmaquellen erzeugen Plasmen durch z. B. Anwendung eines elektrischen Potentiales mit einer ausreichenden Stärke auf ein Plasmagas (z. B. O2, N2, Ar, NF3, H2 und He), oder eine Mischung von Gasen, um mindestens ein Teil des Gases zu ionisieren. Plasmen können auf verschiedenen Weisen, einschließlich DC Entladung, Hochfrequenz Entladung (RF) und Mikrowelle Entladung erzeugt werden. DC Entladungsplasmen werden erhalten, indem man ein Potential zwischen zwei Elektroden in einem Plasmagas anwendet. RF Entladungsplasmen werden durch entweder elektrostatische oder induktive Koppelung von Energie von einer Energiequelle in ein Plasma erhalten. Mikrowelle Entladungsplasmen werden durch direkte Koppelung von Mikrowellenenergie durch eine Mikrowelle durchlässiges Fenster in einer Entladungskammern erhalten, die ein Plasmagas enthält. Plasmen werden gewöhnlich in Kammern gehalten, die aus metallischen Materialien wie Aluminium oder dielektrischen Materialien wie Quarz bestehen.Plasma sources generate plasmas by z. B. applying an electric potential of sufficient magnitude to a plasma gas (e.g., O 2 , N 2 , Ar, NF 3 , H 2, and He), or a mixture of gases to ionize at least a portion of the gas. Plasmas can be generated in several ways, including DC discharge, high frequency discharge (RF) and microwave discharge. DC discharge plasmas are obtained by applying a potential between two electrodes in a plasma gas. RF discharge plasmas are obtained by either electrostatic or inductive coupling of energy from an energy source into a plasma. Microwave discharge plasmas are obtained by direct coupling of microwave energy through a microwave transmissive window in a discharge chamber containing a plasma gas. Plasmas are usually kept in chambers made of metallic materials such as aluminum or dielectric materials such as quartz.

Es gibt Anwendungen, in denen ein aktiviertes Gas möglicherweise nicht mit der Plasmaquelle kompatibel ist. Lässt man z. B., während der Halbleiterherstellung, atomaren Sauerstoff mit einem Fotolack reagieren, um Fotolack von einem Halbleiterwafer zu entfernen, indem man den Fotolack in volatile CO2- und H2O-Nebenprodukten umwandelt. Atomarer Sauerstoff wird gewöhnlich produziert, indem man O2 (oder ein Gas, das Sauerstoff enthält) mit einem Plasma in einer Plasmakammern einer Plasmaquelle dissoziiert. Die Plasmakammer besteht gewöhnlich aus Quarz wegen der niedrigen Oberflächenrekombinationsrate des atomaren Sauerstoffes mit Quarz. Atomarer Fluor wird oft in Verbindung mit atomarem Sauerstoff benutzt, weil das atomare Fluor den Fotolackentfernungsprozess beschleunigt. Fluor wird, z. B. durch Dissoziation von NF3 oder CF4 mit dem Plasma in der Plasmakammer gewonnen. Fluor ist jedoch in hohem Grade korrosiv und kann mit dem Quarzkammern nachteilig reagieren. Unter ähnlichen Betriebsbedingungen verringert die Verwendung von einem Fluor kompatiblen Kammermaterial (z. B. Saphir- oder Aluminiumnitrid) die Effizienz der Erzeugung atomarer Sauerstoffs und erhöht die Verarbeitungskosten, weil Fluor kompatible Materialien gewöhnlich kostintensiver als Quarz sind.There are applications where an activated gas may not be compatible with the plasma source. If you leave z. For example, during semiconductor fabrication, atomic oxygen reacts with a photoresist to remove photoresist from a semiconductor wafer by converting the photoresist into volatile CO 2 and H 2 O by-products. Atomic oxygen is usually produced by dissociating O 2 (or a gas containing oxygen) with a plasma in a plasma chamber of a plasma source. The plasma chamber is usually made of quartz because of the low surface recombination rate of atomic oxygen with quartz. Atomic fluorine is often used in conjunction with atomic oxygen because atomic fluorine accelerates the photoresist removal process. Fluorine is, for. B. obtained by dissociation of NF 3 or CF 4 with the plasma in the plasma chamber. However, fluorine is highly corrosive and can be detrimental to quartz compartments. Under similar operating conditions, the use of a fluorine compatible chamber material (eg, sapphire or aluminum nitride) reduces the efficiency of atomic oxygen production and increases processing costs because fluorine compatible materials are usually more costly than quartz.

Eine andere Anwendung, in der ein aktiviertes Gas nicht mit einem Plasmakammermaterial kompatibel ist, beinhaltet ein Plasma, das Wasserstoff enthält, das sich innerhalb einer Quarzkammer befindet. Angeregte Wasserstoffatome und -moleküle können mit dem Quarz (SiO2) reagieren und den Quarz in Silizium umwandeln. Änderungen in der Materialzusammensetzung der Kammer können, z. B. zu unerwünschter Abweichung der Verarbeitungsparameter und auch in der Partikelbildung führen. In anderen Anwendungen kann der Quarz in Si3N4 umgewandelt werden, wenn das Stickstoff in der Plasmakammer während der Verarbeitung vorhanden ist.Another application in which an activated gas is incompatible with a plasma chamber material involves a plasma containing hydrogen located within a quartz chamber. Excited hydrogen atoms and molecules can react with the quartz (SiO 2) to convert the quartz in silicon. Changes in the material composition of the chamber can, for. B. lead to undesirable deviation of the processing parameters and also in the particle formation. In other applications, the quartz can be converted to Si 3 N 4 if the nitrogen is present in the plasma chamber during processing.

Dementsprechend gibt es einen Bedarf zur effektiven Gasdissoziation mit einem Plasma auf eine Weise, die ungünstigen Effekten des dissoziierten Gases auf die Plasmakammer minimiert werden.Accordingly There is a need for effective gas dissociation with a plasma in a way, the unfavorable Minimizes effects of the dissociated gas on the plasma chamber become.

ZUSAMMENFASSUNG DER ERFINDUNGSUMMARY OF THE INVENTION

Die Erfindung bezieht sich in einem Aspekt auf ein Verfahren zum Aktivieren und Dissoziieren von Gasen. Die Verfahren beinhaltet, ein aktiviertes Gas mit einem Plasma in einer Kammer zu erzeugen. Das Verfahren beinhaltet auch, einen Downstrearn-Gaseinlass in Bezug auf einen Auslass der Plasmakammer anzuordnen, um es dem aktivierten Gas zu ermöglichen, die Dissoziation eines Downstream-Gases, beziehungsweise eines Gases im Strömabwärtsbereich, das durch den Downstrearn-Gaseinlass eingeführt wird, zu fördern, wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Plasmakammer interagiert.The This invention relates in one aspect to a method of activation and dissociating gases. The method involves an activated gas with a plasma in a chamber. The procedure includes also, a downstrearn gas inlet with respect to an outlet of the Arrange plasma chamber to allow the activated gas to the dissociation of a downstream gas, or a gas in the Strömabwärtsbereich, which is introduced through the downstrearn gas inlet, whereby the dissociated downstream gas does not significantly interfere with an inner surface of the Plasma chamber interacts.

In einigen Ausführungsformen kann das Plasma durch eine entfernte Plasmaquelle erzeugt werden. Die entfernte Plasmaquelle kann, z. B. ein RF Plasmagenerator, ein Mikrowelle Plasmagenerator oder ein DC Plasmagenerator sein. Das Plasma kann z. B. aus Sauerstoff, Stickstoff, Helium oder Argon erzeugt werden. Das Downstream-Gas kann ein Gas aufweisen, das ein Halogen oder ein Halogenid (z. B., NF3, CF4, CHF3, C2F6, C2HF5, C3F8, C4F8, XeF2, Cl2 oder ClF3) enthält. Das Downstream-Gas kann Fluor enthalten. Eine Innenoberfläche der Kammer kann z. B. Quarzmaterial, Saphirmaterial, Tonerde, Aluminiumnitrid, Yttriumoxid, Silikonkarbid, Bornitrid oder Metall wie Aluminium, Nickel oder rostfreier Stahl aufweisen. Eine Innenoberfläche der Kammer kann z. B. ein beschichtetes Metall (z. B. anodisiertes Aluminium) enthalten. In einigen Ausführungsformen können alternative Gase als das Downstream-Gas, z. B., H2, O2, N2, Ar, H2O und Ammoniak benutzt werden. In einigen Ausführungsformen beinhaltet das Downstream-Gas ein oder mehrere Gase, die metallischen Materialen oder Halbleitermaterialen enthalten, um die z. B. auf ein Substrat abzuscheiden. Die metallischen Materialen oder Halbleitermaterialien können, z. B., Si, GE, Ga, In, AS, Sb, Ta, W, MO, Ti, Hf, Zr, Cu, Sr oder Al enthalten. In einigen Ausführungsformen erhält das Downstream-Gas ein oder mehrere Gase, die metallische oder Halbleitennaterialien enthalten, oder Oxide oder Nitride, welche metallischen Materialen oder Halbleitermaterialien enthalten. In einigen Ausführungsformen weist das Downstream-Gas Kohlenwasserstoffmaterialien ein.In some embodiments, the plasma may be generated by a remote plasma source become. The remote plasma source can, for. An RF plasma generator, a microwave plasma generator or a DC plasma generator. The plasma can z. B. from oxygen, nitrogen, helium or argon can be generated. The downstream gas may comprise a gas containing a halogen or a halide (eg, NF 3 , CF 4 , CHF 3 , C 2 F 6 , C 2 HF 5 , C 3 F 8 , C 4 F 8 , XeF 2 , Cl 2 or ClF 3 ). The downstream gas may contain fluorine. An inner surface of the chamber may, for. Quartz material, sapphire material, alumina, aluminum nitride, yttria, silicon carbide, boron nitride or metal such as aluminum, nickel or stainless steel. An inner surface of the chamber may, for. A coated metal (eg, anodized aluminum). In some embodiments, alternative gases may be used as the downstream gas, e.g. B., H 2, O 2, N 2, Ar, H 2 O, and ammonia can be used. In some embodiments, the downstream gas includes one or more gases containing metallic materials or semiconductor materials to prevent the z. B. to deposit on a substrate. The metallic materials or semiconductor materials may, for. B., Si, G E , Ga, In, A S , Sb, Ta, W, M O , Ti, H f , Zr, Cu, Sr or Al included. In some embodiments, the downstream gas receives one or more gases containing metallic or semiconductor materials, or oxides or nitrides containing metallic materials or semiconductor materials. In some embodiments, the downstream gas includes hydrocarbon materials.

Das Downstream-Gas kann in die Kammer an einer Vielzahl von Positionen eingeführt werden. In einigen Ausführungsformen kann das Downstream-Gas an einer Position in Bezug auf den Auslass der Kammer eingefüht werden, welche die Interaktion zwischen dem dissoziierten Downstream-Gas und der Innenoberfläche der Kammer minimiert. Das Downstream-Gas kann an einer Position in Bezug auf den Auslass der Kammer eingeführt werden, welche den Grad, zu dem das Downstream-Gas dissoziiert wird, maximiert. Das Downstream-Gas kann an einer Position in Bezug auf den Auslass der Kammer eingeführt werden, welche der Grad, zu dem das dissoziierte Downstream-Gas mit der Innenoberfläche der Kammer interagiert mit dem Grad ausgleicht, zu dem das Downstream-Gas dissoziiert wird. Das dissoziierte Downstream-Gas kann benutzt werden, um Atzen oder Säubern von oder Abscheiden auf ein Substrat zu fördern.The Downstream gas can enter the chamber at a variety of positions introduced become. In some embodiments can the downstream gas at a position relative to the outlet the chamber was introduced be the interaction between the dissociated downstream gas and the inner surface the chamber minimized. The downstream gas may be at one position be introduced with respect to the outlet of the chamber, indicating the degree to which the downstream gas dissociates is maximized. The downstream gas can be introduced at a position relative to the outlet of the chamber, which is the degree to which the dissociated downstream gas interacts with the inner surface the chamber interacts with the degree to which the downstream gas dissociates. The dissociated downstream gas can be used to etch or Clean from or to deposit on a substrate.

Um die Oberfläche der Plasmakammer weiter zu schützen, kann eine Sperre (z. B. Schild oder Zwischenschicht) nahe dem Auslass der Plasmakammer und des Downstream-Gaseinlasses eingebracht werden. Die Sperre kann aus einem Material bestehen, das mit den reaktiven Gasen chemisch kompatibel ist. In einigen Ausführungsformen ist die Sperre entfernbar, was periodischen Austauschen erlaubt. Die Sperre kann aus einem Material gebildet werden, das gegen die reaktiven Gase im Wesentlichen beständig ist. Die Sperre kann z. B. aus einem Saphirmaterial bestehen oder dieses aufweisen, das sich am Auslass der Plasmakammer befindet. Die Sperre kann teilweise innerhalb der Plasmakammer platziert sein.Around the surface continue to protect the plasma chamber, may have a lock (eg, shield or interlayer) near the outlet the plasma chamber and the downstream gas inlet are introduced. The barrier may consist of a material that reacts with the reactive Gases is chemically compatible. In some embodiments, the lock is removable, which allows periodic swapping. The lock can be formed from a material that is resistant to the reactive gases essentially resistant is. The lock can z. B. consist of a sapphire material or have this, which is located at the outlet of the plasma chamber. The barrier may be partially placed within the plasma chamber.

In einigen Ausführungsformen kann die Sperre ein keramisches und/oder Glasmaterial (z. B., Saphir, Quarz, Tonerde, Aluminiuimnitrid, Yttriumoxid, Silikonkarbid oder Bornitrid) sein oder dieses aufweisen. Die Sperre kann auch aus einem Material gebildet sein, das eine niedrige Oberflächenrekombinations- oder Reaktionsrate mit den dissoziierten Downstream-Gasen hat, so dass die Transporteffizienz der dissoziierten Gase zum Substrat verbessert werden kann. Materialien mit niedrigen Rekombinationseigenschaften schließen, z. B., Quarz, Diamanten, Diarasant-ähnlicher-Kohlenstoff, Kohlenwasserstoff-basierte Materialien und Fluorkohlenstoff-basierte Materialien ein. Die Sperre kann aus einem Metall, wie Aluminium, Nickel oder rostfreiem Stahl gebildet sein. Der Typ des Metalls kann nach gewünschten mechanischen und thermischen Eigenschaften des Metalls gewählt sein.In some embodiments the barrier may be a ceramic and / or glass material (eg, sapphire, Quartz, alumina, aluminum nitride, yttrium oxide, silicon carbide or Boron nitride) or have this. The lock can also off be formed of a material which has a low surface recombination or reaction rate with the dissociated downstream gases, so that the transport efficiency of the dissociated gases to the substrate can be improved. Materials with low recombination properties shut down, z. Quartz, diamonds, diarasant-like-carbon, hydrocarbon-based Materials and fluorocarbon-based materials. The barrier Can be made of a metal such as aluminum, nickel or stainless steel be. The type of metal can be chosen according to desired mechanical and thermal Properties of the metal chosen be.

Die Oberfläche der Sperre (z. B., Schild oder Zwischenschicht) kann mit einer Schicht von chemischen kompatiblen Materialen oder Materialen niedriger Oberflächenrekombination/Reaktion beschichtet sein. Die Sperre kann auch aus einem Material gebildet sein, das mit dem dissoziierten Downstream-Gas reagiert. In einigen Ausführungsformen ist z. B. eine Sperre, die langsam verbraucht wird, tatsächlich wünschenswert, da sie Anhäufen von Kontaminierung oder Partikeln vermeiden kann. Die Sperre kann teilweise innerhalb der Plasmakammer platziert sein. Um nachteilige Interaktion zwischen dem dissoziierten Downstream-Gas und der Plasmakammer zu verringern, kann zusätzliches Reinigungsgas zwischen dem Auslass der Plasmakammer und dem Downstream-Gas Zufuhreinlass eingeführt werden.The surface The barrier (eg, shield or interlayer) may be covered with a layer lower of chemically compatible materials or materials Surface recombination / reaction be coated. The barrier can also be made of a material be that reacts with the dissociated downstream gas. In some embodiments is z. For example, a lock that is slowly consumed is actually desirable, since they are accumulating Contamination or particles can be avoided. The lock can be partial be placed inside the plasma chamber. To adverse interaction between the dissociated downstream gas and the plasma chamber too can reduce, additional cleaning gas be introduced between the outlet of the plasma chamber and the downstream gas supply inlet.

Das Verfahren kann auch aufweisen, eine Eigenschaft (z. B. eines oder mehrere von Druck, Flussrate und Abstand vom Auslass der Kammer), der Zufuhr des Downstream-Gases zu spezifizieren, um Dissoziation des Downstream-Gases zu optimieren. Das Verfahren kann auch aufweisen, eine Eigenschaft (z. B. eine oder mehrere von Druck, Flussrate, Typ des Gases, Gaszusammensetzung und die dem Plasma zugeführte Energie) des Plasmagases zu spezifizieren, um Dissoziation des Downstream-Gases zu optimieren.The Method may also include a property (eg, one or more) several of pressure, flow rate and distance from the outlet of the chamber), the Supply of downstream gas to specify dissociation of the Optimize downstream gas. The method may also include a property (eg one or more of pressure, flow rate, type of the gas, gas composition and the energy supplied to the plasma) of the plasma gas to dissociation of the downstream gas to optimize.

In einem anderen Aspekt bezieht sich die Erfindung auf ein Verfahren zum Aktivieren und Dissoziieren von Gasen, das beinhaltet, ein aktiviertes Gas in ein Plasma in einer Kammer zu erzeugen. Das Verfahren beinhaltet auch, ein Downstream-Gas in das aktivierte Gas außerhalb der Kammer an einer Position ausreichend nah an einem Auslass der Kammer einzuführen, so dass das aktivierte Gas ein ausreichendes Energieniveau hat, um Anregung (z. B. Dissoziation) des Downstream-Gases zu fördern. Die Position weist auf einen ausreichenden Abstand zum Auslass der Kammer auf, so dass das angeregte Downstream-Gas nicht im Wesentlichen mit einer Innenoberfläche der Kammer interagiert.In another aspect, the invention relates to a method for activating and dissociating gases, which includes an activated gas to generate a plasma in a chamber. The method also includes introducing a downstream gas into the activated gas outside the chamber at a position sufficiently close to an outlet of the chamber such that the activated gas has a sufficient energy level to cause excitation (eg, dissociation) of the downstream gas. To promote gas. The position is at a sufficient distance from the outlet of the chamber so that the excited downstream gas does not substantially interact with an interior surface of the chamber.

In einem anderen Aspekt bezieht sich die Erfindung auf ein Verfahren zum Ätzen von Fotolack. Das Verfahren beinhaltet, ein aktiviertes Gas mit einern Plasma zu erzeugen, das sich in einer Kammer befindet. Das Verfahren beinhaltet auch, ein Downstream-Gas mit mindestens einem Teil des aktivierten Gases so zu kombinieren, dass das aktivierte Gas ein ausreichendes Energieniveau enthält, um Anregung (z. B. Dissoziation) des Downstream-Gases herbeizuführen und dass das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert. Das Verfahren beinhaltet auch, ein Substrat mit dem dissoziierten Downstream-Gas zu ätzen. Das Verfahren kann auch beinhalten, eine Oberfläche mit dem dissoziierten Downstream-Gas zu säubern. Das Verfahren kann auch verwendet werden, um Materialien auf einem Substrat abzuscheiden. Das Verfahren kann auch verwendet werden, um Pulver zu produzieren.In In another aspect, the invention relates to a method for etching of photoresist. The method involves using an activated gas to produce a plasma that is in a chamber. The Method also includes a downstream gas with at least one Combine part of the activated gas so that the activated Gas contains a sufficient energy level to stimulate (eg dissociation) of the downstream gas and that the stimulated downstream gas is not significant with a inner surface the chamber interacts. The method also includes a substrate to etch with the dissociated downstream gas. The procedure can also involve a surface to clean with the dissociated downstream gas. The procedure can also used to deposit materials on a substrate. The process can also be used to produce powder.

In einem anderen Aspekt bezieht sich die Erfindung auf ein Verfahen zum Aktivieren und Dissoziieren von Gasen. Das Verfahren beinhaltet, ein aktiviertes Gas mit einem Plasma in einer Kammer zu erzeugen. Das Verfahren beinhaltet auch, ein Downstream-Gas außerhalb des Plasmabereichs einzuführen, um mit dem aktivierten Gas zu interagieren und es dem aktivierten Gas zu ermöglichen, Anregung (z. B. Dissoziation) des Downstream-Gases zu fördern, wobei das angeregte Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert.In In another aspect, the invention relates to a method for activating and dissociating gases. The procedure involves to generate an activated gas with a plasma in a chamber. The process also includes a downstream gas outside of the plasma area, to interact with the activated gas and activate it To allow gas Stimulate excitation (eg dissociation) of the downstream gas, wherein the excited gas does not significantly interfere with an inner surface of the Chamber interacts.

In einer Ausführungsform weist die Erfindung ein System zum Aktivieren und Dissoziieren von Gasen auf. Das System weist eine Plasmaquelle zum Erzeu gen eines Plasmas in einer Kammer auf, worin das Plasma ein aktiviertes Gas erzeugt. Das System besitzt auch Mittel zum Kombinieren mindestens eines Teils des aktivierten Gases mit einem Downstream-Gas, um es dem aktivierte Gas zu ermöglichen, Anregung (z. B. Dissoziation) des Downstream-Gases fördern, wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert. In einigen Ausführungsformen ermöglichen die Interaktionen zwischen dem aktivierten Gas und dem Downstream-Gas eine Ionisierung des Downstream-Gases. Die Übertragung von Energie von z. B. dem aktivierten Gas auf das Downstream-Gas erhöht die chemische Reaktivität des Downstream-Gases.In an embodiment the invention features a system for activating and dissociating Gases on. The system has a plasma source for generating a Plasmas in a chamber, wherein the plasma is an activated gas generated. The system also has means for combining at least a portion of the activated gas with a downstream gas to it to enable the activated gas Promote excitation (eg dissociation) of the downstream gas, wherein the excited downstream gas does not significantly interfere with an interior surface of the Chamber interacts. In some embodiments enable the interactions between the activated gas and the downstream gas an ionization of the downstream gas. The transmission of energy from z. B. the activated gas on the downstream gas increases the chemical Reactivity of the downstream gas.

Die Erfindung bezieht sich in einem anderen Aspekt auf eine Vorrichtung und ein Verfahren zum Dissoziieren halogenhaltiger Gase (z. B. NF3, CHF3 und CF4) mit einem von Plasma aktivierten Gas an einer Position stromabwärts einer Plasmakammer ohne erhebliche Interaktion (z. B. Erosion) der Halogene mit den Wänden der Plasmakammer.The invention relates in another aspect to an apparatus and a method for dissociating halogen-containing gases (eg. B. NF 3, CHF 3 and CF 4) (with an activated plasma gas at a position downstream of a plasma chamber without significant interaction z. B. erosion) of the halogens with the walls of the plasma chamber.

Die Erfindung, in einer anderen Ausführungsform, weist ein System zum Aktivieren und Dissoziieren von Gasen auf. Das System weist eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer ein, worin das Plasma ein aktiviertes Gas erzeugt. Das System besitzt auch eine Zuführungsquelle zur Einführung eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung (z. B. Dissoziation) des Downstream-Gases fördert und wobei das angeregte Downstream-Gas dissoziiertes Downstream-Gas ist und nicht erheblich mit einer Innenoberfläche der Kammer interagiert.The Invention, in another embodiment, has a system for activating and dissociating gases. The system has a remote plasma source for generating a Plasma region in a chamber, wherein the plasma is an activated Gas generated. The system also has a supply source for introducing a downstream gas, around with the activated gas outside to interact with the plasma region, the activated gas excitation (eg dissociation) of the downstream gas promotes and wherein the excited downstream gas dissociated downstream gas is and does not significantly interfere with an interior surface of the chamber interacts.

Das System kann eine Sperre beinhalten, die an einem Auslass der Kammer gelegen ist, um Erosion der Kammer zu verringern. Die Sperre kann z. B. teilweise innerhalb der Kammer angeordnet sein. Die Sperre kann z. B. teilweise innerhalb eines Auslassdurchganges einer Kammer angeordnet sein. Das System kann eine Sperre aufweisen, die innerhalb eines Auslassdurchganges der Kammer angeordnet ist. Das System kann einen Mischer aufweisen, um Downstream-Gas und aktiviertes Gas zu mischen. Der Mischer kann ein statische Flussmischer, ein Schraubenmischer, Messermischer, oder ein Zylinderförmig Schichtmischer aufweisen. Der Reinigungsgaseinlass kann zwischen einem Auslass der Kammer und einem Einlass der Zuführungsquelle angeordnet sein.The System may include a lock located at an outlet of the chamber located to reduce erosion of the chamber. The lock can z. B. may be partially disposed within the chamber. The barrier can z. Partially within an outlet passage of a chamber be arranged. The system may have a lock inside an outlet passage of the chamber is arranged. The system can have a mixer to downstream gas and activated gas too Mix. The mixer can be a static flow mixer, a screw mixer, Blade mixer, or have a cylindrical layer mixer. The cleaning gas inlet may be between an outlet of the chamber and an inlet of the supply source be.

Die Kammer kann ein Quarzmaterial aufweisen. In einigen Ausführungsformen ist die Kammer aus einem Stück Quarzglas gebildet. In einigen Ausführungsformen ist die Kammer toroidförmig. In einigen Ausführungsformen ist die Plasmaquelle eine Toroid-Plasmaquelle.The Chamber may have a quartz material. In some embodiments the chamber is one piece Quartz glass formed. In some embodiments, the chamber is toroidal. In some embodiments the plasma source is a toroid plasma source.

Die Erfindung bezieht sich in einem anderen Aspekt auf ein Verfahren zum Abscheiden eines Materials auf ein Substrat. Das Verfahren beinhaltet, ein aktiviertes Gas mit einem Plasma in einer Kammer zu erzeugen. Das Verfahren beinhaltet auch, einen Downstream-Gaseinlass in Bezug auf einen Auslass der Plasmakammer anzuordnen, um es dem aktivierten Gas zu ermöglichen, Dissoziation eines durch den Downstream-Gaseinlass eingeführten Downstream-Gases zu fördern, wobei das Downstream-Gas ein abzuscheidende Material enthält, und wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Plasmakammer interagiert.The invention in another aspect relates to a method of depositing a material onto a substrate. The method involves generating an activated gas with a plasma in a chamber. The method also includes arranging a downstream gas inlet with respect to an outlet of the plasma chamber to allow the activated gas to promote dissociation of a downstream gas introduced through the downstream gas inlet, wherein the Downstream gas contains a material to be deposited, and wherein the dissociated downstream gas does not interact significantly with an inner surface of the plasma chamber.

In einigen Ausführungsformen wird das Plasma durch eine entfernte Plasmaquelle erzeugt. Die entfernte Plasmaquelle kann z. B. ein RF Plasmagenerator, ein Mikrowellenplasmagenerator oder ein DC Plasmagenerator sein. Das Downstream-Gas kann an einer Vielzahl von Positionen in die Kammer eingeführt werden. In einigen Ausführungsformen kann das Downstream-Gas an einer Position in Bezug auf den Auslass der Kammer eingeführt werden, welch die Interaktion zwischen dem dissoziierten Downstream-Gas und der Innenoberfläche der Kammer minimiert. Das Downstream-Gas kann an einer Position in Bezug auf den Auslass der Kammer eingeführt werden, welch den Dissoziationsgrad des Downstream-Gases maximiert. Das Downstream-Gas kann an einer Position in Bezug auf den Auslass der Kammer eingeführt werden, welche den Grad, mit dem das dissoziierte Downstream-Gas mit der Innenoberfläche der Kammer mit dem Grad interagiert, zu dem das Downstream-Gas dissoziiert wird, ausgleicht. Das abzuscheidende Material kann eines oder mehrere von Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr oder Al aufweisen.In some embodiments the plasma is generated by a remote plasma source. The remote Plasma source can, for. An RF plasma generator, a microwave plasma generator or a DC plasma generator. The downstream gas can be connected to one Variety of positions are introduced into the chamber. In some embodiments the downstream gas at a position relative to the outlet of the Chamber introduced what the interaction between the dissociated downstream gas and the inner surface the chamber minimized. The downstream gas may be at one position introduced with respect to the outlet of the chamber, what the degree of dissociation of the downstream gas maximizes. The downstream gas can be connected to one Position with respect to the outlet of the chamber, which determines the degree to which the dissociated downstream gas interacts with the gas inner surface the chamber interacts with the degree to which the downstream gas dissociates is compensated. The material to be deposited may include one or more of Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr or Al.

Die Erfindung, in einem anderen Aspekt, weist ein System zum Abscheiden eines Materials auf ein Substrat auf. Das System weist eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer ein, worin das Plasma ein aktiviertes Gas erzeugt. Das System weist auch eine Zuführungsquelle zum Einführen eines Downstream-Gases ein, das ein abzuscheidende Material enthält, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung (z. B. Dissoziation) des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert.The Invention, in another aspect, has a system for deposition of a material on a substrate. The system has a remote plasma source for generating a plasma region in a chamber, wherein the Plasma generates an activated gas. The system also has a supply source for insertion a downstream gas containing a material to be deposited to the activated gas outside to interact with the plasma region, the activated gas excitation (eg, dissociation) of the downstream gas promotes and wherein the excited Downstream gas does not significantly interfere with an interior surface Chamber interacts.

Das abzuscheidende Material kann eines oder mehrere von Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr oder Al sein. Das System kann einen Mischer aufweisen, um Downstream-Gas und aktiviertes Gas zu mischen. Der Mischer kann ein statische Flussmischer, ein Schraubenmischer, Messermischer, oder ein Zylinderförmig Schichtmischer aufweisen. Das System kann einen Reinigungsgaseinlass aufweisen. Der Reinigungsgaseinlass kann zwischen einem Auslass der Kammer und einem Einlass der Zuführngsquelle angeordnet sein.The material to be deposited may include one or more of Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr or Al. The system may have a mixer to downstream gas and activated To mix gas. The mixer can be a static flow mixer, a Screw mixer, knife mixer, or a cylindrical layer mixer exhibit. The system may include a purge gas inlet. The cleaning gas inlet may be between an outlet of the chamber and an inlet of the supply source be arranged.

Die Erfindung in einem anderen Aspekt weist ein System zum Anregen von Gasen auf. Das System weist eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer ein, worin das Plasma ein aktiviertes Gas erzeugt. Das System besitzt weiter eine Zuführungsquelle zum Einführen eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert. Das System weist auch ein Merkmal auf zum Bereitstellen eines Spalts zwischen einem Auslassflansch der Kammer und der Zuführungsquelle.The Invention in another aspect comprises a system for exciting Gases on. The system has a remote plasma source for generating a Plasma region in a chamber, wherein the plasma is an activated Gas generated. The system further has a supply source for introducing a Downstream gas to use with the activated gas outside the plasma area interact with the activated gas excitation of the downstream gas promotes and wherein the stimulated downstream gas is not significant with a inner surface the chamber interacts. The system also has a feature for Providing a gap between an outlet flange of the chamber and the supply source.

In einigen Ausführungsformen ist der Spalt ein langer, schmaler Spalt, der den Transport der angeregten Gase zu einer Dichtung verringert, die zwischen dem Auslassflansch der Kammer und einem Abschnitts des Systems angeordnet ist. In einigen Ausführungsformen hat der Spalt eine Länge von mindestens 2,5 Millimeter (ein Zehntel von einem Zoll). In einigen Ausführungsformen hat der Spalt eine Länge von zwischen ungefähr 5,08 Millimeter und 50,8 Millimeter (zwei Zehntel von einem Zoll und zwei Zollen). In einigen Ausführungsformen hat der Spalt eine Breite von zwischen ungefähr 0,0025 Millimeter und 1,524 Millimeter (ein Zehntel von einem Mal und sechzig Mil). In einigen Ausführungsformen hat der Spalt eine Breite von zwischen ungefähr 0,025 Millimeter und 0,508 Millimeter (ein Mil und Zwanzig Mil). In einigen Ausführungsformen hat der Spalt ein Verhältnis von Länge zu Breite von ungefähr 1,66. In einigen Ausführungsformen hat der Spalt ein Verhältnis von Länge zu Breite von ungefähr 3,33. In bestimmten Ausführungsformen, liegt die Länge des Spalts zwischen ungefähr 2,54 Millimeter und ungefähr 50,8 Millimeter, und die Breite des Spalts liegt zwischen ungefähr 0,0025 Millimeter und ungefähr 1,524 Millimeter.In some embodiments the gap is a long, narrow gap that stops the transport Excited gases reduced to a seal between the outlet flange the chamber and a portion of the system is arranged. In some embodiments the gap has a length of at least 2.5 millimeters (one tenth of an inch). In some embodiments the gap has a length from between about 5.08 millimeters and 50.8 millimeters (two tenths of an inch and two inches). In some embodiments, the gap has a width of between about 0.0025 millimeters and 1.524 millimeters (one tenth of a time and sixty mils). In some embodiments, the gap has a width of between about 0.025 Mm and 0.508 mm (one mil and twenty mils). In some embodiments the gap has a relationship of length to width of about 1.66. In some embodiments the gap has a relationship of length to width of about 3.33. In certain embodiments, lies the length the gap between about 2.54 millimeters and about 50.8 millimeters, and the width of the gap is between about 0.0025 Millimeters and about 1,524 millimeters.

In einigen Ausführungsformen ist das Merkmal ringförmige. Das Merkmal kann ein Flansch sein. Das Merkmal kann eine Federdichtung sein. Das Merkmal kann innerhalb seines elastischen Verformungsbereichs komprimiert und ausgedehnt werden. Das Merkmal kann Aluminium, Saphir oder ein Nitrid aufweisen. In einigen Ausführungsformen trennt das Merkmal den Auslassflansch der Kammer von einem Körper der Zuführungsquelle. Das Merkmal kann Reiben zwischen dem Auslassflansch und dem Körper der Zuführungsquelle beschränken. In einigen Ausführungsformen weist das System ein Dichtungsmechanismus zwischen dem Auslassflansch und der Zuführungsquelle auf. Der Dichtungsmechanismus kann einen O-Ring aufweisen. Der Dichtungsmechanismus kann eine Federdichtung aufweisen. In einigen Ausführungsformen weist das System einen Reinigungsgaseinlass auf. Reinigungsgas kann durch den Reinigungsgaseinlass geleitet werden, durch den Reinigungsgaseinlass, um den O-Ring weiter zu schützen.In some embodiments the feature is annular. The feature may be a flange. The feature can be a feather seal be. The feature can be within its elastic deformation range compressed and expanded. The feature can be aluminum, sapphire or a nitride. In some embodiments, the feature separates the outlet flange of the chamber from a body of the supply source. The feature can rub between the outlet flange and the body of the supply source restrict. In some embodiments the system has a sealing mechanism between the outlet flange and the supply source on. The sealing mechanism may include an O-ring. The sealing mechanism may have a feather seal. In some embodiments the system has a purge gas inlet. Cleaning gas can through the cleaning gas inlet, through the cleaning gas inlet, to further protect the O-ring.

Die Erfindung bezieht sich in einem anderen Aspekt auf ein Verfahren zum Anregen von Gasen. Das Verfahren beinhaltet, ein aktiviertes Gas mit einem Plasma in einer Kammer zu erzeugen. Das Verfahren umfasst ferner Anordnen eines Downstream-Gaseinlasses in Bezug auf einen Auslass der Kammer ein, um es dem aktivierten Gas zu ermöglichen, Dissoziation eines durch den Gaseinlass eingeführten Downstream-Gases zu fördern, wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert. Das Verfahren weist umfasst ferner ein Merkmal zum Bereitstellen eines Spalts zwischen einem Auslassflansch der Kammer und einem Körper, der den Downstream-Gaseinlass aufweist.The The invention in another aspect relates to a method for exciting gases. The procedure involves an activated To generate gas with a plasma in a chamber. The procedure further comprising disposing a downstream gas inlet with respect to an outlet of the chamber to allow the activated gas to Dissociation of a introduced through the gas inlet downstream gas to promote, wherein the dissociated downstream gas not significantly interacting with an interior surface of the chamber. The method further comprises a feature for providing a gap between an outlet flange of the chamber and a Body, the downstream gas inlet having.

In einigen Ausführungsformen ist der Spalt ein langer, schmaler Spalt, der den Transport angeregter Gase zu einer Dichtung verringert, die zwischen dem Auslassflansch der Kammer und einem Abschnitt des Systems angeordnet ist. In einigen Ausführungsformen hat der Spalt eine Länge von mindestens 2,5 Millimeter (ein Zehntel von einem Zoll). In einigen Ausführungsformen hat der Spalt eine Länge von zwischen ungefähr 5,08 Millimeter und 50,8 Millimeter (zweizehntel von einem Zoll und zwei Zoll). In einigen Ausführungsformen hat der Spalt eine Breite von zwischen ungefähr 0,0025 Millimeter und 1,524 Millimeter (Zehntel von einem Mil und sechzig Mil). In einigen Ausführungsformen hat der Spalt eine Breite von zwischen ungefähr 0,025 Millimeter und 0,508 Millimeter (ein Mil und Zwanzig Mil). In einigen Ausführungsformen hat der Spalt ein Verhältnis von Länge zu Breite von ungefähr 1.66. In einigen Ausführungsformen hat der Spalt ein Verhältnis von Länge zu Breite von ungefähr 3.33. In bestimmten Ausführungsformen liegt die Länge des Spalts zwischen ungefähr 2.54 Millimeter und ungefähr 50,8 Millimeter und die Breite des Spalts liegt zwischen ungefähr 0,0025 Millimeter und ungefähr 1,524 Millimeter.In some embodiments the gap is a long, narrow gap, which makes the transport more excited Gases are reduced to a seal between the outlet flange the chamber and a portion of the system is arranged. In some embodiments the gap has a length of at least 2.5 millimeters (one tenth of an inch). In some embodiments the gap has a length from between about 5.08 millimeters and 50.8 millimeters (two-tenths of an inch and two inches). In some embodiments the gap has a width of between about 0.0025 millimeters and 1.524 Millimeters (tenths of a mil and sixty mils). In some embodiments the gap has a width of between about 0.025 millimeters and 0.508 Millimeters (one mil and twenty mils). In some embodiments the gap has a relationship of length to width of about 1.66. In some embodiments the gap has a relationship of length to width of about 3:33. In certain embodiments lies the length the gap between about 2.54 mm and about 50.8 millimeters and the width of the gap is between about 0.0025 Millimeters and about 1,524 Millimeter.

In einigen Ausführungsformen ist das Merkmal ringförmig. Das Merkmal kann ein Flansch sein. Das Merkmal kann eine Federdichtung sein. Das Merkmal kann innerhalb seines elastischen Verformungsbereichs komprimiert und ausgedehnt werden. Das Merkmal kann Aluminium, Saphir oder ein Nitrid beinhalten.In some embodiments the feature is annular. The feature may be a flange. The feature can be a feather seal be. The feature can be within its elastic deformation range compressed and expanded. The feature can be aluminum, sapphire or a nitride.

In einigen Ausführungsformen trennt das Merkmal den Auslassflansch der Kammer von einem Körper der Zuführungsquelle. Das Merkmal kann Reiben zwischen dem Auslassflansch und dem Körper der Zuführungsquelle beschränken. In einigen Ausführungsformen beinhaltet das Verfahren eine Vakuumdichtung zwischen dem Auslassflansch und der Zuführungsquelle zu erzeugen. Die Vakuumdichtung kann unter der Verwendung eines Dichtungsmechanismus erzeugt werden. In einigen Ausführungsformen wird die Vakuumdichtung unter Verwendung von einem O-Ring oder einer Federdichtung erzeugt.In some embodiments the feature separates the outlet flange of the chamber from a body of the Supply source. The feature can rub between the outlet flange and the body of the supply source restrict. In some embodiments The method includes a vacuum seal between the outlet flange and the supply source to create. The vacuum seal can be done using a Sealing mechanism can be generated. In some embodiments is the vacuum seal using an O-ring or a Spring seal generated.

In einem anderen Aspekt stellt die Erfindung ein System zum Anregend von Gasen bereit. Das System beinhaltet eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer, worin das Plasma ein aktiviertes Gas erzeugt. Das System beinhaltet ferner, eine Zuführungsquelle zum Einführen eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert.In In another aspect, the invention provides a system for exciting ready for gases. The system includes a remote plasma source for generating a plasma region in a chamber, wherein the plasma generates an activated gas. The system further includes, a supply source for insertion of a downstream gas, with the activated gas outside to interact with the plasma region, the activated gas excitation promotes the downstream gas and wherein the stimulated downstream gas is not significant with a inner surface the chamber interacts.

In einigen Ausführungsformen beinhaltet Anregen des Downstream-Gases das Downstream-Gas zu Dissoziieren. In einigen Ausführungsformen verfügt das System auch über eine Sperre, die an einem Auslass der Kammer gelegt ist, um Erosion der oder Abscheidung auf die Kammer zu vermindern. In einigen Ausführungsformen ist die Sperr mindestens teilweise in der Kammer angeordnet. In einigen Ausführungsformen ist die Sperre mindestens teilweise innerhalb des Auslassdurchganges der Kammer angeordnet. In einigen Ausführungsformen weist das System auch eine Sperre auf, die innerhalb eines Auslassdurchganges der Kammer angeordnet ist.In some embodiments involves exciting the downstream gas to dissociate the downstream gas. In some embodiments has the system also over a barrier, which is placed at an outlet of the chamber to erosion or to reduce deposition on the chamber. In some embodiments the lock is at least partially disposed in the chamber. In some embodiments the lock is at least partially within the outlet passage arranged the chamber. In some embodiments, the system also a barrier which within an outlet passage of the Chamber is arranged.

In einigen Ausführungsformen weist die Kammer ein Quarz auf. In einigen Ausführungsformen ist die Kammer toroide Kammer. In einigen Ausführungsformen ist die Plasmaquelle eine Toroid-Plasmaquelle. In einigen Ausführungsformen weist das System auch einen Mischer auf, um Downstream-Gas und aktiviertes Gas zu mischen. In einigen Ausführungsformen umfasst der Mischer ein statische Flussmischer, ein Schraubenmischer, Messermischer, oder ein Zylinderförmig Schichtmischer. In einigen Ausführungsformen weist das System einen Reinigungsgaseinlass auf. In einigen Ausführungsformen ist der Reinigungsgaseinlass zwischen einem Auslass der Kammer und einem Einlass der Zuführungsquelle angeordnet.In some embodiments the chamber has a quartz. In some embodiments, the chamber is toroidal chamber. In some embodiments the plasma source is a toroid plasma source. In some embodiments the system also has a mixer on to downstream gas and activated To mix gas. In some embodiments the mixer comprises a static flow mixer, a screw mixer, Knife mixer, or a cylindrical layer mixer. In some embodiments the system has a purge gas inlet. In some embodiments is the cleaning gas inlet between an outlet of the chamber and an inlet of the supply source arranged.

Die vorstehende und anderen Aufgaben, die Aspekte, Merkmale und die Vorteile der Erfindung werden aus der folgenden Beschreibung und aus den Ansprüchen offensichtlicher.The The above and other tasks, the aspects, characteristics and the Advantages of the invention will become apparent from the following description and from the claims obvious.

KURZE BESCHREIBUNG DER ZEICHUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Die vorstehenden und anderen Aufgaben, Merkmale und Vorteile der Erfindung, sowie der Erfindung selbst, werden besser aus der folgenden illustrativen Beschreibung verstanden, wenn sie zusammen mit den beigefügten Zeichnungen gelesen wird, die nicht notwendigerweise maßstabsgetreu sind.The above and other objects, features and advantages of the invention, as well as the invention itself, will be better understood from the following illustrative Description understood when combined with the attached drawings is read, which are not necessarily to scale.

1 ist eine schematische Teilansicht einer Plasmaquelle zum Produzieren dissoziierte Gase, welch die Erfindung verwirklicht. 1 Figure 11 is a schematic partial view of a plasma source for producing dissociated gases, which embodies the invention.

2A ist eine Querschnittansicht einer Gaszuführungsquelle gemäß einer illustrativen Ausführungsform der vorliegenden Erfindung. 2A FIG. 12 is a cross-sectional view of a gas supply source according to an illustrative embodiment of the present invention. FIG.

2B ist eine Endansicht der Gaszuführungsquelle der 2A. 2 B is an end view of the gas supply source of 2A ,

3A ist eine Querschnittansicht einer Gaszuführungsquelle gemäß einer beispielhaften Ausführungsform der Erfindung. 3A FIG. 12 is a cross-sectional view of a gas supply source according to an exemplary embodiment of the invention. FIG.

3B ist eine Endansicht der Gaszuführungsquelle der 3A. 3B is an end view of the gas supply source of 3A ,

4 ist eine graphische Darstellung des NF3 Dissoziationsprozentsatzes als Funktion des Abstandes vom Auslass einer Quarzplasmakammer, zu dem NF3 in die Plasmaquelle eingeführt wird, unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 4 Figure 3 is a graph of NF 3 dissociation percentage as a function of the distance from the outlet of a quartz plasma chamber to which NF 3 is introduced into the plasma source using a gas dissociation system according to the invention.

5 ist eine graphische Darstellung des CF4 Dissoziationsprozentsatzes als Funktion des Abstandes vom Auslass einer Quarzplasmakammer, zu dem CF4 in die Plasmaquelle eingeführt wird, unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung eingeführt wird. 5 Figure 4 is a graph of the CF 4 dissociation percentage as a function of the distance from the outlet of a quartz plasma chamber to which CF 4 is introduced into the plasma source, using a gas dissociation system according to the invention.

6 ist eine graphische Darstellung des NF3 Dissoziationsprozentsatzes als Funktion der Plasmagasflussrate mit einem Gasdissoziationssystem gemäß der Erfindung. 6 Figure 3 is a plot of NF 3 dissociation percentage as a function of plasma gas flow rate with a gas dissociation system according to the invention.

7 ist eine graphische Darstellung des NF3 Dissoziationsprozentsatzes als Funktion des Plasmagasdrucks unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 7 Figure 3 is a plot of NF 3 dissociation percentage as a function of plasma gas pressure using a gas dissociation system according to the invention.

8 ist eine graphische Darstellung des NF3 Dissoziationsprozentsatzes als Funktion der Downstream-NF3-Flussrate unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 8th Figure 3 is a graph of NF 3 dissociation percentage as a function of downstream NF 3 flow rate using a gas dissociation system according to the invention.

9 ist eine graphische Darstellung des CF4 Dissoziationsprozentsatzes als Funktion der Plasmagasflussrate unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 9 Figure 4 is a graph of CF 4 dissociation percentage as a function of plasma gas flow rate using a gas dissociation system according to the invention.

10 ist eine graphische Darstellung des CF4 Dissoziationsprozentsatzes als Funktion des Plasmagasdrucks unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 10 Figure 4 is a graphical representation of the CF 4 dissociation percentage as a function of plasma gas pressure using a gas dissociation system according to the invention.

11A ist eine graphische Darstellung des CHF4 Dissoziationsprozentsatzes als Funktion der Plasmagasflussrate unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 11A Figure 4 is a graph of the CHF 4 percent dissociation as a function of plasma gas flow rate using a gas dissociation system according to the invention.

11B ist eine graphische Darstellung des CHF4 Dissoziationsprozentsatzes als Funktion der Downstream-CHF3-Plasmagasflussrate unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 11B Figure 3 is a graph of the CHF 4 percent dissociation as a function of downstream CHF 3 plasma gas flow rate using a gas dissociation system according to the invention.

12 ist eine schematische Teilansicht einer Plasmaquelle zum Produzieren dessoziierter Gase, welche die Erfindung verwirklicht. 12 FIG. 13 is a partial schematic view of a plasma source for producing dessociated gases embodying the invention. FIG.

13 ist eine graphische Darstellung des NF3 Dissoziationsprozentsatzes als Funktion des Abstandes vom Auslass einer Quarzplasmakammer, zu dem NF3 in die Plasmaquelle eingeführt wird, unter Verwendung von einem Gasdissoziationssystem gemäß der Erfindung. 13 is a graphical representation of percent dissociation of NF3 as a function of distance from the output of a quartz plasma chamber is introduced to the NF 3 into the plasma source, using a gas dissociation system according to the invention.

14 ist eine Querschnittansicht eines Teils einer Gaszuführungsquelle gemäß einer beispielhaften Ausführungsform der Erfindung. 14 FIG. 12 is a cross-sectional view of a portion of a gas supply source according to an exemplary embodiment of the invention. FIG.

15A ist eine Querschnittansicht eines Teils einer Plasmaquelle, welche die Erfindung verwirklicht. 15A Figure 12 is a cross-sectional view of a portion of a plasma source embodying the invention.

15B ist eine vergrößerte Ansicht eines Teils der 15A. 15B is an enlarged view of part of the 15A ,

16 ist eine isometrische Querschnittansicht eines Teils einer Gasdissoziationsquelle, welche Prinzipien der Erfindung inkorporiert. 16 Figure 4 is an isometric cross-sectional view of a portion of a gas dissociation source incorporating principles of the invention.

17 ist eine schematische Abbildung eines Teils einer Gasdissoziationsquelle, welche Prinzipien der Erfindung inkorporiert. 17 Figure 3 is a schematic illustration of a portion of a gas dissociation source incorporating principles of the invention.

18A ist eine Querschnittansicht eines Teils einer Plasmaquelle, welche die Erfindung verwirklicht. 18A Figure 12 is a cross-sectional view of a portion of a plasma source embodying the invention.

18B ist eine vergrößerte Ansicht eines Teils der 18A. 18B is an enlarged view of part of the 18A ,

18C ist eine vergrößerte Ansicht eines Teils der 18A. 18C is an enlarged view of part of the 18A ,

19 ist eine schematische Abbildung eines Teils einer Zuführungsquelle gemäß einer beispielhaften Ausführungsform der Erfindung. 19 Figure 3 is a schematic diagram of a portion of a supply source according to an exemplary embodiment of the invention.

20A ist eine schematische Abbildung einer toroidförmige Plasmakammer, gemäß einer beispielhaften Ausführungsform der Erfindung. 20A is a schematic illustration of a toroidal plasma chamber, according to an exemplary embodiment of the invention.

20B ist eine vergrößerte Ansicht eines Teils der toroidförmige Plasmakammer von 20A. 20B FIG. 11 is an enlarged view of a portion of the toroidal plasma chamber of FIG 20A ,

AUSFÜHRLICHE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMENDETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS EMBODIMENTS

1 ist eine schematische Teildarstellung von einem Gasdissoziationssystem 100 zum Produzieren dissoziierter Gase, welches die Erfindung verwirklicht. Plasmen werden häufig benutzt, um Gase zu aktivieren, wobei diese in einen angeregten Zustand versetzt werden, so dass die Gase eine erhöhte Reaktivität haben. Anregen eines Gases beinhaltet, den Energiezustand des Gases zu erhöhen. In einigen Fällen werden die Gase angeregt, um dissoziierter Gase zu produzieren, die Ionen, freie Radikale, Atome und Moleküle enthalten. Das System 100 weist eine Plasmagasquelle 112 auf die über eine Gasleitung 116 an eine Plasmakammer 108 angeschlossen ist. Ein Ventil 120 steuert den Fluss von Plasmagas (z. B. O2, N2, Ar, NF3, H2 und He) von der Plasmagasquelle 112 durch die Gasleitung 116 in die Plasmakammer 108. Das Ventil 120 kann z. B. ein Magnetventil, ein proportionales Magnetventil oder ein Mengenflusssteuergerät sein. Ein Plasmagenerator 184 erzeugt einen Bereich von Plasma 132 innerhalb der Plasmakammer 108. Das Plasma 132 enthält ein durch Plasma aktiviertes Gas 134, das teilweise aus der Kammer 108 heraus fließt. Das durch Plasma aktivierte Gas 134 wird erzeugt als ein Ergebnis von Erhitzen und Aktivieren des Plasmagases durch das Plasma 132. In dieser Ausführungsform befindet sich der Plasmagenerator 184 teilweise um die Plasmakammer 108. Das System 100 weist auch eine Energiequelle 124 auf, die Energie über Anschluss 128 zum Plasmagenerator 184 zur Verfügung stellt, um das Plasma 132 (das das aktivierte Gas 134 enthält) in der Plasmakammer 108 zu erzeugen. Die Plasmakammer 108 kann z. B. aus einem metallischen Material wie Aluminium oder einem hitzebeständigen Metall gebildet sein oder kann aus einem dielektrischen Material wie Quarz oder Saphir gebildet sein. In einigen Ausführungsformen wird ein Gas anders als das Plasmagas benutzt, um das aktivierte Gas zu erzeugen. In einigen Ausführungsformen wird das Plasmagas zum Erzeugen des Plasmas von sowohl dem Plasma als auch dem aktivierten Gas benutzt. 1 is a partial schematic representation of a gas dissociation system 100 for producing dissociated gases embodying the invention. Plasmas are often used to activate gases, which are put into an excited state so that the gases have an increased reactivity. Stimulating a gas involves increasing the energy state of the gas. In some cases, the gases are excited to produce dissociated gases containing ions, free radicals, atoms, and molecules. The system 100 has a plasma gas source 112 on the over a gas line 116 to a plasma chamber 108 connected. A valve 120 controls the flow of plasma gas (e.g., O 2 , N 2 , Ar, NF 3 , H 2, and He) from the plasma gas source 112 through the gas line 116 into the plasma chamber 108 , The valve 120 can z. B. be a solenoid valve, a proportional solenoid valve or a mass flow controller. A plasma generator 184 creates a range of plasma 132 within the plasma chamber 108 , The plasma 132 contains a plasma activated gas 134 partially from the chamber 108 flows out. The plasma activated gas 134 is generated as a result of heating and activation of the plasma gas by the plasma 132 , In this embodiment, the plasma generator is located 184 partly around the plasma chamber 108 , The system 100 also has an energy source 124 on, the power over connection 128 to the plasma generator 184 provides to the plasma 132 (which is the activated gas 134 contains) in the plasma chamber 108 to create. The plasma chamber 108 can z. B. may be formed of a metallic material such as aluminum or a refractory metal or may be formed of a dielectric material such as quartz or sapphire. In some embodiments, a gas other than the plasma gas is used to generate the activated gas. In some embodiments, the plasma gas is used to generate the plasma from both the plasma and the activated gas.

Die Plasmakammer 108 hat einen Auslass 172, der über einen Durchgang 168 an einen Einlass 176 einer Prozesskammer 156 angeschlossen ist. Mindestens ein Teil des aktivierten Gases 134 fließt aus dem Auslass 172 der Plasmakammer 108 heraus und durch den Durchgang 168. Die Menge von Energie, die in dem aktivierten Gas 134 mit geführt wird, nimmt mit dem Abstand entlang der Länge des Durchganges 168 ab. Eine Zuführungsquelle 104 (z. B. eine Gaszuführungsquelle) befindet sich an einem Abstand 148 entlang der Länge des Durchganges 168. Die Zuführungsquelle 104 kann auch innerhalb des unteren Teils der Plasmakammer 108 angeordnet sein. Die Gaszuführungsquelle 104 hat mindestens einen Gaseinlass 180, der Gas (z. B. ein Downstream-Gas, das durch das aktivierte Gas 134 dissoziierte werden soll) in den Bereich 164 des Durchganges 168 einführt. Eine Downstream-Gas-Quelle 136 führt das Downstream-Gas (z. B. NF3, CF4, CHF3, C2F6, C2HF5, C3F8, C4F8, XeF2, Cl2, ClF3, H2 oder NH3) durch eine Gasleitung 140 und durch den Gaseinlass 180 in den Bereich 164 des Durchganges 168 ein. Ein Ventil 144 steuert den Fluss des Downstream-Gases durch die Gasleitung 140. Das Downstream-Gas kann Abscheidungsvorläufer, die, z. B. Si, Ge, Ga, In, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr oder Zr enthalten, aufweisen. Das Ventil 144 kann z. B. ein Magnetventil, ein proportionales Magnetventil oder ein Mengenflusssteuergerät sein.The plasma chamber 108 has an outlet 172 that's about a passage 168 to an inlet 176 a process chamber 156 connected. At least part of the activated gas 134 flows out of the outlet 172 the plasma chamber 108 out and through the passage 168 , The amount of energy in the activated gas 134 is guided with the distance increases along the length of the passage 168 from. A source of supply 104 (eg a gas supply source) is at a distance 148 along the length of the passage 168 , The source of supply 104 can also be inside the lower part of the plasma chamber 108 be arranged. The gas supply source 104 has at least one gas inlet 180 , the gas (for example, a downstream gas, which is activated by the gas 134 should be dissociated) in the area 164 of the passage 168 introduces. A downstream gas source 136 carries the downstream gas (eg, NF 3 , CF 4 , CHF 3 , C 2 F 6 , C 2 HF 5 , C 3 F 8 , C 4 F 8 , XeF 2 , Cl 2 , ClF 3 , H 2 or NH 3 ) through a gas line 140 and through the gas inlet 180 in the area 164 of the passage 168 one. A valve 144 controls the flow of the downstream gas through the gas line 140 , The downstream gas may include deposition precursors which, e.g. As Si, Ge, Ga, In, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr or Zr contain. The valve 144 can z. B. be a solenoid valve, a proportional solenoid valve or a mass flow controller.

Downstream-Gas, das in den Bereich 164 des Durchganges 168 an dem Abstand 148 eingeführt wird, interagiert mit mindestens einem Teil des aktivierten Gases 134, um einen Fluss von dissoziierter Downstream-Gas 152 zu produzieren. Die Bezeichnung „Downstream-Gas", die hierin benutzt wird, bezieht sich das auf Gas, das in den Durchgang 168 durch den Gaseinlass 180 eingeführt wird. Die Bezeichnung „das dissoziierte Downstream-Gas", die hierin benutzt wurde, bezieht sich auf das Gas, das als Resultat aus dem Zusammenwirken von dem aktivierten Gas 134 mit dem Downstream-Gas erzeugt wird. Das dissoziierte Downstream-Gas 152 kann z. B. eine Mischung des aktivierten Gases 134, des Downstream-Gases und des durch das aktivierte Gas 134 angeregten (z. B. dissoziierte) Downstream-Gases enthalten. In einigen Ausführungsformen enthält das dissoziierte Downstream-Gas 152 im Wesentlichen von Gas, das durch das aktivierte Gas 134 dissoziiert wurde. In anderen Ausführungsformen enthält das dissoziierte Downstream-Gas 152 z. B. im Wesentlichen aktiviertes Gas 134.Downstream gas in the area 164 of the passage 168 at the distance 148 introduced interacts with at least a portion of the activated gas 134 to a flow of dissociated downstream gas 152 to produce. The term "downstream gas" used herein refers to gas entering the passageway 168 through the gas inlet 180 is introduced. The term "the dissociated downstream gas" as used herein refers to the gas that results from the interaction of the activated gas 134 is generated with the downstream gas. The dissociated downstream gas 152 can z. B. a mixture of the activated gas 134 , of the downstream gas and that of the activated gas 134 contain excited (eg, dissociated) downstream gases. In some embodiments, the dissociated downstream gas contains 152 Essentially of gas passing through the activated gas 134 was dissociated. In other embodiments, the dissociated downstream gas contains 152 z. B. substantially activated gas 134 ,

Das dissoziierte Downstream-Gas 152 fließt durch den Durchgang 168 und in den Einlass 176 der Prozesskammer 156. Ein Probenhalter 160, der in der Prozesskammer 156 sich befindet, trägt ein Material, das durch das dissoziierte Downstream-Gas 152 bearbeitet wird. Ein optionaler Gasverteiler oder ein Dusch kopf (nicht gezeigt) können am Einlass 176 der Kammer 156 angeordnet sein, um das dissoziierte Gas auf die Oberfläche von, z. B. einem Substrat, das auf dem Probenhalter 160 sich befindet, gleichmäßig zu verteilen. In einer Ausführungsform fördert das dissoziierte Downstream-Gas 152 Ätzen eines Halbleiterwafers oder eines Substrates, das auf dem Probenhalter 160 in der Prozesskammer 156 angeordnet ist. In einer anderen Ausführungsform fördert das dissoziierte Downstream-Gas 152 Abscheidung eines Dünnfilms auf ein Substrat, das auf dem Probenhalter 160 in der Prozesskammer 156 angeordnet ist. Das aktivierte Gas 134 verfügt über genügende Energie um mit dem Downstream-Gas zu interagieren, damit um das dissoziierte Downstream-Gas 152 zu produzieren.The dissociated downstream gas 152 flows through the passage 168 and in the inlet 176 the process chamber 156 , A sample holder 160 who is in the process chamber 156 is located, carries a material through the dissociated downstream gas 152 is processed. An optional gas distributor or shower head (not shown) may be located at the inlet 176 the chamber 156 be arranged to the dissociated gas to the surface of, for. B. a substrate on the sample holder 160 is to distribute evenly. In one embodiment, the dissociated downstream gas promotes 152 Etching a semiconductor wafer or a substrate on the sample holder 160 in the process chamber 156 is arranged. In another embodiment, the dissociated downstream gas promotes 152 Deposition of a thin film on a substrate on the sample holder 160 in the process chamber 156 is arranged. The activated gas 134 has enough de energy to interact with the downstream gas, thus the dissociated downstream gas 152 to produce.

In einigen Ausführungsformen wird ein Prozentsatz des Downstream-Gases, das in den Bereich 164 des Durchganges 168 eingeführt wird, durch das aktivierte Gas 134 dissoziiert. Der Grad (z. B. Prozentsatzsatz) zu dem das Downstream-Gas dissoziiert wird, ist eine Funktion von z. B. dem Energieniveau sowie der Menge von Energie, die von dem aktivierten Gas 134 mitgeführt wird. Das aktivierte Gas 134 kann ein Energieniveau haben, das größer als das Bindungsenergieniveau des Downstream-Gases ist, um die Bindungen zwischen Atomen des Downstream-Gases aufzubrechen, um Dissoziation zu erzielen. In einigen Ausführungsformen kann das aktivierte Gas 134 auch genügende Energie mitführen, um das Downstream-Gas durch mehrfache Zusammenstoßprozesse thermisch anzuregen und zu dissoziieren. Zum Beispiel hat CF4 ein Bindungsenergieniveau von ungefähr 5,7 eV und NF3 ein Bindungsenergieniveau von ungefähr 3,6 eV. Dementsprechend unter ähnlichen Betriebsbedingungen des Dissoziationssystems 100, werden höhere Energien des aktivierten Gases 134 benötigt, um CF4 zu dissoziieren, als sie benötigt wird um NF3 zu dissoziieren.In some embodiments, a percentage of the downstream gas that is in the range 164 of the passage 168 is introduced by the activated gas 134 dissociated. The degree (e.g., percentage rate) to which the downstream gas is dissociated is a function of e.g. As the energy level and the amount of energy from the activated gas 134 is carried. The activated gas 134 may have an energy level greater than the binding energy level of the downstream gas to break the bonds between atoms of the downstream gas to achieve dissociation. In some embodiments, the activated gas 134 also carry enough energy to thermally excite and dissociate the downstream gas through multiple collision processes. For example, CF 4 has a binding energy level of about 5.7 eV and NF 3 has a binding energy level of about 3.6 eV. Accordingly, under similar operating conditions of the dissociation system 100 , become higher energies of the activated gas 134 needed to dissociate CF 4 , as it is needed to dissociate NF 3 .

In einer anderen Ausführungsform weil die Menge von im aktivierten Gas 134 enthaltenden Energie mit Abstand vom Auslass 172 der Kammer 108 entlang des Durchganges 168 sich verringert, muss der Abstand 148 klein genug sei beim Anordnen des Gaseinlasses 180 in Bezug auf den Auslass 172 der Plasmakammer 108 so dass das aktivierte Gas 134 eine Anregung (z. B. Dissoziation) des Downstream-Gases effektiv fördert, das in den Durchgang 168 durch die Downstream-Gas-Quelle 104 eingeführt wird. Der Abstand 148 muss, beim Einbringen des Gaseinlasses 180 in Bezug auf den Auslass 172 der Plasmakammer 108, auch groß genug sein so dass das dissoziierte Downstream-Gas 152 nicht erheblich mit einer Innenoberfläche der Plasmakammer 108 interagiert. In einigen Ausführungsformen kann die Zuführungsquelle 104 innerhalb des unteren Teils der Plasmakammer 108 angeordnet sein, z. B. wenn die Plasmadichte im oberen Teil der Plasmakammer 108 konzentriert ist.In another embodiment, because the amount of in the activated gas 134 containing energy at a distance from the outlet 172 the chamber 108 along the passage 168 decreases, the distance must be 148 small enough to arrange the gas inlet 180 in relation to the outlet 172 the plasma chamber 108 so that the activated gas 134 effectively encourages (eg, dissociation) the downstream gas that enters the passageway 168 through the downstream gas source 104 is introduced. The distance 148 must, when inserting the gas inlet 180 in relation to the outlet 172 the plasma chamber 108 too big enough so that the dissociated downstream gas 152 not significantly with an inner surface of the plasma chamber 108 interacts. In some embodiments, the supply source 104 within the lower part of the plasma chamber 108 be arranged, for. B. when the plasma density in the upper part of the plasma chamber 108 is concentrated.

In einer Ausführungsform weist das System 100 eine Sperre auf (z. B. ein Schild oder eine Zwischenschicht, nicht gezeigt), die im Durchgang 168 am Auslass 172 der Kammer 108 angeordnet ist. Die Sperre schützt den Durchgang 168, indem sie den Kontakt des Durchganges 168 mit den reaktiven Gasen im System 100 verringert. In einigen Ausführungsformen befindet sich der Schild oder die Zwischenschicht teilweise innerhalb der Kammer 108. Der Schild oder die Zwischenschicht können aus einem Material gebildet werden, das gegen die reaktiven Gase im Wesentlich beständig ist (z. B. das aktivierte Gas 134 und das dissoziierte Downstream-Gas 152). Auf dieser Weise kann da der Schild oder die Zwischenschicht den reaktiven Gasen ausgesetzt ist, der Schild oder die Zwischenschicht benutzt werden, um Erosion der Kammer 108 zu verringern.In one embodiment, the system 100 a lock on (eg a shield or an intermediate layer, not shown) in the passageway 168 at the outlet 172 the chamber 108 is arranged. The barrier protects the passage 168 by making the contact of the passage 168 with the reactive gases in the system 100 reduced. In some embodiments, the shield or interlayer is partially within the chamber 108 , The shield or interlayer may be formed of a material that is substantially resistant to the reactive gases (eg, the activated gas 134 and the dissociated downstream gas 152 ). In this way, since the shield or interlayer is exposed to the reactive gases, the shield or interlayer may be used to erode the chamber 108 to reduce.

In einer Ausführungsform ist die Zwischenschicht ein röhrenförmiges Material, das innerhalb des Durchganges 168 am Auslass 172 der Kammer 108 angeordnet ist. Die Zwischenschicht kann aus einem Material gebildet werden, das mit den reaktiven Gasen chemisch kompatibel ist. Die Zwischenschicht kann komplett oder teilweise aus Saphirmaterial bestehen. In einigen Ausführungsformen ist der Schild oder die Zwischenschicht entfernbar, was periodischen austauschen erlaubt. Der Schild oder die Zwischenschicht können deshalb aus dem gleichen Material wie die Plasmakammer zu chemischer Übereinstimmung, bestehen.In one embodiment, the intermediate layer is a tubular material that is within the passageway 168 at the outlet 172 the chamber 108 is arranged. The intermediate layer may be formed of a material that is chemically compatible with the reactive gases. The intermediate layer may consist entirely or partially of sapphire material. In some embodiments, the shield or the intermediate layer is removable, allowing for periodic replacement. The shield or interlayer may therefore consist of the same material as the plasma chamber for chemical compatibility.

In einigen Ausführungsformen verringert der Schild oder die Zwischenschicht thermische Belastungen auf Bestandteile in der Kammer 108. Der Schild oder die Zwischenschicht können aus einem Material bestehen, das den Verlust der reaktiven Spezie(n) im aktivierten Gas 134 und im dissoziierten Downstream-Gas 152 verringert, dadurch den Auslass der reaktiven Spezie(n) maximiert wird. Materialien mit niedrigen Rekombinationseigenschaften schließen, z. B. Quarz, Diamanten, Diamant-ähnlich-Kohlenstoff, Saphir, Kohlenwasserstoff und Fluorkohlenstoff ein. Der Schild oder die Zwischenschicht kann auch aus einem Metall (z. B. Aluminium, Nickel oder rostfreier Stahl) für bessere mechanischen und thermischen Eigenschaften gebildet sein. Die Oberfläche eines Metallschildes oder einer Zwischenschicht kann mit einer Schicht beschichtet sein, die aus einem chemisch kompatiblen Material oder aus Material mit niedriger Oberflächenrekombination/Reaktion besteht, um die Gesamtleistungsfähigkeit zu verbessern.In some embodiments, the shield or intermediate layer reduces thermal stresses on components in the chamber 108 , The shield or intermediate layer may be made of a material that causes the loss of the reactive species in the activated gas 134 and in the dissociated downstream gas 152 thereby maximizing the outlet of the reactive species (s). Include materials with low recombination properties, e.g. Quartz, diamonds, diamond-like carbon, sapphire, hydrocarbon and fluorocarbon. The shield or interlayer may also be formed of a metal (eg, aluminum, nickel or stainless steel) for better mechanical and thermal properties. The surface of a metal shield or intermediate layer may be coated with a layer consisting of a chemically compatible material or low surface recombination / reaction material to improve overall performance.

In einer Ausführungsform weist das System 100 einen zusätzlichen Reinigungsgaseinlass auf (nicht gezeigt), der zwischen dem Auslass 172 der Plasmakammer 108 und dem Gaseinlass 180 angeordnet ist. Reinigungsgas kann durch den Gaseinlass 180 eingeführt werden, um Rückfluss des Downstream-Gases in die Plasmakammer 108 zu verhindern (oder zu minimieren). Der Rückfluss kann auftreten, wenn die Flussrate des Plasmagases klein ist. Das Reinigungsgas kann ein Edelgas (z. B. Ar oder He) oder ein Prozessgas (z. B. O2 oder H2) sein.In one embodiment, the system 100 an additional cleaning gas inlet (not shown) located between the outlet 172 the plasma chamber 108 and the gas inlet 180 is arranged. Purge gas can through the gas inlet 180 be introduced to reflux the downstream gas into the plasma chamber 108 to prevent (or minimize). The reflux can occur when the flow rate of the plasma gas is small. The purge gas may be a noble gas (eg Ar or He) or a process gas (eg O 2 or H 2 ).

In einer Ausführungsform verfügt das System 100 über einen Sensor (nicht gezeigt) zum Messen des Dissoziationsprozentsatzes des Downstream-Gases im Durchgang 168. In bestimmten Ausführungsformen wird der gleiche Sensor benutzt, um den Grad zu bestimmen, zu dem das dissoziierte Downstream-Gas 152 nachteilig mit einer Inneroberfläche der Plasmakammer 108 interagiert. Als Bespiel kann ein Nicolet 510P Messgerät von Thermo Electron Corporation in Madison, Wisconsin als der Sensor zum Messen des Dissoziationsprozentsatzes und des Grads, zu dem das dissoziierte Downstream-Gas 152 mit der Innenoberfläche der Kammer 108 interagiert, verwendet werden. Der Sensor misst z. B. die Anwesenheit von SiF4. SiF4 ist ein Nebenprodukt von Fluor (ein dissoziiertes Downstream-Gas), das mit einer Quarzplasmakammer reagiert. Der Sensor ist nicht notwendig, kann jedoch im System 100 verwendet werden. Dementsprechend sind Sensormessungen, welche das Vorliegen von z. B. hohem Niveau von SiF4 anzeigen, ein Indikator, dass das dissoziierte Downstream-Gas 152 nachteilig mit einer Inneroberfläche einer Quarzplasmakammer 108 interagiert. Der Dissoziationsprozentsatz des Downstream-Gases hängt von einer Vielzahl von Faktoren ab. Ein Faktor ist der Abstand 148, an dem das Downstream-Gas in den Bereich 164 des Durchganges 168 eingeführt wird. Ein anderer Faktor ist die Menge von Energie im aktivierten Gas 134 in dem Abstand 148, in dem das Downstream-Gas in den Bereich 164 des Durchganges 168 eingeführt wird.In one embodiment, the system has 100 via a sensor (not shown) for measuring the dissociation percentage of the downstream gas in the passage 168 , In determined Embodiments, the same sensor is used to determine the degree to which the dissociated downstream gas 152 adversely with an inner surface of the plasma chamber 108 interacts. As an example, a Nicolet 510P Thermo Electron Corporation of Madison, Wisconsin as the sensor for measuring the dissociation percentage and degree to which the dissociated downstream gas 152 with the inner surface of the chamber 108 interacts, to be used. The sensor measures z. For example, the presence of SiF 4 . SiF 4 is a byproduct of fluorine (a dissociated downstream gas) which reacts with a quartz plasma chamber. The sensor is not necessary but can be in the system 100 be used. Accordingly, sensor measurements indicating the presence of e.g. For example, indicate high level of SiF4, an indicator that the dissociated downstream gas 152 disadvantageous with an inner surface of a quartz plasma chamber 108 interacts. The dissociation percentage of the downstream gas depends on a variety of factors. One factor is the distance 148 where the downstream gas enters the area 164 of the passage 168 is introduced. Another factor is the amount of energy in the activated gas 134 in the distance 148 in which the downstream gas in the area 164 of the passage 168 is introduced.

In einer Ausführungsform wird das Downstream-Gas an einem Abstand 148 in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt, welcher die Interaktion zwischen dem dissoziierten Gas 152 und der Innenoberfläche der Plasmakammer 108 minimiert. In einer anderen Ausführungsform wird das Downstream-Gas an einem Abstand 148 in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt, welcher den Dissoziationsgrad des Downstream-Gases maximiert. In einer anderen Ausführungsform wird das Downstream-Gas an einem Abstand 148 in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt, welcher den Grad, zu dem das dissoziierte Downstream-Gas 152 mit einer Inneroberfläche der Plasmakammer 108 interagiert, mit dem Dissoziationsgrad des Downstream-Gases ausgleicht.In one embodiment, the downstream gas is at a distance 148 in relation to the outlet 172 the plasma chamber 108 introduced the interaction between the dissociated gas 152 and the inner surface of the plasma chamber 108 minimized. In another embodiment, the downstream gas is at a distance 148 in relation to the outlet 172 the plasma chamber 108 introduced, which maximizes the degree of dissociation of the downstream gas. In another embodiment, the downstream gas is at a distance 148 in relation to the outlet 172 the plasma chamber 108 introduced the degree to which the dissociated downstream gas 152 with an inner surface of the plasma chamber 108 interacts with the degree of dissociation of the downstream gas.

Die Plasmaquelle 184 kann z. B. ein DC Plasmagenerator, Hochfrequenz (RF) Plasmagenerator oder ein Mikrowellen Plasmagenerator sein. Die Plasmaquelle 184 kann eine entfernte Plasmaquelle sein. Als Beispiel kann die Plasmaquelle 184 eine entfernte Plasmaquelle wie ASTRON (R) oder R*evolution (R) sein, der von MKS Instruments, Inc. in Wilmington MA, hergestellt wird. Ein DC Plasmagenerator erzeugt DC Entladungen durch das Anwenden eines Potentials zwischen zwei Elektroden in einem Plasmagas (z. B. O2). Ein RF Plasmagenerator erzeugt Hochfrequenzentladungen durch entweder elektrostatische oder induktive Kopplung der Energie von einer Energiequelle in ein Plasma. Ein Mikrowellen Plasmageneratorer erzeugt Mikrowellenentladungen durch direkte Kopplung der Mikrowellenenergie durch ein mikrowellendurchlässiges Fenster in eine Plasmakammer, die ein Plasmagas enthält.The plasma source 184 can z. As a DC plasma generator, radio frequency (RF) plasma generator or a microwave plasma generator. The plasma source 184 may be a remote plasma source. As an example, the plasma source 184 a remote plasma source such as ASTRON (R) or R * evolution (R) manufactured by MKS Instruments, Inc. of Wilmington, MA. A DC plasma generator generates DC discharges by applying a potential between two electrodes in a plasma gas (e.g., O 2 ). An RF plasma generator generates high frequency discharges by either electrostatic or inductive coupling of energy from a power source into a plasma. A microwave plasma generator generates microwave discharges by directly coupling the microwave energy through a microwave transparent window into a plasma chamber containing a plasma gas.

In einer Ausführungsform ist die Plasmaquelle eine toroide Plasmaquelle und die Kammer 108 ist eine Quarzkammer. Die Quarzkammer kann z. B. aus einem Stück Quarzglas bestehen. In anderen Ausführungsformen können alternative Arten von Plasmaquellen und Kammermaterialien benutzt werden. Zum Beispiel können Saphir, Tonerde, Aluminiumnitrid, Yttriumoxid, Siliziumkarbid, Bornitrid oder ein Metall wie Aluminium, Nickel oder rostfreier Stahl oder ein beschichtetes Metall wie anodisiertes Aluminium benutzt werden.In one embodiment, the plasma source is a toroidal plasma source and the chamber 108 is a quartz chamber. The quartz chamber can z. B. consist of a piece of quartz glass. In other embodiments, alternative types of plasma sources and chamber materials may be used. For example, sapphire, alumina, aluminum nitride, yttria, silicon carbide, boron nitride or a metal such as aluminum, nickel or stainless steel or a coated metal such as anodized aluminum may be used.

Die Energiequelle 124 kann z. B. ein RF Energiequelle oder ein Mikrowelle Energiequelle sein. In einigen Ausführungsformen verfügt die Plasmakammer 108 über Mittel zum Erzeugen der freien Ladungen, die ein anfängliches Ionisationsereignis herbeiführt, das das Plasma 132 in der Plasmakammer 108 anzündet. Das anfängliche Ionisationsereignis kam ein kurzer Hochspannungsimpuls sein, der auf die Plasmakammer 108 angewendet wird. Der Impuls kann eine Spannung von ungefähr 500 bis 10.000 Volt haben und kann ungefähr 0,1 Mikrosekunden bis 100 Millisekunden lang sein. Ein Edelgas wie Argon kann in die Plasmakammer 108 eingeführt werden, um die zum Zünden des Plasmas 132 erforderliche Spannung zu verringern. Ultraviolette Strahlung kann auch verwendet werden, um die freien Ladungen in der Plasmakammer 108 zu erzeugen, die das anfängliche Ionisationsereignis bereitstellen, durch das das Plasma 132 in der Plasmakammer 108 gezündet wird.The energy source 124 can z. B. an RF power source or a microwave energy source. In some embodiments, the plasma chamber has 108 via means for generating the free charges that causes an initial ionization event, the plasma 132 in the plasma chamber 108 Kindle. The initial ionization event came to be a short high-voltage pulse applied to the plasma chamber 108 is applied. The pulse may have a voltage of about 500 to 10,000 volts and may be about 0.1 microseconds to 100 milliseconds long. A noble gas such as argon can enter the plasma chamber 108 be introduced to the ignition of the plasma 132 reduce required voltage. Ultraviolet radiation can also be used to free the charges in the plasma chamber 108 which provide the initial ionization event by which the plasma 132 in the plasma chamber 108 is ignited.

Ein Steuerungssystem (nicht gezeigt) kann z. B. benutzt werden, um den Betrieb von Ventil 116 zu steuern (z. B. ein Mengenflusssteuerungsgerät), um damit den Fluss des Plasmagases von der Plasmagasquelle 112 in die Plasmakammer 108 zu regulieren. Das Steuerungssystem kann auch benutzt werden, um den Betrieb von Ventil 144 (z. B. ein Mengenflusssteuerungsgerät) zu steuern, um damit den Fluss des Downstream-Gases von der Downstream-Gas-Quelle 136 in den Bereich 164 zu regulieren. Das Steuerungssystem kann auch benutzt werden, um die Betriebsparameter (z. B. angewendete Leistung auf das Plasma 132 und nachfolgend das aktivierte Gas 134, oder Gasflussrate oder -druck) des Plasmagenerators 184 zu modifizieren.A control system (not shown) may e.g. B. used to operate the valve 116 (eg, a mass flow controller) to thereby control the flow of plasma gas from the plasma gas source 112 into the plasma chamber 108 to regulate. The control system can also be used to control the operation of valve 144 (eg, a mass flow controller) to thereby control the flow of downstream gas from the downstream gas source 136 in the area 164 to regulate. The control system can also be used to determine the operating parameters (eg, applied power to the plasma 132 and subsequently the activated gas 134 , or gas flow rate or pressure) of the plasma generator 184 to modify.

In einigen Ausführungsformen ist das System 100 zum Abscheiden eines Materials auf einem Halbleiterwafer, der auf dem Probenhalter 160 in der Prozesskammer 156 angeordnet ist, gedacht. Zum Beispiel kann das Downstream-Gas ein Abscheidungsmaterial (z. B. SiH4, TEOS, oder WF6) enthalten. Das Downstream-Gas kann auch andere Abscheidungsvorläufer wie z. B. Si, Ge, Ga, In, Sn, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr und Zr aufweisen. Das aktivierte Gas 134 interagiert mit dem Abscheidungsmaterial im Downstream-Gas, um eine Abscheidungspezie(n) zu erzeugen, die auf den sich auf dem Probenhalter 160 befindlichen Wafer abgeschieden werden kann. Aussetzung der Abscheidungsvorläufer einem Plasma kann Vorläufermoleküle veranlassen, in der Gasdeckschicht zu zerfallen. Dementsprechend kann Anregung der Vorläufer durch aktivierte Gase in den Anwendungen vorteilhaft sein, in denen Aufspaltung der Vorläufer auf einer Abscheidungsoberfläche bevorzugt wird. In einigen Ausführungsformen weist das Downstream-Gas ein oder mehrere Gase auf, die metallische oder Halbleiterhaltende Materialen enthalten, oder die Oxide oder ein Nitride, die metallische oder Halbleiterhaltende Materialen enthalten.In some embodiments, the system is 100 for depositing a material on a semiconductor wafer on the sample holder 160 in the process chamber 156 is arranged, thought. To the For example, the downstream gas may contain a deposition material (eg. As SiH4, TEOS, or WF 6). The downstream gas may also contain other deposition precursors such as. B. Si, Ge, Ga, In, Sn, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr and Zr have. The activated gas 134 interacts with the deposition material in the downstream gas to produce a deposition species that are deposited on the sample holder 160 located wafer can be deposited. Exposure of the deposition precursors to a plasma can cause precursor molecules to disintegrate in the gas capping layer. Accordingly, activation of the precursors by activated gases may be advantageous in applications where decomposition of the precursors on a deposition surface is preferred. In some embodiments, the downstream gas comprises one or more gases containing metallic or semiconductor-containing materials, or the oxides or nitrides containing metallic or semiconductor-containing materials.

Das System 100 kann benutzt werden, um optische Schichten auf ein Substrat, wie einen Spiegel, ein Filter oder eine Lens abzuscheiden. Das System 100 kann benutzt werden, um Oberflächeneigenschaften eines Substrates zu ändern. Das System 100 kann benutzt werden, um eine Oberfläche biokompatible zu machen oder seine Wasserabsorptionseigenschaften zu verändern. Das System 100 kann benutzt werden, um die mikroskopische Partikel und Pulver oder solch im Nano-Bereich zu erzeugen.The system 100 can be used to deposit optical layers on a substrate such as a mirror, a filter or a lens. The system 100 can be used to modify surface properties of a substrate. The system 100 can be used to make a surface biocompatible or alter its water absorption properties. The system 100 can be used to generate the microscopic particles and powders or those in the nano range.

2A und 2B veranschaulichen eine Ausführungsform einer Zuführungsquelle 104 welche die Prinzipien der Erfindung verwirklicht. In dieser Ausführungsform hat die Zuführungsquelle 104 einen scheibenförmigen Körper 200, der einen zentralen Bereich 164 definiert. Den Bereich 164 erstreckt sich von einem ersten Ende 208 des Körpers 200 zu einen zweites Ende 212 des Körpers 200. Die Quelle 104 hat auch sechs Einlässe 180a, 180b, 180c, 180d, 180e und 180f (im Allgemeinen 180), die sich durch den Körper 200 der Quelle 104 erstre cken. Die Einlässe 180 erstrecken sich jeweils radial von Öffnungen in einer Außenfläche 204 des Körpers 200 zu Öffnungen entlang einer inneren Oberfläche 214 des Bereichs 164 des Körpers 200. In einer Ausführungsforen sind die Einlässe 180 an eine Downstream-Gas-Quelle, z. B. die Downstream-Gas-Quelle 136 der 1 angeschlossen. Die Downstream-Gas-Quelle 136 stellt einen Fluss des Downstream-Gases über die Einlässe 180 zu den Bereich 164 zur Verfügung. Ein aktiviertes Gas 134 fließt in die Quelle 104 über das erste Ende 204 der Quelle 104 ein. Mindestens interagiert ein Teil des aktivierten Gases 134 mit mindestens einem Teil des Downstream-Gases, um dissoziiertes Downstream-Gas 152 zu produzieren. Das dissoziierte Downstream-Gas 152 fließt aus dem zweiten Ende 212 des Körpers 200 der Quelle 104 heraus und entlang z. B. des Durchganges 168 des Dissoziationssystems 100. Andere Anzahlen, Geometrien und Winkelausrichtungen der Einlässe 180 sind bedacht. Als Beispiel können die Einlässe 180 im Bezug auf die Mitte des Bereichs 164 des Körpers 200 der Quelle 104 mit einem Winkeln ausgerichtet werden, wenn von der Oritierung der Endansicht der 2B betrachtet. 2A and 2 B illustrate an embodiment of a supply source 104 which realizes the principles of the invention. In this embodiment, the supply source 104 a disk-shaped body 200 , the one central area 164 Are defined. The area 164 extends from a first end 208 of the body 200 to a second end 212 of the body 200 , The source 104 also has six inlets 180a . 180b . 180c . 180d . 180e and 180f (in general 180 ), which are through the body 200 the source 104 to extend. The inlets 180 each extend radially from openings in an outer surface 204 of the body 200 to openings along an inner surface 214 of the area 164 of the body 200 , In one execution forums are the inlets 180 to a downstream gas source, e.g. As the downstream gas source 136 of the 1 connected. The downstream gas source 136 provides a flow of downstream gas over the inlets 180 to the area 164 to disposal. An activated gas 134 flows into the source 104 over the first end 204 the source 104 one. At least part of the activated gas interacts 134 with at least a portion of the downstream gas to dissociated downstream gas 152 to produce. The dissociated downstream gas 152 flows out of the second end 212 of the body 200 the source 104 out and along z. B. the passage 168 of the dissociation system 100 , Other numbers, geometries and angular orientations of the inlets 180 are considered. As an example, the inlets 180 in relation to the middle of the range 164 of the body 200 the source 104 to be oriented at an angle when aligning the end view of the 2 B considered.

In einer anderen Ausführungsform, die in 3A und 3B gezeigt ist, hat die Zuführungsquelle 104 hat einen scheibenförmigen Körper 200, der einen Bereich 164 definiert. Der Körper 200 hat ein erstes Ende 208 und ein zweites Ende 212. Die Quelle 104 hat sechs Einlässe 180a, 180b, 180c, 180d, 180e und 180f (im Allgemeinen 180), die sich durch den Körper 200 der Quelle 104 erstrecken. Andere Anzahlen von Einlässe können in anderen Ausführungsformen verwendet werden. Die Einlässe 180 erstrecken sich jeweils mit einem Winkeln 304 von Öffnungen in einer Außenfläche 204 des Körpers 200 zu Öffnungen entlang einer inneren Oberfläche 214 des Bereichs 164 des Körpers 200. In einer Ausführungsform sind die Einlässe 180 an eine Downstream-Gas-Quelle z. B. die Downstream-Gas-Quelle 136 der 1 angeschlossen. Die Downstream-Gas-Quelle 136 stellt einen Fluss von Downstream-Gas über die Einlässe 180 zu den Bereich 164 zur Verfügung. Das Downstream-Gas wird mindestens teilweise durch ein aktiviertes Gas 134 dissoziiert, das in den Bereich 164 über das erste Ende 208 des Körpers 200 eingeführt wird. Dissoziiertes Downstream-Gas 152 verlässt den Bereich 164 über das zweite Ende 212 des Körpers 200.In another embodiment, in 3A and 3B is shown has the source of supply 104 has a disc-shaped body 200 , the one area 164 Are defined. The body 200 has a first end 208 and a second end 212 , The source 104 has six inlets 180a . 180b . 180c . 180d . 180e and 180f (in general 180 ), which are through the body 200 the source 104 extend. Other numbers of inlets may be used in other embodiments. The inlets 180 each extend at an angle 304 of openings in an outer surface 204 of the body 200 to openings along an inner surface 214 of the area 164 of the body 200 , In one embodiment, the inlets are 180 to a downstream gas source e.g. As the downstream gas source 136 of the 1 connected. The downstream gas source 136 puts a flow of downstream gas over the inlets 180 to the area 164 to disposal. The downstream gas is at least partially activated by an activated gas 134 dissociated into the area 164 over the first end 208 of the body 200 is introduced. Dissociated downstream gas 152 leaves the area 164 over the second end 212 of the body 200 ,

Zu veranschaulichen, wurde ein Experiment durchgeführt, um NF3 zu dissoziieren. Die Zuführungsquelle 104 von 2A und 2B wurde verwendet, um NF3 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. 4 zeigt ein Diagramm 400, das das Ergebnis der NF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 412 des Diagramms 400 ist der Dissoziationsprozentsatz von NF3. Die X-Achse 416 des Diagramms 400 ist der Abstand 148, an dem das NF3 (Downstream-Gas) in den Bereich 164 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird.To illustrate, an experiment was performed to dissociate NF 3 . The source of supply 104 from 2A and 2 B was used to NF 3 in the field 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. 4 shows a diagram 400 That the result of the NF3 dissociation with a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 412 of the diagram 400 is the dissociation percentage of NF 3 . The X-axis 416 of the diagram 400 is the distance 148 at which the NF 3 (downstream gas) in the area 164 in relation to the outlet 172 a quartz plasma chamber 108 is introduced.

4 zeigt dass bei einer konstanten Flussrate des Plasmagases (O2/N2) und des Downstream-Gases (NF3), erhöht sich der Dissoziationsprozentsatz von NF3 mit Gasdruck und verringert sich mit dem Abstand vom Auslass der Plasmakammer. Während der Abstand 148 sich erhöht, verringert sich der Dissoziationsprozentsatz von NF3 für ein spezifiziertes Druckniveau des Plasmagases (2 Torr; 3 Torr; 4 Torr; 5 Torr (Kurve 408); 6 Torr (Kurve 404); 7 Torr. Die Kurve 404 zeigt z. B., dass für das Plasmas O2/N2 mit einer Flussrate von 4/0,4 slm in die Plasmakammer 108 und bei einem Plasmagasdruck von 6 Torr, sich der NF3 Dissoziationsprozentsatz von ungefähr 92% Dissoziation von NF3 an einem Abstand 148 von ungefähr 1,0 Zentimeter auf ungefähr 8% Dissoziation von NF3 an einem Abstand 148 von ungefähr 12,2 Zentimeter verringert. Die Kurve 408 zeigt, dass für das Plasmas O2/N2 mit einer Flussrate von 4/0,4 slm in die Plasmakammer 108 und bei einem Plasmagasdruck von 5 Torr, sich der NF3 Dissoziationsprozentsatz von ungefähr 77% Dissoziation von NF3 an einem Abstand 148 von ungefähr 1,0 Zentimeter auf ungefähr 3% Dissoziation von NF3 an einem Abstand 148 von ungefähr 12.2 Zentimeter verringert. 4 shows that at a constant flow rate of the plasma gas (O 2 / N 2) and the downstream gas (NF3), the percent dissociation of NF3 increases with gas pressure and decreases with distance from the outlet of the plasma chamber. While the distance 148 increased, verrin the dissociation percentage of NF 3 for a specified pressure level of the plasma gas ( 2 Torr; 3 torr; 4 Torr; 5 Torr (curve 408 ); 6 Torr (curve 404 ); 7 Torr. The curve 404 shows z. B. that for the plasma O 2 / N 2 with a flow rate of 4 / 0.4 slm in the plasma chamber 108 and at a plasma gas pressure of 6 Torr, the NF 3 dissociation percentage of about 92% dissociation of NF 3 at a distance 148 from about 1.0 centimeter to about 8% dissociation of NF 3 at a distance 148 reduced by about 12.2 centimeters. The curve 408 shows that for the plasma O 2 / N 2 with a flow rate of 4 / 0.4 slm in the plasma chamber 108 and at a plasma gas pressure of 5 Torr, the NF3 dissociation percentage of about 77% dissociation of NF 3 at a distance 148 from about 1.0 centimeter to about 3% dissociation of NF 3 at a distance 148 reduced by about 12.2 centimeters.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher genannten Nicolet 510P Sensor gemessen. Der Nicolet 510P Sensor hat eine Messempfindlichkeit von 1 sccm von SiF4. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor unter den Bedingungen von verschiedenen Plasmagasdrücken und den Abständen 148 gemessen, unter denen das NF3 (Downstream-Gas) in den Bereich 164 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. The Nicolet 510P Sensor has a sensitivity of 1 sccm of SiF4. In the experiment, no SiF4 was detected with the Nicolet sensor under conditions of different plasma gas pressures and distances 148 measured under which the NF 3 (downstream gas) in the range 164 in relation to the outlet 172 a quartz plasma chamber 108 is introduced.

Zu veranschaulichen, wurde ein Experiment durchgeführt, um CF4 zu dissoziieren. Die Zuführungsquelle 104 von 3A und 3B wurde verwendet, um CF4 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Ein Winkel von 30 Grad wurde für den Winkel 304 für jeden der Einlässe 180 vorgewählt.To illustrate, an experiment was performed to dissociate CF 4 . The source of supply 104 from 3A and 3B was used to CF 4 in the area 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. An angle of 30 degrees was used for the angle 304 for each of the inlets 180 preselected.

5 zeigt ein Diagramm 500, das das Ergebnis der CF4 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 512 des Diagramms 500 ist der Dissoziationsprozentsatz von CF4. Die X-Achse 516 des Diagramms 500 ist der Abstand 148, von dem das CF4 (Downstream-Gas) in den Bereich 164 des Durchganges 168 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird. 5 shows a diagram 500 that is the result of CF 4 dissociation, which involves a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 512 of the diagram 500 is the dissociation percentage of CF 4 . The X-axis 516 of the diagram 500 is the distance 148 from which the CF 4 (downstream gas) in the range 164 of the passage 168 in relation to the outlet 172 a quartz plasma chamber 108 is introduced.

5 zeigt, dass mit der Zunahme des Abstands 148 der Dissoziationsprozentsatz von CF4 sich verringert für verschiedene Plasmagasarten, Flussrate und Drücken sich verringert, (4 slm von O2 gemischt mit 0.4 slm von N2 bei 4 Torr; 4 slm von O2 bei 4 Torr (kurve 504); 3 slm von N2 bei 2 Torr; und 6 slm von Ar bei 6 Torr (Kurve 508)). Beispielhaft zeigt Kurve 504, dass für einen Gasfluss des Plasmas O2 von der Plasmagasquelle 112 mit einer Rate von 4 slm mit einem Druck von 4 Torr in der Plasmakammer 108, der Dissoziationsprozentsatz von 100 sccm von CF4, von ungefähr 33% CF4 Dissoziation an einem Abstand 148 von ungefähr 0,53 Zentimeter auf ungefähr 2% CF4 Dissoziation an einem Abstand 148 von ungefähr 1,05 Zentimeter, sich verringert. Kurve 508 zeigt, dass mit einer Flussrate eines Ar Plasmagases von 6 slm in die Plasmakammer 108 und mit einem Druck von 6 Torr, der Dissoziationsprozentsatz von CF4 von ungefähr 24% CF4 Dissoziation an einem Abstand 148 von ungefähr 0,53 Zentimeter auf ungefähr 1% CF4 Dissoziation an einem Abstand 148 von ungefähr 1,05 Zentimeter sich verringert. 5 shows that with the increase in the distance 148 the dissociation percentage of CF4 decreased for various types of plasma gas, flow rate and pressures decreased, (4 slm of O 2 mixed with 0.4 slm of N 2 at 4 Torr; 4 slm of O 2 at 4 Torr (curve 504 ); 3 slm of N 2 at 2 torr; and 6 slm of Ar at 6 Torr (curve 508 )). Example shows curve 504 That for a gas flow of the plasma O 2 by the plasma gas source 112 at a rate of 4 slm with a pressure of 4 Torr in the plasma chamber 108 , the dissociation percentage of 100 sccm of CF4, of about 33% CF4 dissociation at a distance 148 from about 0.53 centimeters to about 2% CF4 dissociation at a distance 148 of about 1.05 centimeters, decreases. Curve 508 shows that with a flow rate of an Ar plasma gas of 6 slm into the plasma chamber 108 and with a pressure of 6 Torr, the dissociation percentage of CF4 is about 24% CF4 dissociation at a distance 148 from about 0.53 centimeters to about 1% CF4 dissociation at a distance 148 decreases by about 1.05 centimeters.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasarten, Flussraten, Drücke und Abstände 148, unten deren Bedingungen das CF4 (Downstream-Gas) in den Bereich 164 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird, gemessen.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was used with the Nicolet sensor for the different plasma gas species, flow rates, pressures, and distances 148 , below whose conditions the CF4 (downstream gas) in the range 164 in relation to the outlet 172 a quartz plasma chamber 108 is introduced, measured.

Ein anderes Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Zuführungsquelle 104 von 2A und 2B wurde verwendet, um 100 sccm von NF3 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (NF3) wird in den Bereich 164 des Durchganges 168 an ungefähr 1 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Quarzplasmakammer 108 eingeführt. 6 veranschaulicht zeigt ein Diagramm 600, das das Ergebnis der NF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 612 des Diagramms 600 ist der Dissoziationsprozentsatz von NF3. Die X-Achse 616 des Diagramms 600 ist die Gasflussrate in Standard Liter pro Minute des Plasmagases (N2 (Kurve 604); O2/N2 bei einer Gasflussrate von 10/1 (Kurve 608); Ar (Kurve 610); H2; und He) der in die Kammer 108 durch die Plasmagasquelle 112 eingeführt wird.Another experiment was performed to dissociate NF 3 . The source of supply 104 from 2A and 2 B was used to 100 sccm of NF 3 in the range 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. The downstream gas (NF 3 ) will be in the range 164 of the passage 168 at about 1 centimeter (that is, the distance 148 ) with respect to the outlet 172 the quartz plasma chamber 108 introduced. 6 illustrated shows a diagram 600 That the result of the NF3 dissociation with a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 612 of the diagram 600 is the dissociation percentage of NF 3 . The X-axis 616 of the diagram 600 is the gas flow rate in standard liters per minute of plasma gas (N 2 (curve 604 ); O 2 / N 2 at a gas flow rate of 10/1 (curve 608 ); Ar (curve 610 ); H 2 ; and he) the in the chamber 108 through the plasma gas source 112 is introduced.

Beispielhaft zeigt Kurve 604, dass für ein N2 Plasmagas, das NF 3 Dissoziationsprozentsatz von 100 sccm von ungefähr 16% NF3 Dissoziation mit einer N2 Plasmagasflussrate von ungefähr 1,0 slm auf ungefähr 82% NF3 Dissoziation mit einer N2 Plasmagasflussrate von ungefähr 2,3 slm zunimmt. Kurve 608 zeigt, dass für ein Gas des Plasmas O2/N2, der NF3 Dissoziationsprozentsatz von 100 sccm von ungefähr 16% NF3 Dissoziation mit einer Flussrate des Gases O2/N2 von 2/0,2 slm auf ungefähr 79% NF3 Dissoziation mit einer Flussrate des Gases O2/N2 von ungefähr 5,5/0,55 slm zunimmt. Kurve 610 zeigt, dass für ein Ar Plasmagas, der Dissoziationsprozentsatz eines Flusses von 100 sccm von NF3 von ungefähr 14% NF3 Dissoziation mit einer Ar Plasmagasflussrate von ungefähr 2,0 slm auf ungefähr 29% NF3 Dissoziation mit einer Ar Plasmagasflussrate von ungefähr 10 slm steigt.Example shows curve 604 in that for an N 2 plasma gas, the NF 3 dissociation percentage is from 100 sccm of approximately 16% NF 3 dissociation with an N 2 plasma gas flow rate of approximately 1.0 slm to approximately 82% NF 3 dissociation with an N 2 plasma gas flow rate of approximately 2.3 slm increases. Curve 608 shows that for a gas of plasma O 2 / N 2 , the NF3 dissociation percentage of 100 sccm of about 16% NF 3 dissociation with a flow rate of the Gases O 2 / N 2 increases from 2 / 0.2 slm to approximately 79% NF 3 dissociation with a flow rate of the gas O 2 / N 2 of approximately 5.5 / 0.55 slm. Curve 610 Figure 4 shows that for an Ar plasma gas, the dissociation percentage of a flow of 100 sccm of NF 3 from about 14% NF 3 dissociation with an Ar plasma gas flow rate of about 2.0 slm to about 29% NF 3 dissociation with an Ar plasma gas flow rate of about 10 slm increases.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem vorher genannten Nicolet Sensor für die verschiedenen Plasmagasarten und -flussraten gemessen.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was measured with the aforementioned Nicolet sensor for the different plasma gas species and flow rates.

Ein anderes Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Zuführungsquelle 104 von 2A und 2B wurde verwendet, um 100 sccm von NF3 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (NF3) wird an ungefähr 1,0 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt. 7 zeigt ein Diagramm 700, das das Ergebnis der NF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 712 des Diagramms 700 ist der Dissoziationsprozentsatz von NF3. Die X-Achse 716 des Diagramms 700 ist Gasdruck in Torr des Plasmagases, das in die Plasmakammer 108 eingeführt wird. Unter den Betriebsbedingungen des Experimentes, ist der Dissoziationsprozentsatz von NF3, das ein Ar Plasmagas verwendet (gezeigt als Kurve 710) zum Ar Gasdruck verhältnismäßig unempfindlich.Another experiment was performed to dissociate NF 3 . The source of supply 104 from 2A and 2 B was used to 100 sccm of NF 3 in the range 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. The downstream gas (NF 3 ) is at approximately 1.0 centimeters (that is, the distance 148 ) with respect to the outlet 172 the plasma chamber 108 introduced. 7 shows a diagram 700 That the result of the NF3 dissociation with a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 712 of the diagram 700 is the dissociation percentage of NF 3 . The X-axis 716 of the diagram 700 is gas pressure in torr of the plasma gas that enters the plasma chamber 108 is introduced. Under the operating conditions of the experiment, the percent dissociation of NF3 using an Ar plasma gas (shown as curve 710 ) to Ar gas pressure relatively insensitive.

Beispielhaft zeigt Kurve 704, dass für einen N2 Plasmagasfluss von 1 slm, der NF3 Dissoziationsprozentsatz von 100 sccm von ungefähr 15% NF3 Dissoziation mit einem Plasmagasdruck von 1 Torr auf ungefähr 42% NF3 Dissoziation mit einem Plasmagasdruck von 3 Torr zunimmt. Kurve 708 zeigt, dass für einen Gasfluss des Plasmas O2/N2 von 4/0.4 slm, der NF3 Dissoziationsprozentsatz von 100 sccm von ungefähr 10% NF3 Dissoziation mit einem Plasmagasdruck von 1 Torr auf ungefähr 90% NF3 Dissoziation mit einem Plasmagasdruck von 6 Torr steigt. Kurve 710 zeigt, dass für einen Ar Plasmagasfluss von 6 slm, der NF3 Dissoziationsprozentsatz von 100 sccm ungefähr 19% mit einem PlasmaGasdruck von 2 Torr, 22% mit einem Plasmagasdruck von 6 Torr und ungefähr 21% mit einem Plasmagasdruck von 10 Torr ist.Example shows curve 704 in that for a N 2 plasma gas flow of 1 slm, the NF3 dissociation percentage increases from 100 sccm from about 15% NF 3 dissociation with a plasma gas pressure of 1 Torr to about 42% NF 3 dissociation with a plasma gas pressure of 3 Torr. Curve 708 shows that for a gas flow of the plasma O 2 / N 2 of 4 / 0.4 slm, the NF3 dissociation percentage of 100 sccm from about 10% NF 3 dissociation with a plasma gas pressure of 1 Torr to about 90% NF 3 dissociation with a plasma gas pressure of 6 Torr rises. Curve 710 shows that for an Ar plasma gas flow of 6 slm, the NF3 dissociation percentage of 100 sccm is about 19% with a plasma gas pressure of 2 Torr, 22% with a plasma gas pressure of 6 Torr and about 21% with a plasma gas pressure of 10 Torr.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem früher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasarten, -flussraten und -drücke gemessen.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the previously mentioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was measured with the Nicolet sensor for the different plasma gas species, flow rates and pressures.

Ein anderes Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Zuführungsquelle 104 von 2A und 2B wurde verwendet, um NF3 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (NF3) wird an ungefähr 1 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt. 8 zeigt ein Diagramm, das das Ergebnis der NF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 812 des Diagramms 800 ist der NF3 Dissoziationsprozentsatz. Die X-Achse 816 des Diagramms 800 ist die Downstream NF3 Flussrate in sccm.Another experiment was performed to dissociate NF 3 . The source of supply 104 from 2A and 2 B was used to NF 3 in the field 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. The downstream gas (NF 3 ) is at about 1 centimeter (that is, the distance 148 ) with respect to the outlet 172 the plasma chamber 108 introduced. 8th shows a diagram showing the result of NF 3 dissociation using a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 812 of the diagram 800 is the NF3 dissociation percentage. The X-axis 816 of the diagram 800 is the downstream NF3 flow rate in sccm.

Kurve 804 auf dem Diagramm 800 von der 8 zeigt, dass für das Plasmasgas O2/N2 mit einer Flussrate von 4/0,4 slm und einem Druck von 5 Torr, der NF3 Dissoziationsprozentsatz, von einer NF3 Flussrate von ungefähr 25 sccm bis einer NF3 Flussrate von ungefähr 200 sccm, beim ungefähr 75% bleibt. Es zeigt, dass unter diesen Betriebsbedingungen der Dissoziationsprozentsatz von NF3 zur Flussrate von NF3 verhältnismäßig unempfindlich ist, welches durch das verhältnismäßig konstant bleibende Dissoziationsprozentsatz von NF3 (Kurve 804) belegt wird. Kurve 806 auf dem Diagramm 800 von 8 zeigt, dass für ein Ar Plasmagas mit einer Flussrate von ungefähr 6 slm und einem Druck von 6 Torr, der NF3 Dissoziationsprozentsatz von ungefähr 40% mit einer NF3 von ungefähr 50 sccm bis auf ungefähr 15% mit einer NF3 Flussrate von ungefähr 200 sccm abnimmt.Curve 804 on the diagram 800 of the 8th shows that for the plasma gas O 2 / N 2 with a flow rate of 4 / 0.4 slm and a pressure of 5 Torr, the NF3 dissociation percentage, from an NF 3 flow rate of about 25 sccm to a NF 3 flow rate of about 200 sccm while remaining at about 75%. It shows that under these operating conditions the dissociation percentage of NF 3 to the flow rate of NF 3 is relatively insensitive, which is due to the relatively constant dissociation percentage of NF 3 (curve 804 ) is occupied. Curve 806 on the diagram 800 from 8th shows that for an Ar plasma gas at a flow rate of about 6 slm and a pressure of 6 Torr, the sccm NF3 dissociation of about 40% with a NF 3 of approximately 50 to approximately 15% with a NF 3 flow rate of about 200 sccm decreases.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher beschriebenen Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Betriebsbedingungen des Gasdissoziationssystems 100 gemessen.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the previously described Nicolet 510P Sensor measured. In the experiment, no SiF4 was used with the Nicolet sensor for the different operating conditions of the gas dissociation system 100 measured.

Zur Veranschaulichung wurde ein anderes Experiment durchgeführt, um CF4 zu dissoziieren. Die Zuführungsquelle 104 von 3A und 3B wurde verwendet, um 100 sccm von CF4 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Ein Winkel von 30 Grad wurde für den Winkel 304 für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (CF4) wird an ungefähr 0,5 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt. 9 zeigt ein Diagramm 900, das das Ergebnis der CF4 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 912 des Diagramms 900 ist der Dissoziationsprozentsatz von CF4. Die X-Achse 916 des Diagramms 900 ist die Gasflussrate in Standard Liter pro Minute des Plasmagases (N2 (Kurve 904); O2/N2 (Kurve 908); O2; und Ar) das in die Kammer 108 durch die Plasmagasquelle 112 eingeführt wird.As an illustration, another experiment was performed to dissociate CF 4 . The source of supply 104 from 3A and 3B was used to 100 sccm of CF 4 in the range 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. An angle of 30 degrees was used for the angle 304 for each of the inlets 180 preselected. The Downstream gas (CF4) is at approximately 0.5 centimeters (that is, the distance 148 ) with respect to the outlet 172 the plasma chamber 108 introduced. 9 shows a diagram 900 that is the result of CF 4 dissociation, which involves a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 912 of the diagram 900 is the dissociation percentage of CF 4 . The X-axis 916 of the diagram 900 is the gas flow rate in standard liters per minute of plasma gas (N 2 (curve 904 ); O 2 / N 2 (curve 908 ); O 2 ; and Ar) that in the chamber 108 through the plasma gas source 112 is introduced.

9 stellt dar, dass das bei 100 sccm von downstreamm CF4 Fluss der CF4 Dissoziationsprozentsatz zunimmt, wenn die Plasmagasflussrate sich erhöht. Beispielhaft zeigt Kurve 904, dass für ein N2 Plasmagas, der Dissoziationsprozentsatz eines CF4 Flusses von 100 Standard Kubikzentimeter pro Minute von CF4 von ungefähr 10% CF4 Dissoziation mit einer N2 Plasmagasflussrate bei ungefähr 1,0 slm auf ungefähr 32% CF4 Dissoziation mit einer N2 Plasmagasflussrate bei ungefähr 3 slm sich erhöht. Kurve 908 zeigt, dass für das Plasmas O2/N2, der Dissoziationsprozentsatz einer CF4 Flussrate von 100 sccm von ungefähr 5% CF4 Dissoziation mit einer Gasflussrate des Plasmas O2/N2 von ungefähr 2,0/0.2 slm auf ungefähr 46% CF4 Dissoziation mit einer Gasflussrate des Plasmas O2/N2 von ungefähr 5,0/0,5 slm steigt. 9 Figure 4 illustrates that at 100 sccm from the downstream CF 4 flux, the CF 4 dissociation percentage increases as the plasma gas flow rate increases. Example shows curve 904 in that for an N 2 plasma gas, the dissociation percentage of a CF 4 flow of 100 standard cubic centimeters per minute of CF 4 from about 10% CF 4 dissociation with an N 2 plasma gas flow rate at about 1.0 slm to about 32% CF4 dissociation with an N 2 plasma gas flow rate increases at about 3 slm. Curve 908 shows that for the plasma O 2 / N 2 , the dissociation percentage of a CF 4 flow rate of 100 sccm of about 5% CF 4 dissociation with a gas flow rate of the plasma O 2 / N 2 from about 2.0 / 0.2 slm to about 46% CF 4 dissociation increases with a gas flow rate of plasma O 2 / N 2 of about 5.0 / 0.5 slm.

Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasarten und -flussrate gemessen.In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was measured with the Nicolet sensor for the different plasma gas species and flow rate.

Zu Veranschaulichung wurde ein anderes Experiment durchgeführt, um CF4 zu dissoziieren. Die Zuführungsquelle 104 von 3A und 3B wurde verwendet, um 100 sccm von CF4 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Ein Winkel von 30 Grad wurde für den Winkel 304 für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (CF4) wird an ungefähr 0,5 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt. 10 zeigt ein Diagramm 1000, das das Ergebnis der CF4 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 1012 des Diagramms 1000 ist der Dissoziationsprozentsatz von CF4. Die X-Achse 1016 des Diagramms 1000 ist der Gasdruck in Torr des Plasmagases (1 slm von N2; 4/0,4 slm von O2/N2 (Kurve 1004); 4 slm von O2 ; und 6 slm von Ar (Kurve 1008)).For illustration, another experiment was conducted to dissociate CF 4th The source of supply 104 from 3A and 3B was used to 100 sccm of CF 4 in the range 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. An angle of 30 degrees was used for the angle 304 for each of the inlets 180 preselected. The downstream gas (CF 4 ) is at about 0.5 centimeters (that is, the distance 148 ) with respect to the outlet 172 the plasma chamber 108 introduced. 10 shows a diagram 1000 that is the result of CF 4 dissociation, which involves a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 1012 of the diagram 1000 is the dissociation percentage of CF 4 . The X-axis 1016 of the diagram 1000 is the gas pressure in Torr of the plasma gas (1 slm of N 2 ; 4 / 0.4 slm of O 2 / N 2 (curve 1004 ); 4 slm of O 2 ; and 6 slm of Ar (curve 1008 )).

Kurve 1004 zeigt, dass für eine Gasflussrate des Plasmas O2/N2 von 4/0.4 slm, der Dissoziationsprozentsatz einer Flussrate von 100 Standardkubikzentimeter pro Minute von CF4 von ungefähr 5% CF4 Dissoziation mit einem Plasmagasdruck von 1,0 Torr auf ungefähr 39% CF4 Dissoziation mit einem Plasmagasdruck von 6 Torr sich erhöht. Kurve 1008 zeigt dass für eine Gasflussrate des Plasmas Ar von 6 slm, der Dissoziationsprozentsatz eines Flusses von 100 Standard Kubikzentimeter pro Minute von CF4 von ungefähr 20% CF4 Dissoziation mit einem Plasmagasdruck von 2,0 Torr auf ungefähr 25% CF4 Dissoziation mit einem Plasmagasdruck von 10 Torr sich erhöht Im Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem vorher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasarten, -flussraten und -drücke gemessen.Curve 1004 shows that for a gas flow rate of the plasma O 2 / N 2 of 4 / 0.4 slm, the dissociation percentage of a flow rate of 100 standard cubic centimeters per minute of CF 4 of about 5% CF 4 dissociation with a plasma gas pressure of 1.0 Torr to about 39% CF 4 dissociation increases with a plasma gas pressure of 6 Torr. Curve 1008 shows that for a gas flow rate of the plasma Ar of 6 slm, the dissociation percentage of a flow of 100 standard cubic centimeters per minute of CF 4 from about 20% CF 4 dissociation with a plasma gas pressure of 2.0 Torr to about 25% CF 4 dissociation with a plasma gas pressure increased by 10 Torr In the experiment, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was measured with the Nicolet sensor for the different plasma gas species, flow rates and pressures.

Zu Veranschaulichung wurde ein anderes Experiment durchgeführt, um CHF3 zu dissoziieren. Die Zuführungsquelle 104 von 3A und 3B wurde verwendet, um CHF3 in den Bereich 164 des Körpers 200 der Zuführungsquelle 104 einzuführen. Ein Innendurchmesser von ungefähr 0,5 Millimeter wurde für jeden der Einlässe 180 vorgewählt. Ein Winkel von 30 Grad wurde für den Winkel 304 für jeden der Einlässe 180 vorgewählt. Das Downstream-Gas (CF4) wird an ungefähr 0,5 Zentimeter (das heißt, der Abstand 148) in Bezug auf den Auslass 172 der Plasmakammer 108 eingeführt.As an illustration, another experiment was performed to dissociate CHF3. The source of supply 104 from 3A and 3B was used to CHF3 in the range 164 of the body 200 the source of supply 104 introduce. An inside diameter of about 0.5 millimeters became for each of the inlets 180 preselected. An angle of 30 degrees was used for the angle 304 for each of the inlets 180 preselected. The downstream gas (CF 4 ) is at about 0.5 centimeters (that is, the distance 148 ) with respect to the outlet 172 the plasma chamber 108 introduced.

11A zeigt ein Diagramm 1100, das das Ergebnis der CHF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Das Plasmagas ist eine Mischung von O2 und N2 bei einem Verhältnis von O2 zu N2 von 10:1. Die Y-Achse 1112 des Diagramms 1100 ist der Dissoziationsprozentsatz von CHF3. Die X-Achse 1116 des Diagramms 1100 ist die Gasflussrate in Standard Liter pro Minute des O2 im Plasmagas, das in die Kammer 108 durch die Plasmagasquelle 112 eingeführt wird. Kurve 1104 von 11A zeigt, dass für einen Plasmagasdruck von 1,5 Torr und ein Downstream CHF3 von 100 sccm, nahezu 100% Dissoziation von CHF3 erreicht wird, während die Flussrate von O2 im Plasmagas im Bereich von 1 slm bis zu 4 slm liegt. 11A shows a diagram 1100 that is the result of the CHF 3 dissociation, which involves a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The plasma gas is a mixture of O 2 and N 2 at a ratio of O 2 to N 2 of 10: 1. The Y-axis 1112 of the diagram 1100 is the dissociation percentage of CHF3. The X-axis 1116 of the diagram 1100 is the gas flow rate in standard liters per minute of O 2 in plasma gas entering the chamber 108 through the plasma gas source 112 is introduced. Curve 1104 from 11A shows that sccm for a plasma gas pressure of 1.5 Torr and a downstream CHF3 of 100, nearly 100% dissociation of CHF3 is achieved, while the flow rate of O 2 in the plasma gas in the range of 1 slm to 4 slm located.

11B zeigt ein Diagramm 1102, das das Ergebnis der CHF3 Dissoziation, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 1 erhalten wurde. Die Y-Achse 1114 des Diagramms 1102 ist der Dissoziationsprozentsatz von CHF3. Die X-Achse 1118 des Diagramms 1102 ist die Flussrate des Downstream CHF3 in sccm. Kurve 1108 der 11B zeigt, dass für eine Plasmagasflussrate von 4 slm von O2 und von 0.4 slm von N2 mit einem Druck von 1,5 Torr, nahezu 100% CHF3 Dissoziation erreicht wird, während die Downstream CHF3 Flussrate im Bereich von 100 sccm bis zu 200 sccm reicht. 11B shows a diagram 1102 that is the result of the CHF 3 dissociation, which involves a gas dissociation system, such as the gas dissociation system 100 of the 1 was obtained. The Y-axis 1114 of the diagram 1102 is the dissociation percentage of CHF 3 . The X-axis 1118 of the diagram 1102 the downstream flow rate is CHF 3 in sc cm. Curve 1108 of the 11B shows that for a plasma gas flow rate of 4 slm of O 2 and 0.4 slm of N 2 at a pressure of 1.5 Torr, nearly 100% CHF 3 dissociation is achieved while the downstream CHF 3 flow rate ranges from 100 sccm up to 200 sccm is enough.

In den Experimenten wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 wurden mit dem vorher genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasdrücke und die Abstände 148 gemessen, unten denen das CHF3 (Downstream-Gas) in den Bereich 164 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird.In the experiments, minimal adverse effects of the dissociated downstream gas 152 on the quartz chamber 108 were with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was used with the Nicolet sensor for the different plasma gas pressures and the distances 148 measured below which the CHF 3 (downstream gas) in the range 164 in relation to the outlet 172 a quartz plasma chamber 108 is introduced.

In einer anderen Ausführungsform, die in der 12 gezeigt ist, verfügt das System 100 über eine Plasmagasquelle 112, die über eine Gasleitung 116 an der Plasmakammer 108 angeschlossen ist. Ein Plasmagenerator 184 erzeugt einen Plasmabereich 132 innerhalb der Plasmakammer 108. Das Plasma 132 enthält ein Plasma aktiviertes Gas 134, von dem ein Teil aus dem Plasmabereich 132 heraus fließt. Das System 100 weist eine Zuführungsquelle 104 auf. In dieser Ausführungsform weist die Zuführungsquelle 104 ein L-förmiges Rohr 190 auf, das zu einem Gaseinlass der Zuführungsquelle 104 verbunden ist. Das Rohr 190 leitet ein Gas (z. B. ein Downstream-Gas, das durch das aktivierte Gas 134 dissoziiert werden soll) in einen Bereich 192 des Systems 100 ein. Der Bereich 192 (das heißt, der Ort, an dem das aktivierte Gas 134 mit dem Downstream-Gas interagiert), hängt davon ab, wo ein Auslass 196 des Rohres 190 positioniert wird. Der Auslass 196 des Rohres 190 kann z. B. an einem Abstand 194 innerhalb des Auslasses 172 der Plasmakammer 108 angeordnet sein. Wahlweise kann der Auslass 196 des Rohres 190 an einem Abstand außerhalb des Auslasses 172 der Kammer 108, angeordnet sein, wenn, z. B. die Zuführungsquelle 104, stattdessen in eine Richtung weg vom Auslass 172 und in die Richtung zur Prozesskammer 156 verschoben wird. Auf dieser Weise kann das Downstream-Gas in das System 100 innerhalb oder außerhalb der Plasmakammer 108 eingeführt werden.In another embodiment, in the 12 shown, the system features 100 via a plasma gas source 112 that have a gas line 116 at the plasma chamber 108 connected. A plasma generator 184 creates a plasma area 132 within the plasma chamber 108 , The plasma 132 contains a plasma activated gas 134 , of which a part of the plasma area 132 flows out. The system 100 has a supply source 104 on. In this embodiment, the supply source 104 an L-shaped tube 190 up to a gas inlet of the feed source 104 connected is. The pipe 190 Forwards a gas (for example, a downstream gas that passes through the activated gas 134 to be dissociated) into one area 192 of the system 100 one. The area 192 (that is, the place where the activated gas 134 interacting with the downstream gas) depends on where an outlet 196 of the pipe 190 is positioned. The outlet 196 of the pipe 190 can z. B. at a distance 194 inside the outlet 172 the plasma chamber 108 be arranged. Optionally, the outlet 196 of the pipe 190 at a distance outside the outlet 172 the chamber 108 , be arranged when, for. B. the supply source 104 instead in a direction away from the outlet 172 and in the direction of the process chamber 156 is moved. In this way, the downstream gas can enter the system 100 inside or outside the plasma chamber 108 be introduced.

Zur Veranschaulichung wurde ein Experiment zur NF3 Dissoziation durchgeführt. Die Zuführungsquelle 104 der 12 wurde verwendet, um NF3 in den Bereich 192 des Systems 100 einzuführen. 13 zeigt ein Diagramm 1300, das das Ergebnis der Dissoziation NF3, die mit einem Gasdissoziationssystem, wie dem Gasdissoziationssystem 100 der 12 erhalten wurde. Die Y-Achse 1312 des Diagramms 1300 ist der Dissoziationsprozentsatz von NF3. Die X-Achse 1316 des Diagramms 1300 ist der Abstand, an dem das NF3 (Downstream-Gas) in den Bereich 192 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird. Während eines Tests im Rahmen des Experimentes, wurde das NF3 an einem Abstand 194 von ungefähr 0,5 Zentimeter innerhalb des Auslasses 172 der Kammer 108 eingeführt. Das NF3 wurde auch, während zusätzlichen Tests, an einem Abstand 148 (ungefähr 1,0 Zentimeter, 3,8 Zentimeter, 6,6 Zentimeter, 9,4 Zentimeter und 12,2 Zentimeter) außerhalb des Auslasses 172 der Kammer 108 eingeführt.As an illustration, an experiment for NF 3 dissociation was performed. The source of supply 104 of the 12 was used to NF 3 in the field 192 of the system 100 introduce. 13 shows a diagram 1300 that is the result of dissociation NF 3 , which involves a gas dissociation system, such as the gas dissociation system 100 of the 12 was obtained. The Y-axis 1312 of the diagram 1300 is the dissociation percentage of NF 3 . The X-axis 1316 of the diagram 1300 is the distance at which the NF 3 (downstream gas) is in the range 192 in relation to the outlet 172 a quartz plasma chamber 108 is introduced. During a test in the experiment, the NF 3 became at a distance 194 about 0.5 centimeters inside the outlet 172 the chamber 108 introduced. The NF 3 was also at a distance during additional tests 148 (about 1.0 centimeters, 3.8 centimeters, 6.6 centimeters, 9.4 centimeters and 12.2 centimeters) outside the outlet 172 the chamber 108 introduced.

13 zeigt, dass der Dissoziationsprozentsatz von NF3 für verschiedene Plasmagasarte, -flussraten und -drücke sich verringert (4 Standard Liter pro Minute (slm) von O2 bei 4 Torr (Kurve 1304); 3 slm von N2 bei 2 Torr; 10 slm von Ar bei 9 Torr; 6 slm von Ar bei 6 Torr; und 4 slm von O2 gemischt mit 0.4 slm von N2 bei 4 Torr (Kurve 1308)). Beispielhaft zeigt Kurve 1304, dass für einen Gasfluss des Plasmas O2 von der Plasmagasquelle 112 mit einer Rate von 4 Standard Liter pro Minute (slm) mit einem Druck von 4 Torr in der Plasmakammer 108, der Dissoziationsprozentsatz von 100 Standardkubikzentimeter pro Minute (sccm) von NF3 von ungefähr 90% NF3 Dissoziation an einem Abstand 194 von ungefähr 0,5 Zentimeter auf ungefähr 2% NF3 Dissoziation an einem Abstand 148 von ungefähr 12,2 Zentimeter sich verringert. Kurve 1308 zeigt, dass für eine Gasflussrate des Plasmas O2/N2 von 4/0.4 slm in die Plasmakammer 108 mit einem Druck von 4 Torr, der Dissoziationsprozentsatz von NF3 von ungefähr 81% NF3 Dissoziation an einem Abstand 194 von ungefähr 0,5 Zentimeter auf ungefähr 0% NF3 Dissoziation an einem Abstand 148 von ungefähr 12.2 Zentimeter sich verringert. 13 Figure 4 shows that the dissociation percentage of NF 3 for various plasma gas rates, flow rates and pressures decreases (4 standard liters per minute (slm) of O 2 at 4 torr (plot 1304 ); 3 slm of N 2 at 2 torr; 10 slm of Ar at 9 Torr; 6 slm of Ar at 6 Torr; and 4 slm of O 2 mixed with 0.4 slm of N 2 at 4 torr (curve 1308 )). Example shows curve 1304 That for a gas flow of the plasma O 2 by the plasma gas source 112 at a rate of 4 standard liters per minute (slm) with a pressure of 4 Torr in the plasma chamber 108 , the dissociation percentage of 100 standard cubic centimeters per minute (sccm) of NF 3 of about 90% NF 3 dissociation at a distance 194 from about 0.5 centimeters to about 2% NF 3 dissociation at a distance 148 reduced by about 12.2 centimeters. Curve 1308 shows that for a gas flow rate of the plasma O 2 / N 2 of 4 / 0.4 slm in the plasma chamber 108 with a pressure of 4 Torr, the percent dissociation of NF3 of about 81% NF 3 dissociation at a distance 194 from about 0.5 centimeters to about 0% NF 3 dissociation at a distance 148 reduced by about 12.2 centimeters.

In dem Experiment wurden minimale schädliche Wirkungen des dissoziierten Downstream-Gases 152 auf die Quarzkammer 108 mit dem zuvor genannten Nicolet 510P Sensor gemessen. Im Experiment wurde kein SiF4 mit dem Nicolet Sensor für die verschiedenen Plasmagasdrücke und Abstände 194 und 148 gemessen, unten denen das NF3 (Downstream-Gas) in den Bereich 192 in Bezug auf den Auslass 172 einer Quarzplasmakammer 108 eingeführt wird.In the experiment, minimal harmful effects of the dissociated downstream gas 152 on the quartz chamber 108 with the aforementioned Nicolet 510P Sensor measured. In the experiment, no SiF4 was used with the Nicolet sensor for the different plasma gas pressures and spacings 194 and 148 measured below which the NF 3 (downstream gas) in the range 192 in relation to the outlet 172 a quartz plasma chamber 108 is introduced.

14 ist eine schematische Querschnittsansicht eines Teils eines Gasdissoziationssystems (z. B. das System 100 der 1) einschließlich einer Zuführungsquelle 104, die verwendet wurde bei der Erzeugung dissoziierter Gase, das die Erfindung verwirklicht. Ein Körper 200 der Zuführungsquelle 104 ist an den Auslass 172 der Plasmakammer 108 angeschlossen (nur ein Teil der Kammer 108 wird abgebildet zwecks der Klarheit der Abbildung). Die Quelle 104 hat sechs Einlässe 180a, 180b, 180c, 180d, 180e und 180f (im Allgemeinen 180), die sich durch den Körper 200 der Quelle 104 erstrecken. Die Einlässe 180b, 180c, 180e und 180f sind nicht abbildet zwecks der Klarheit der Abbildung. Die Einlässe 180 erstrecken sich jeweils mit einem Winkeln 304 von Öffnungen in einer Außenfläche 204 des Körpers 200 zu Öffnungen entlang einer inneren Oberfläche 214 des Bereichs 164 des Körperteils 200. Die Einlässe 180 sind an eine Downstream-Gas-Quelle angeschlossen (z. B. die Gasquelle 136 der 1), um einen Fluss des Downstream-Gases über die Einlässe 180 zu den Bereich 164 bereitzustellen. 14 Figure 4 is a schematic cross-sectional view of a portion of a gas dissociation system (eg, the system 100 of the 1 ) including a supply source 104 used in the production of dissociated gases embodying the invention. A body 200 the source of supply 104 is at the outlet 172 the plasma chamber 108 connected (only part of the chamber 108 is shown for the sake of clarity of illustration). The source 104 has six inlets 180a . 180b . 180c . 180d . 180e and 180f (in general 180 ), which are through the body 200 the source 104 extend. The inlets 180b . 180c . 180e and 180f are not pictured for the sake of clarity of picture. The inlets 180 each extend at an angle 304 of openings in an outer surface 204 of the body 200 to openings along an inner surface 214 of the area 164 of the body part 200 , The inlets 180 are connected to a downstream gas source (eg the gas source 136 of the 1 ) to a flow of the downstream gas through the inlets 180 to the area 164 provide.

Plasma aktiviertes Gas 134 fließt in den Bereich 164 durch den Auslass 172 der Plasmakammer 108 ein. Reaktionen zwischen dem Downstream-Gas und Plasma aktivierten Gas 134 treten auf, wenn die zwei Gasströme gemischt werden. Das Fördern des Mischens der Gase verbessert die Dissoziation des Downstream-Gases. In einigen Ausführungsformen, ist es vorteilhaft, das Gasmischen nah an dem Auslass 172 der Plasmakammer stattfindet. Auf dieser Weise kann das Mischen einen minimalen Effekt auf das dissoziierte Gas haben, wenn es z. B. eine Prozesskammer einfließt.Plasma activated gas 134 flows into the area 164 through the outlet 172 the plasma chamber 108 one. Reactions between the downstream gas and plasma activated gas 134 occur when the two gas streams are mixed. The promotion of the mixing of the gases improves the dissociation of the downstream gas. In some embodiments, it is advantageous to mix the gas close to the outlet 172 the plasma chamber takes place. In this way, mixing may have a minimal effect on the dissociated gas, if e.g. B. enters a process chamber.

In einigen Ausführungsformen weist das System eine Vorrichtung zum Mischen von Gas auf. Verschiedene statische Flussmischer, wie Schraubenmischer, Messermischer und Zylinderförmiger Schichtmischer, können benutzt werden, um Downstream-Gas und das Plasma aktivierte Gas 134 zu mischen. Mit Bezug auf 14 ist in dieser Ausführungsform der Durchmesser 1404 von dem Bereich 164 größer als der Durchmesser 1408 des Auslasses 172 der Plasma kammer. Eine plötzliche Aufweitung des Durchmessers des Flussdurchganges wegen eines Überganges in Durchmesser 1408 des Auslass 172 auf den Durchmesser 1404 von dem Bereich 164 verursacht Turbulenz- und Gasrezirkulation in den Bereich 164 angesichts des aktivierten Gasfluss 134. Das verbesserte Mischen durch die Turbulenz und die Rezirkulation verbesserte die Dissoziation des Downstream-Gases.In some embodiments, the system includes a gas mixing device. Various static flow mixers, such as screw mixers, knife mixers and cylindrical layer mixers, can be used to process downstream gas and plasma activated gas 134 to mix. Regarding 14 is the diameter in this embodiment 1404 from the area 164 bigger than the diameter 1408 the outlet 172 the plasma chamber. A sudden widening of the diameter of the flow passage due to a transition in diameter 1408 the outlet 172 on the diameter 1404 from the area 164 causes turbulence and gas recirculation in the area 164 given the activated gas flow 134 , The improved mixing by turbulence and recirculation improved the dissociation of the downstream gas.

15A und 15B sind Querschnittansichten einer Gasdissoziationsquelle (z. B. die Quelle der 1 oder eine andere Quelle, die nicht Downstream Prozesse verwendet, um Gas zu dissoziieren) einschließlich einer Zuführungsquelle 104 zur Gasdissoziation, welches die Erfindung verwirklicht. Ein Körper 200 der Zuführungsquelle 104 wird an den Auslass 172 der Plasmakammer 108 angeschlossen (nur ein Teil der Kammer 108 wird zwecks Klarheit der Abbildung gezeigt). Die Plasmakammer 108 hat einen Flansch 1516. Ein O-Ring 1504 (oder ein anderer geeigneter Dichtungsmechanismus) stellt eine Dichtung (z. B. eine Vakuumdichtung) zwischen dem Flansch 1516 und einem Teil 1500 der Gasdissoziationsquelle bereit. In einigen Ausführungsformen, stößt der Flansch 1516 gegen den Körper 200. In einigen Ausführungsformen berührt jedoch der Flansch 1516 nicht den Körper 200. 15B ist eine vergrößerte Ansicht eines Teils der Gasdissoziationsquelle in der 15A. 15A and 15B Fig. 15 are cross-sectional views of a gas dissociation source (e.g., the source of the gas 1 or another source that does not use downstream processes to dissociate gas), including a source of supply 104 for gas dissociation, which realizes the invention. A body 200 the source of supply 104 gets to the outlet 172 the plasma chamber 108 connected (only part of the chamber 108 is shown for clarity of illustration). The plasma chamber 108 has a flange 1516 , An O-ring 1504 (or another suitable sealing mechanism) places a seal (eg, a vacuum seal) between the flange 1516 and a part 1500 the gas dissociation source ready. In some embodiments, the flange abuts 1516 against the body 200 , However, in some embodiments, the flange contacts 1516 not the body 200 , 15B FIG. 14 is an enlarged view of a portion of the gas dissociation source in FIG 15A ,

In dieser Ausführungsform weist das System ein Merkmal 1512 auf, das einen Spalt 1508 zwischen dem Flansch 1516 und dem Körper 200 der Zuführungsquelle 104 erzeugt. Der Spalt 1508 verringert (z. B. setzt herab oder hemmt), den Transport der angeregten Gase, die sich innerhalb des Körpers 200 der Zuführungsquelle 104 befindet, zu dem O-Ring 1504. In dieser Ausführungsform ist Der Spalt 1508 ein langer, schmaler Spalt 1508. In dieser Ausführungsform weist der Flansch 1516 Quarz auf und das Merkmal 1512 ist ein Aluminiumflansch 1512. Das Aluminiummerkmal 1512 schützt den Quarzflansch 1516 vor den fluorhaltigen Gasen, die sich innerhalb des Körperteils 200 der Zuführungsquelle 104 sich befinden. In dieser Ausführungsform begrenzt das Merkmal 1512 auch Reiben zwischen dem Flansch 1516 und dem Körper 200. Auf dieser Weise wird Partikelerzeugung verringert, weil der Flansch 1516 nicht direkt gegen den Körper 200 reibt. Zusätzlich ist die Lebensdauer des Systems (z. B. der O-Ring 1504 und der Flansch 1516) verlängert.In this embodiment, the system has a feature 1512 on, that's a crack 1508 between the flange 1516 and the body 200 the source of supply 104 generated. The gap 1508 Decreases (eg, lowers or inhibits) the transport of the excited gases that are inside the body 200 the source of supply 104 located to the O-ring 1504 , In this embodiment, the gap is 1508 a long, narrow gap 1508 , In this embodiment, the flange 1516 Quartz on and the feature 1512 is an aluminum flange 1512 , The aluminum feature 1512 protects the quartz flange 1516 in front of the fluorine-containing gases that are inside the body part 200 the source of supply 104 to find oneself. In this embodiment, the feature limits 1512 also rubbing between the flange 1516 and the body 200 , In this way, particle production is reduced because of the flange 1516 not directly against the body 200 rubs. In addition, the life of the system (such as the O-ring 1504 and the flange 1516 ) extended.

Wie oben bemerkt, ist der Spalt 1508 ein langer und schmaler Spalt. In einigen Ausführungsformen ist die Länge des Spalts mindestens ein Zehntel von einem Zoll (2.54 Millimeter). In anderen Ausführungsformen ist die Länge des Spalts zwischen ungefähr zwei Zehntel von einem Zoll (5,08 Millimeter) und zwei Zoll (50,8 Millimeter). Zusätzlich ist die Breite des Spalts (Abstand entlang des Y-Achses) schmal um die Kontaminierung zu beschränken. In einigen Ausführungsformen hat der Spalt eine Breite zwischen ungefähr Zehntel von einem Mal (0,0025 Millimeter) und sechzig Mil (1,524 Millimeter). In anderen Ausführungsformen hat der Spalt eine Breite zwischen ungefähr einem Mil (0,025 Millimeter) und Zwanzig Mil (0,508 Millimeter).As noted above, the gap is 1508 a long and narrow gap. In some embodiments, the length of the gap is at least one-tenth of one inch (2.54 millimeters). In other embodiments, the length of the gap is between about two-tenths of an inch (5.08 millimeters) and two inches (50.8 millimeters). In addition, the width of the gap (distance along the Y-axis) is narrow to limit the contamination. In some embodiments, the gap has a width between about one-tenth of one-time (0.0025 millimeters) and sixty mils (1.524 millimeters). In other embodiments, the gap has a width of between about one mil (0.025 millimeters) and twenty mils (0.508 millimeters).

In alternativen Ausführungsformen der Erfindung kann der Gebrauch von einer Ringdichtung als das Merkmal 1512 in Betracht gezogen werden. In einer Ausführungsform, ist das Merkmal 1512 eine Ringdichtung, welche Schmutzbeständiges Material (das heißt, ein Material das nicht erheblich mit aktiviertem Gas in dem Körper 200 der Einspritzquelle 104 interagiert, wie Aluminium). Die Ringdichtung wird innerhalb seines elastischen Verformungsbereichs komprimiert und würde leichte Kompression und die glatten Schnitstelle haben, damit Verkratzen des Flansches 1516 (z. B. ein Quarzflansch) minimiert wird.In alternative embodiments of the invention, the use of a ring seal as the feature 1512 be considered. In one embodiment, the feature is 1512 a ring seal which is a soot-resistant material (that is, a material that does not significantly interfere with activated gas in the body 200 the injection source 104 interacts, like aluminum). The ring seal is compressed within its elastic deformation range and would have slight compression and smooth interface for scratching the flange 1516 (eg a quartz flange) is minimized.

In alternativen Ausführungsformen der Erfindung werden der Gebrauch von alternativen Materialien (z. B. Saphir, Nitride) zum Produzieren von Flansch 1516 und Merkmal 1512 in Erwägung gezogen.In alternative embodiments of the invention, the use of alternative materia (eg sapphire, nitrides) to produce flange 1516 and feature 1512 considered.

In einigen Ausführungsformen können alternative Dichtungselemente oder -komponente benutzt werden, um eine Dichtung zwischen dem Flansch 1516 und dem Teil 1500 der Gasdissoziationsquelle zur Verfügung zu stellen. Eine Ringdichtung konnte stattdessen zwischen dem Flansch 1516 und dem Teil 1500 der Gaszuführungsquelle benutzt werden.In some embodiments, alternative sealing members or components may be used to seal between the flange 1516 and the part 1500 to provide the gas dissociation source. A ring seal could instead be between the flange 1516 and the part 1500 the gas supply source can be used.

In einigen Ausführungsformen ist eine Dichtung zwischen alternativen Teilen des Systems zur Verfügung gestellt (z. B. zwischen einem anderen Teil der Kammer 108 und einer entsprechenden Position des Gasdissoziationssystems).In some embodiments, a seal is provided between alternative parts of the system (eg, between another part of the chamber 108 and a corresponding position of the gas dissociation system).

In einigen Ausführungsformen weist die Gasdissoziationsquelle einen Reinigungsgaseinlass auf, der (nicht dargestellt) zwischen dem O-Ring 1504 und dem Spalt 1508 oder dem Merkmal 1512 angeordnet ist. Reinigungsgas kann über Reinigungsgaseinlass eingeführt werden, um den O-Ring 1504 weiter zu schützen.In some embodiments, the gas dissociation source has a purge gas inlet (not shown) between the O-ring 1504 and the gap 1508 or the characteristic 1512 is arranged. Purge gas can be introduced through purge gas inlet to the O-ring 1504 continue to protect.

16 ist eine isometrische Querschnittansicht eines Teils einer Gasdissoziationsquelle, wie der Gasdissoziationsquelle, die in 15A und 15B gezeigt ist. In dieser Ausführungsform ist das Merkmal 1512 eine ringförmige Struktur, die zwischen dem Flansch 1516 und dem Körper 200 der Zuführungsquelle 104 angeordnet ist. 16 FIG. 12 is an isometric cross-sectional view of a portion of a gas dissociation source, such as the gas dissociation source, shown in FIG 15A and 15B is shown. In this embodiment, the feature is 1512 an annular structure between the flange 1516 and the body 200 the source of supply 104 is arranged.

17 ist eine schematische Abbildung eines Teils einer Gasdissoziationsquelle 100, welche Prinzipien der Erfindung verwirklicht. Die Quelle 100 weist eine Kammer 108 auf. In dieser Ausführungsform ist die Kammer 108 eine toroidförmige Kammer. Die Quelle 100 weist auch eine Zuführungsquelle 104 auf. Der Körper 200 der Zuführungsquelle 104 ist von einem Flansch 1516 der Kammer 108 durch einen langen, schmalen Spalt getrennt (nicht gezeigt zwecks Klarheit der Abbildung). Der Spalt wird gewonnen, indem man ein Merkmal 1512 zwischen dem Flansch 1516 und dem Körper 200 der Zuführungsquelle anordnet, ähnlich wie vorher beschrieben. 17 Figure 3 is a schematic illustration of a portion of a gas dissociation source 100 which embodies principles of the invention. The source 100 has a chamber 108 on. In this embodiment, the chamber 108 a toroidal chamber. The source 100 also has a supply source 104 on. The body 200 the source of supply 104 is from a flange 1516 the chamber 108 separated by a long, narrow gap (not shown for clarity of illustration). The gap is won by adding a feature 1512 between the flange 1516 and the body 200 arranges the supply source, similar to previously described.

In einigen Ausführungsformen können, die in Zusammenhang mit 1517 beschriebenen Konzepte in Plasmasystemen verwendet werden, welches zur Downstream Dissoziation von Gasen fähig sind. In anderen Ausführungsformen können diese Konzepte in Plasmasystemen angewendet werden, die Gasdissoziation ohne Verwendung von Downstream Techniken bewerkstelligen.In some embodiments, those associated with 15 - 17 described concepts are used in plasma systems, which are capable of the downstream dissociation of gases. In other embodiments, these concepts may be applied in plasma systems that accomplish gas dissociation without the use of downstream techniques.

18A und 18B sind Querschnittansichten einer Gasdissoziationsquelle, welche die Erfindung verwirklicht. Die Quelle enthält eine Zuführungsquelle 104, die beim Erzeugen dissoziierter Gase verwendet wird. Ein Körper 200 der Zuführungsquelle 104 ist an den Auslass 172 der Plasmakammer 108 angeschlossen (nur ein Teil der Kammer 108 gezeigt zwecks Klarheit der Abbildung). Die Plasmakammer 108 hat einen Flansch 1816. Ein O-Ring 1804 (oder ein anderer geeigneter Dichtungsmechanismum) stellt eine Dichtung zwischen dem Flansch 1816 und einem oder mehreren Teilen der Gasdissoziationsquelle bereit. 18A and 18B Figure 11 are cross-sectional views of a gas dissociation source embodying the invention. The source contains a supply source 104 used in generating dissociated gases. A body 200 the source of supply 104 is at the outlet 172 the plasma chamber 108 connected (only part of the chamber 108 shown for clarity of illustration). The plasma chamber 108 has a flange 1816 , An O-ring 1804 (or another suitable sealing mechanism) provides a seal between the flange 1816 and one or more portions of the gas dissociation source.

In dieser Ausführungsform stellt der O-Ring 1804 eine Dichtung zwischen einem Ring 1800 der Zuführungsquelle 104, einem Ring 1818 des Flansches 1816 und einem Teil der Zuführungsquelle 104 zur Verfügung. In dieser Ausführungsform hat der Flansch 1816 einen Ring 1818 und einen Schlitz 1820. Die Zuführungsquelle 104 hat den Ring 1800, eine Abschirmwand 1822 und eine Nut 1824. Die Nut 1824 ist zwischen dem Ring 1800 und der Abschirmwand 1822 angeordnet (entlang der X-Achse). 18B ist eine vergrößerte Ansicht eines Teils der Gasdissoziationsquelle, die in der 18A gezeigt wird. Wie in 18B gezeigt ist der Ring 1818 des Flansches 1816 in der Nut 1824 der Zuführungsquelle 104. Die Abschirmwand 1822 der Zuführungsquelle 104 ist im Schlitz 1820 des Flansches 1816.In this embodiment, the O-ring 1804 a seal between a ring 1800 the source of supply 104 , a ring 1818 of the flange 1816 and a part of the supply source 104 to disposal. In this embodiment, the flange has 1816 a ring 1818 and a slot 1820 , The source of supply 104 has the ring 1800 , a shielding wall 1822 and a groove 1824 , The groove 1824 is between the ring 1800 and the shielding wall 1822 arranged (along the X-axis). 18B FIG. 11 is an enlarged view of a portion of the gas dissociation source shown in FIG 18A will be shown. As in 18B shown is the ring 1818 of the flange 1816 in the groove 1824 the source of supply 104 , The shielding wall 1822 the source of supply 104 is in the slot 1820 of the flange 1816 ,

In dieser Ausführungsform weist das System ein Merkmal 1812 zwischen dem Flansch 1816 und dem Körper 200 der Zuführungsquelle 104 auf. Die Abschirmwand 1822, Schlitz 1820, Ring 1818, Nut 1824 und Ring 1800 in der Kombination definieren einen Spalt 1808, der einen im Allgemeinen Umweg zwischen der Position des Auslasses 172 der Kammer 108 und des O-Ringes 1804 definiert. Das Vorhandensein des Spalts 1808 und des Umwegs verringern (z. B. minimieren oder unterdrücken), den Transport der angeregten Gase, die sich innerhalb des Körpers 200 der Zuführungsquelle 104 befinden, zu dem O-Ring 1804.In this embodiment, the system has a feature 1812 between the flange 1816 and the body 200 the source of supply 104 on. The shielding wall 1822 , Slot 1820 , Ring 1818 , Groove 1824 and ring 1800 in the combination define a gap 1808 , which generally detours between the position of the outlet 172 the chamber 108 and the O-ring 1804 Are defined. The presence of the gap 1808 and reduce the detour (for example, minimize or suppress), the transport of the excited gases that are inside the body 200 the source of supply 104 to the O-ring 1804 ,

18C ist eine vergrößerte Ansicht eines Teils der Gasdissoziationsquelle, die in der 18A gezeigt ist, die exemplarisch Dimensionen für eine Ausführungsform der Erfindung veranschaulicht. In dieser Ausführungsform ist die Weglänge entlang des Umwegs von der Position des Auslasses 172 zum O-Ring 1804 ungefähr 22,86 Millimeter (0.9 Zoll). In dieser Ausführungsform sind Abstand a, b und c jeweils ungefähr 0,381 Millimeter (0,015 Zoll). Abstand d ist ungefähr 4,98 Millimeter (0.9 Zoll). Abstand e ist ungefähr 4,32 Millimeter (0,17 Zoll). Abstand f ist ungefähr 3.76 Millimeter (0,148 Zoll). Abstand g ist ungefähr 8.20 Millimeter (0.323 Zoll). Abstand h ist ungefähr 2.72 Millimeter (0,107 Zoll). Abstände I, J und k sind jeder ungefähr 0,508 Millimeter (0,02 Zoll). In alternative Ausführungsformen der Erfindung können alternative Geometrien, Formen, Merkmale und Abmessungen haben, und dennoch z. B. den Transport der Gase, die sich im Körper 200 der Zuführungsquelle 104 befinden, zu dem O-Ring minimieren und unterdrücken und den Prozess des Zusammenfügens des Systems vereinfachen. 18C FIG. 11 is an enlarged view of a portion of the gas dissociation source shown in FIG 18A which exemplifies dimensions for one embodiment of the invention. In this embodiment, the path length is along the detour from the position of the outlet 172 to the O-ring 1804 about 22.86 millimeters (0.9 inches). In this embodiment, distances a, b, and c are each about 0.381 millimeters (0.015 inches). Distance d is about 4.98 millimeters (0.9 inches). Distance e is about 4.32 millimeters (0.17 inches). Distance f is about 3.76 millimeters (0.148 inches). Distance g is about 8.20 mm (0.323 inches). Distance h is about 2.72 millimeters (0.107 inches). Distances I, J, and k are each about 0.508 mm (0.02 inches). In alternative embodiments of the invention, alternative geometries, shapes, Have features and dimensions, and yet z. As the transport of gases, which are in the body 200 the source of supply 104 Minimize and suppress the O-ring and simplify the process of assembling the system.

19 zeigt eine dreidimensionale Perspektivansicht eines Teils des Körpers 200 der Zuführungsquelle 104 von 18A, 18B und 18C. Die Zuführungsquelle 104 hat einen äußeren Ring 1800, eine Abschirmwand 1822 und eine Nut 1824. Die Nut 1824 ist zwischen dem Ring 1800 und der Abschirmwand 1822 angeordnet. 19 shows a three-dimensional perspective view of a part of the body 200 the source of supply 104 from 18A . 18B and 18C , The source of supply 104 has an outer ring 1800 , a shielding wall 1822 and a groove 1824 , The groove 1824 is between the ring 1800 and the shielding wall 1822 arranged.

20A und 20B sind schematische Abbildungen einer toroidförmigen Plasmakammer, z. B. der Plasmakammer 108 von 18A, 18B und 18C. Die Plasmakammer 108 hat einen Ring 1818, einen Flansch 186 und einen Schlitz 1820. 20A and 20B are schematic illustrations of a toroidal plasma chamber, z. B. the plasma chamber 108 from 18A . 18B and 18C , The plasma chamber 108 has a ring 1818 , a flange 186 and a slot 1820 ,

Den Fachleuten werden Variationen, Modifikationen und andere Implementierungen dessen einfallen, was hierin beschrieben ist, ohne vom Geist und vom Bereich der Erfindung wie beansprucht abzuweichen. Dementsprechend soll die Erfindung nicht durch die vorhergehende illustrative Beschreibung, sondern durch den Geist und den Bereich der folgenden Ansprüche definiert sein.The Experts will get variations, modifications and other implementations to think of what is described herein without the mind and to depart from the scope of the invention as claimed. Accordingly the invention should not be limited by the preceding illustrative description, but defined by the spirit and scope of the following claims be.

ZUSAMMENFASSUNGSUMMARY

Ein Verfahren und eine Vorrichtung zum Aktivieren und Dissoziieren von Gasen weist auf, ein aktiviertes Gas mit einem Plasma, das sich in einer Kammer befindet, zu erzeugen. Ein Downstream-Gas-Einlass ist in Bezug auf einen Auslass der Kammer angeordnet, um es dem aktivierten Gas zu ermöglichen, Dissoziation eines durch den Gas-Einlass eingeführten Downstream-Gases zu fördern, wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert.One Method and device for activating and dissociating Gases indicates an activated gas with a plasma that is in a chamber. A downstream gas inlet is arranged with respect to an outlet of the chamber to make it the to enable activated gas Dissociation of a introduced through the gas inlet downstream gas to promote, wherein the dissociated downstream gas does not significantly interfere with an interior surface of the Chamber interacts.

Claims (42)

System zum Anregen von Gasen, aufweisend: eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammner, worin das Plasma ein aktiviertes Gas erzeugt; eine Zuführungsquelle zum Einführen eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert; und ein Merkmal zum Bereitstellen eines Spalts zwischen einem Auslassflansch der Kammer und der Zuführungsquelle.System for exciting gases, comprising: a remote plasma source for generating a plasma region in one Kammner, wherein the plasma generates an activated gas; a supply source for insertion of a downstream gas, with the activated gas outside to interact with the plasma region, the activated gas excitation promotes the downstream gas and wherein the stimulated downstream gas is not significant with a inner surface the chamber interacts; and a feature for providing a Gaps between an outlet flange of the chamber and the supply source. System nach Anspruch 1, worin der Spalt ein langer, schmaler Spalt ist, der den Transport von angeregten Gasen zu einer Dichtung verringert, die zwischen dem Auslassflansch der Kammer und einem Abschnitt des Systems angeordnet ist.The system of claim 1, wherein the gap is a long, narrow gap is the transport of excited gases to one Gasket decreases between the outlet flange of the chamber and a portion of the system. System nach Anspruch 1, worin der Spalt eine Länge von mindestens 2,54 Millimeter hat.The system of claim 1, wherein the gap is a length of has at least 2,54 millimeters. System nach Anspruch 1, worin der Spalt eine Länge zwischen ungefähr 5,08 Millimeter und 50,8 Millimeter hat.The system of claim 1, wherein the gap is a length between approximately 5,08 mm and 50,8 mm has. System nach Anspruch 1, worin der Spalt eine Breite zwischen ungefähr 0,0025 Millimeter und 1,524 Millimeter hat.The system of claim 1, wherein the gap has a width between about Has 0.0025 mm and 1.524 mm. System nach Anspruch 1, worin der Spalt eine Breite zwischen ungefähr 0,025 Millimeter und 0,508 Millimeter hat.The system of claim 1, wherein the gap has a width between about 0.025 mm and 0.508 mm. System nach Anspruch 1, worin der Spalt ein Verhältnis von Länge zu Breite von ungefähr 1,66 hat.The system of claim 1, wherein the gap is a ratio of Length too Width of about Has 1.66. System nach Anspruch 1, worin der Spalt ein Verhältnis von Länge zu Breite von ungefähr 3,33 hat.The system of claim 1, wherein the gap is a ratio of Length too Width of about Has 3.33. System nach Anspruch 1, worin die Länge des Spalts zwischen ungefähr 2,54 Millimeter und ungefähr 50,8 Millimeter ist und die Breite des Spalts zwischen ungefähr 0,0025 Millimeter und ungefähr 1,524 Millimeter ist.The system of claim 1, wherein the length of the gap between about 2.54 millimeters and about 50.8 millimeters and the width of the gap is between about 0.0025 Millimeters and about 1,524 Millimeter is. System nach Anspruch 1, worin das Merkmal ringförmig ist.The system of claim 1, wherein the feature is annular. System nach Anspruch 1, worin das Merkmal ein Flansch ist.The system of claim 1, wherein the feature is a flange is. System nach Anspruch 1, worin das Merkmal eine Federdichtung ist.The system of claim 1, wherein the feature is a feather seal is. System nach Anspruch 1, worin das Merkmal innerhalb seines elastischen Verformungsbereiches komprimiert und ausgedehnt werden kann.The system of claim 1, wherein the feature is within its elastic deformation area compressed and extended can be. System nach Anspruch 1, worin das Merkmal Aluminium, Saphir oder ein Nitrid aufweist.The system of claim 1, wherein said feature is aluminum, Sapphire or a nitride has. System nach Anspruch 1, worin das Merkmal den Auslassflansch der Kammer von einem Körper der Zuführungsquelle trennt.The system of claim 1, wherein the feature is the outlet flange the chamber of a body of supply source separates. System nach Anspruch 1, worin das Merkmal Reiben zwischen dem Auslassflansch und dem Körper der Zufühungsquelle beschränkt.The system of claim 1, wherein the feature is rubbing between the outlet flange and the body of the supply source limited. System nach Anspruch 1, aufweisend einen Dichtungsmechanismus zwischen dem Auslassflansch und der Zuführungsquelle.The system of claim 1, comprising Sealing mechanism between the outlet flange and the supply source. System nach Anspruch 1, worin der Dichtungsmechanismus einen O-Ring aufweist.The system of claim 1, wherein the sealing mechanism has an O-ring. System nach Anspruch 1, worin der Dichtungsmechanismus eine Federdichtung aufweist.The system of claim 1, wherein the sealing mechanism having a feather seal. Verfahren zum Anregen von Gasen, aufweisend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; Anordnen eines Downstream-Gaseinlasses in Bezug auf einen Auslass der Kammer, um es dem aktivierten Gas zu ermöglichen, Dissoziation eines durch das Downstream-Gaseinlass eingeführten Downstream-Gases, zu fördern, wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert; und Anordnen eines Merkmals, um einen Spalt zwischen einem Auslassflansch der Kammer und einem Köper Bereitzustellen, der den Downstream-Gaseinlass aufweist.A method of exciting gases, comprising: Produce an activated gas with a plasma in a chamber; arrange a downstream gas inlet with respect to an outlet of the chamber, to allow the activated gas to Dissociation of a downstream gas introduced through the downstream gas inlet, to promote, the dissociated downstream gas is not significant with a inner surface the chamber interacts; and Arranging a feature by one To provide clearance between an outlet flange of the chamber and a body, which has the downstream gas inlet. Verfahren nach Anspruch 20, worin der Spalt ein langer, schmaler Spalt ist, der den Transport von angeregten Gasen zu einer Dichtung verringert, die zwischen dem Auslassflansch der Kammer und einem Abschnitt des Systems angeordnet ist.The method of claim 20, wherein the gap is a long, narrow gap is the transport of excited gases reduced to a seal between the outlet flange of the Chamber and a section of the system is arranged. Verfahren nach Anspruch 20, worin das Merkmal ringförmig ist.The method of claim 20, wherein the feature is annular. Verfahren nach Anspruch 20, worin das Merkmal ein Flansch ist.The method of claim 20, wherein the feature is a Flange is. Verfahren nach Anspruch 20, worin das Merkmal eine Federdichtung ist.The method of claim 20, wherein the feature is a Feather seal is. Verfahren nach Anspruch 20, worin das Merkmal innerhalb seines elastischen Verformungsbereichs komprimiert und ausgedehnt werden kann.The method of claim 20, wherein the feature is within its elastic deformation area compressed and extended can be. Verfahren nach Anspruch 20, worin das Merkmal den Auslassflansch der Kammer von einem Körper der Zuführungsquelle trennt.The method of claim 20, wherein the feature is the Outlet flange of the chamber of a body of the supply source separates. Verfahren nach Anspruch 20, worin das Merkmal Reiben zwischen dem Auslassflansch und dem Körper der Zuführungsquelle beschränkt.The method of claim 20, wherein the feature is rubbing between the outlet flange and the body of the supply source limited. Verfahren nach Anspruch 20, aufweisend Erzeugen einer Vakuumdichtung zwischen dem Auslassflansch und der Zuführungsquelle.The method of claim 20, comprising generating a vacuum seal between the outlet flange and the supply source. Verfahren zum Dissoziieren von Gasen, aufweisend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; Anordnen eines Downstream-Gaseinlasses in Bezug auf einen Auslass der Kammer, um es dem aktivierten Gas zu ermöglichen, Dissoziation eines durch den Gaseinlass eingeführten Downstream-Gases zu fördern, wobei das dissoziierte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert; und Anordnen eines Merkmals, um einen Spalt zwischen einem Auslassflansch der Kammer und einem Köper Bereitzustellen, der den Downstream-Gaseinlass aufweist.A method of dissociating gases, comprising: Produce an activated gas with a plasma in a chamber; arrange a downstream gas inlet with respect to an outlet of the chamber, to allow the activated gas to Dissociation of a introduced through the gas inlet downstream gas to promote, wherein the dissociated downstream gas does not significantly interfere with an interior surface of the Chamber interacts; and Placing a feature around a gap between an outlet flange of the chamber and a body, which has the downstream gas inlet. System zum Anregen von Gasen, aufweisend: eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer, worin das Plasma ein aktiviertes Gas erzeugt; und eine Zuführungsquelle zum Einführen eines Downstream-Gases, um mit dem aktivierten Gas außerhalb des Plasmabereichs zu interagieren, wobei das aktivierte Gas Anregung des Downstream-Gases fördert und wobei das angeregte Downstream-Gas nicht erheblich mit einer Innenoberfläche der Kammer interagiert.System for exciting gases, comprising: a remote plasma source for generating a plasma region in one Chamber wherein the plasma generates an activated gas; and a supply source for insertion of a downstream gas, with the activated gas outside to interact with the plasma region, the activated gas excitation promotes the downstream gas and wherein the stimulated downstream gas is not significant with a inner surface the chamber interacts. System nach Anspruch 30, worin Anregung des Downstream-Gases Dissoziieren des Downstream-Gases aufweist.The system of claim 30, wherein excitation of the downstream gas Dissociate the downstream gas has. System nach Anspruch 30, aufweisend eine Sperre, die an einem Auslass der Kammer angeordnet ist um Erosion der oder Abscheidung auf die Kammer zu verringern.The system of claim 30, comprising a barrier, which is arranged at an outlet of the chamber to erosion of or To reduce deposition on the chamber. System nach Anspruch 32, worin die Sperre mindestens teilweise innerhalb der Kammer angeordnet ist.The system of claim 32, wherein the lock is at least partially disposed within the chamber. System nach Anspruch 32, worin die Sperre mindestens teilweise innerhalb eines Auslassdurchganges der Kammer angeordnet ist.The system of claim 32, wherein the lock is at least partially disposed within an outlet passage of the chamber is. System nach Anspruch 30, aufweisend eine Sperre, die innerhalb eines Auslassdurchganges der Kammer angeordnet ist.The system of claim 30, comprising a barrier, which is disposed within an outlet passage of the chamber. System nach Anspruch 30, worin die Kammer Quarz aufweist.The system of claim 30, wherein the chamber is quartz having. System nach Anspruch 36, worin die Kammer eine toroidförmige Kammer ist.The system of claim 36, wherein the chamber is a toroidal chamber is. System nach Anspruch 30, worin die Plasmaquelle eine toroid-Plasmaquelle ist.The system of claim 30, wherein the plasma source a toroid plasma source is. System nach Anspruch 30, aufweisend einen Mischer um Downstream-Gas und aktiviertes Gas zu mischen.The system of claim 30, comprising a mixer around downstream gas and to mix activated gas. System nach Anspruch 39, worin der Mischer einen statischen Flussmischer, einen Schraubenmischer, einen Messermischer oder einen zylinderförmigen Schichtmischer aufweist.The system of claim 39, wherein the mixer a static flow mixer, a screw mixer, a blade mixer or a cylindrical layer mixer. System nach Anspruch 30, aufweisend einen Reinigungsgaseinlass.The system of claim 30, comprising a purge gas inlet. System nach Anspruch 41, worin der Reinigungsgaseinlass zwischen einem Anschluss der Kammer und einem Einlass der Zuführungsquelle angeordnet ist.The system of claim 41, wherein the cleaning gas inlet disposed between a port of the chamber and an inlet of the supply source is.
DE112006003519T 2005-12-23 2006-12-15 Method and apparatus for downstream gas dissociation Ceased DE112006003519T5 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US75378805P 2005-12-23 2005-12-23
US60/753,788 2005-12-23
PCT/US2006/048120 WO2007075509A2 (en) 2005-12-23 2006-12-15 Methods and apparatus for downstream dissociation of gases

Publications (1)

Publication Number Publication Date
DE112006003519T5 true DE112006003519T5 (en) 2009-01-02

Family

ID=38038700

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006003519T Ceased DE112006003519T5 (en) 2005-12-23 2006-12-15 Method and apparatus for downstream gas dissociation

Country Status (6)

Country Link
JP (1) JP2009521783A (en)
KR (1) KR20080077642A (en)
CN (1) CN101461029B (en)
DE (1) DE112006003519T5 (en)
GB (1) GB2447381B (en)
WO (1) WO2007075509A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101588909B1 (en) 2007-12-21 2016-02-12 램 리써치 코포레이션 Fabrication of a silicon structure and deep silicon etch with profile control
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
JP2011077378A (en) * 2009-09-30 2011-04-14 Ulvac Japan Ltd Method and apparatus for processing substrate
JP5651323B2 (en) * 2009-11-09 2015-01-07 富士機械製造株式会社 Plasma processing apparatus and plasma processing method
US8282906B2 (en) 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
WO2015106318A1 (en) 2014-01-15 2015-07-23 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
CN113196444A (en) 2018-12-20 2021-07-30 应用材料公司 Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
CN111188027B (en) * 2020-02-12 2021-08-03 南京大学 Chemical vapor deposition equipment and film forming method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
FR2664294B1 (en) * 1990-07-06 1992-10-23 Plasmametal METHOD FOR METALLIZING A SURFACE.
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JP2001284340A (en) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Apparatus and method for manufacturing semiconductor device
JP2002158261A (en) * 2000-11-20 2002-05-31 Asuriito Fa Kk Gas supply method and box using it
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP2003218044A (en) * 2002-01-22 2003-07-31 Crystage Co Ltd Remote plasma treatment apparatus
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases

Also Published As

Publication number Publication date
GB2447381B (en) 2010-02-24
CN101461029B (en) 2011-03-30
GB2447381A (en) 2008-09-10
JP2009521783A (en) 2009-06-04
GB0811517D0 (en) 2008-07-30
CN101461029A (en) 2009-06-17
KR20080077642A (en) 2008-08-25
WO2007075509A3 (en) 2007-09-20
WO2007075509A2 (en) 2007-07-05

Similar Documents

Publication Publication Date Title
DE112005003045T5 (en) Method and apparatus for downstream dissociation of gases
DE112006003519T5 (en) Method and apparatus for downstream gas dissociation
DE69829390T2 (en) GAS INJECTION SYSTEM FOR PLASMA TREATMENT DEVICE
DE3102174C2 (en) Plasma reactor for the treatment of semiconductors
DE3802732C2 (en)
DE102008036766B4 (en) Apparatus and method for generating dielectric layers in microwave plasma
DE2251571A1 (en) METHOD AND DEVICE FOR APPLYING COATINGS TO SUBSTRATES
DE3117252A1 (en) PLASMA APPLICATION DEVICE
EP0009558A1 (en) Method and device for modifying a surface by means of a plasma
DE112013002561T5 (en) Apparatus and method for removing contaminants
DE102004056170A1 (en) Apparatus and method for high throughput chemical vapor deposition
DE10132882A1 (en) Production of a thin layer comprises charging a stack of substrates into a reactor, introducing a gas containing a reactant and chemically absorbing a part of the reactant
DE2601288A1 (en) GAS DEVICE, IN PARTICULAR FOR THE PRODUCTION OF SEMICONDUCTOR DEVICES
DE19513614C1 (en) Bipolar pulsed plasma CVD of carbon@ layer on parts with complicated geometry
WO2011012185A1 (en) Cleaning of a process chamber
DE10335099B4 (en) Method for improving the thickness uniformity of silicon nitride layers for a plurality of semiconductor wafers
DE212007000107U1 (en) Annular plasma chamber for processes with high gas flow rates
DE112009005052T9 (en) Method and device for protecting plasma chamber surfaces
DE4132560C1 (en) Plasma-aided deposition of film for integrated semiconductor circuit - using neutral particles, activated by microwave in separate chamber, and non-excited reaction gas, etc.
DE112010000724T5 (en) Plasma processing apparatus and plasma CVD film forming method
WO2020115109A1 (en) Method for in situ protection of an aluminum layer and optical arrangement for the vuv wavelength range
DE19522162C2 (en) Process for removing photosensitive resin and photosensitive resin removing device
DE102013111860A1 (en) Process tools and methods of forming devices using process tools
EP2636054B1 (en) Apparatus for treatment of substrates
EP1001050B1 (en) Process for internal coating of capillaries and use of such capillaries

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection